WorldWideScience

Sample records for situ at-wavelength metrology

  1. At-wavelength Optical Metrology Development at the ALS

    International Nuclear Information System (INIS)

    Yuan, Sheng Sam; Goldberg, Kenneth A.; Yashchuk, Valeriy V.; Celestre, Richard; Mochi, Iacopo; Macdougall, James; Morrison, Gregory Y.; Smith, Brian V.; Domning, Edward E.; McKinney, Wayne R.; Warwick, Tony

    2010-01-01

    Nano-focusing and brightness preservation for ever brighter synchrotron radiation and free electron laser beamlines require surface slope tolerances of x-ray optics on the order of 100 nrad. While the accuracy of fabrication and ex situ metrology of x-ray mirrors has improved over time, beamline in situ performance of the optics is often limited by application specific factors such as x-ray beam heat loading, temperature drift, alignment, vibration, etc. In the present work, we discuss the recent results from the Advanced Light Source developing high accuracy, in situ, at-wavelength wavefront measurement techniques to surpass 100-nrad accuracy surface slope measurements with reflecting x-ray optics. The techniques will ultimately allow closed-loop feedback systems to be implemented for x-ray nano-focusing. In addition, we present a dedicated metrology beamline endstation, applicable to a wide range of in situ metrology and test experiments. The design and performance of a bendable Kirkpatrick-Baez (KB) mirror with active temperature stabilization will also be presented. The mirror is currently used to study, refine, and optimize in situ mirror alignment, bending and metrology methods essential for nano-focusing application.

  2. Speckle-based at-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Zhou, Tunhe; Kashyap, Yogesh; Sawhney, Kawal

    2017-08-01

    To achieve high resolution and sensitivity on the nanometer scale, further development of X-ray optics is required. Although ex-situ metrology provides valuable information about X-ray optics, the ultimate performance of X-ray optics is critically dependent on the exact nature of the working conditions. Therefore, it is equally important to perform in-situ metrology at the optics' operating wavelength (`at-wavelength' metrology) to optimize the performance of X-ray optics and correct and minimize the collective distortions of the upstream beamline optics, e.g. monochromator, windows, etc. Speckle-based technique has been implemented and further improved at Diamond Light Source. We have demonstrated that the angular sensitivity for measuring the slope error of an optical surface can reach an accuracy of two nanoradians. The recent development of the speckle-based at-wavelength metrology techniques will be presented. Representative examples of the applications of the speckle-based technique will also be given - including optimization of X-ray mirrors and characterization of compound refraction lenses. Such a high-precision metrology technique will be extremely beneficial for the manufacture and in-situ alignment/optimization of X-ray mirrors for next-generation synchrotron beamlines.

  3. At-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Berujon, Sebastien; Sutter, John; Alcock, Simon G.; Sawhney, Kawal

    2014-09-01

    Modern, third-generation synchrotron radiation sources provide coherent and extremely bright beams of X-ray radiation. The successful exploitation of such beams depends to a significant extent on imperfections and misalignment of the optics employed on the beamlines. This issue becomes even more critical with the increasing use of active optics, and the desire to achieve diffraction-limited and coherence-preserving X-ray beams. In recent years, significant progress has been made to improve optic testing and optimization techniques, especially those using X-rays for so-called atwavelength metrology. These in-situ and at-wavelength metrology methods can be used not only to optimize the performance of X-ray optics, but also to correct and minimize the collective distortions of upstream beamline optics, including monochromators, and transmission windows. An overview of at-wavelength metrology techniques implemented at Diamond Light Source is presented, including grating interferometry and X-ray near-field speckle based techniques. Representative examples of the application of these techniques are also given, including in-situ and atwavelength calibration and optimization of: active, piezo bimorph mirrors; Kirkpatrick-Baez (KB) mirrors; and refractive optics such as compound refractive lenses.

  4. Alignment of KB mirrors with at-wavelength metrology tool simulated using SRW

    Science.gov (United States)

    Idir, Mourad; Rakitin, Maksim; Gao, Bo; Xue, Junpeng; Huang, Lei; Chubar, Oleg

    2017-08-01

    Synchrotron Radiation Workshop (SRW) is a powerful synchrotron radiation simulation tool and has been widely used at synchrotron facilities all over the world. During the last decade, many types of X-ray wavefront sensors have been developed and used. In this work, we present our recent effort on the development of at-wavelength metrology simulation based on SRW mainly focused on the Hartmann Wavefront Sensor (HWS). Various conditions have been studied to verify that the simulated HWS is performing as expected in terms of accuracy. This at-wavelength metrology simulation tool is then used to align KB mirrors by minimizing the wavefront aberrations. We will present our optimization process to perform an `in situ' alignment using conditions as close as possible to the real experiments (KB mirrors with different levels of figure errors or different misalignment geometry).

  5. Cross-check of ex-situ and in-situ metrology of a bendable temperature stabilized KB mirror

    International Nuclear Information System (INIS)

    Yuan Sheng; Goldberg, Kenneth A.; Yashchuk, Valeriy V.; Celestre, Richard; McKinney, Wayne R.; Morrison, Gregory; Macdougall, James; Mochi, Iacopo; Warwick, Tony

    2011-01-01

    At the Advanced Light Source (ALS), we are developing broadly applicable, high-accuracy, in-situ, at-wavelength wavefront slope measurement techniques for Kirkpatrick-Baez (KB) mirror nano-focusing. In this paper, we report an initial cross-check of ex-situ and in-situ metrology of a bendable temperature stabilized KB mirror. This cross-check provides a validation of the in-situ shearing interferometry, currently under development at the ALS.

  6. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy.

    Science.gov (United States)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-05-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or "tophat" beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  7. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    International Nuclear Information System (INIS)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-01-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  8. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    Energy Technology Data Exchange (ETDEWEB)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal, E-mail: kawal.sawhney@diamond.ac.uk [Diamond Light Source, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2016-05-15

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  9. Quantum interference metrology at deep-UV wavelengths using phase-controlled ultrashort laser pulses

    NARCIS (Netherlands)

    Zinkstok, R. Th; Witte, S.; Ubachs, W.; Hogervorst, W.; Eikema, K. S E

    2005-01-01

    High-resolution metrology at wavelengths shorter than ultraviolet is in general hampered by a limited availability of appropriate laser sources. It is demonstrated that this limitation can be overcome by quantum-interference metrology with frequency up-converted ultrafast laser pulses. The required

  10. The At-Wavelength Metrology Facility at BESSY-II

    Directory of Open Access Journals (Sweden)

    Franz Schäfers

    2016-02-01

    Full Text Available The At-Wavelength Metrology Facility at BESSY-II is dedicated to short-term characterization of novel UV, EUV and XUV optical elements, such as diffraction gratings, mirrors, multilayers and nano-optical devices like reflection zone plates. It consists of an Optics Beamline PM-1 and a Reflectometer in a clean-room hutch as a fixed end station. The bending magnet Beamline is a Plane Grating Monochromator beamline (c-PGM equipped with an SX700 monochromator. The beamline is specially tailored for efficient high-order suppression and stray light reduction. The versatile 11-axes UHV-Reflectometer can house life-sized optical elements, which are fully adjustable and of which the reflection properties can be measured in the full incidence angular range as well as in the full azimuthal angular range to determine polarization properties.

  11. In-situ virtual metrology for the silicon-dioxide etch rate by using optical emission spectroscopy data

    International Nuclear Information System (INIS)

    Kim, Boomsoo; Hong, Sangjeen

    2014-01-01

    As a useful tool for process control in a high volume semiconductor manufacturing environment, virtual metrology for the etch rate in a plasma etch process is investigated using optical emission spectroscopy (OES) data. Virtual metrology is a surrogate measurement taken from the process instead of from direct measurement, and it can provide in-situ metrology of a wafer's geometry from a predictive model. A statistical regression model that correlates the selected wavelengths of the optical emission spectra to the etch rate is established using the OES data collected over 20 experimental runs. In addition, an argon actinometry study is employed to quantify the OES data, and it provides valuable insight into the analysis of the OES data. The established virtual metrology model is further verified with an additional 20 runs of data. As a result, the virtual metrology model with both process recipe tool data and in-situ data shows higher prediction accuracy by as much as 56% compared with either the process recipe tool data or the in-situ data alone.

  12. Speckle-based portable device for in-situ metrology of x-ray mirrors at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Kashyap, Yogesh; Zhou, Tunhe; Sawhney, Kawal

    2017-09-01

    For modern synchrotron light sources, the push toward diffraction-limited and coherence-preserved beams demands accurate metrology on X-ray optics. Moreover, it is important to perform in-situ characterization and optimization of X-ray mirrors since their ultimate performance is critically dependent on the working conditions. Therefore, it is highly desirable to develop a portable metrology device, which can be easily implemented on a range of beamlines for in-situ metrology. An X-ray speckle-based portable device for in-situ metrology of synchrotron X-ray mirrors has been developed at Diamond Light Source. Ultra-high angular sensitivity is achieved by scanning the speckle generator in the X-ray beam. In addition to the compact setup and ease of implementation, a user-friendly graphical user interface has been developed to ensure that characterization and alignment of X-ray mirrors is simple and fast. The functionality and feasibility of this device is presented with representative examples.

  13. The at-wavelength metrology facility for UV- and XUV-reflection and diffraction optics at BESSY-II

    Science.gov (United States)

    Schäfers, F.; Bischoff, P.; Eggenstein, F.; Erko, A.; Gaupp, A.; Künstner, S.; Mast, M.; Schmidt, J.-S.; Senf, F.; Siewert, F.; Sokolov, A.; Zeschke, Th.

    2016-01-01

    A technology center for the production of high-precision reflection gratings has been established. Within this project a new optics beamline and a versatile reflectometer for at-wavelength characterization of UV- and XUV-reflection gratings and other (nano-) optical elements has been set up at BESSY-II. The Plane Grating Monochromator beamline operated in collimated light (c-PGM) is equipped with an SX700 monochromator, of which the blazed gratings (600 and 1200 lines mm−1) have been recently exchanged for new ones of improved performance produced in-house. Over the operating range from 10 to 2000 eV this beamline has very high spectral purity achieved by (i) a four-mirror arrangement of different coatings which can be inserted into the beam at different angles and (ii) by absorber filters for high-order suppression. Stray light and scattered radiation is removed efficiently by double sets of in situ exchangeable apertures and slits. By use of in- and off-plane bending-magnet radiation the beamline can be adjusted to either linear or elliptical polarization. One of the main features of a novel 11-axes reflectometer is the possibility to incorporate real life-sized gratings. The samples are adjustable within six degrees of freedom by a newly developed UHV-tripod system carrying a load up to 4 kg, and the reflectivity can be measured between 0 and 90° incidence angle for both s- and p-polarization geometry. This novel powerful metrology facility has gone into operation recently and is now open for external users. First results on optical performance and measurements on multilayer gratings will be presented here. PMID:26698047

  14. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, Sheng; Yashchuk, Valeriy V.; Goldberg, Kenneth A.; Celestre, Rich; Church, Matthew; McKinney, Wayne R.; Morrison, Greg; Warwick, Tony

    2010-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situ visible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  15. Two-dimensional in situ metrology of X-ray mirrors using the speckle scanning technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongchang, E-mail: hongchang.wang@diamond.ac.uk; Kashyap, Yogesh; Laundy, David; Sawhney, Kawal [Diamond Light Source Ltd, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2015-06-06

    The two-dimensional slope error of an X-ray mirror has been retrieved by employing the speckle scanning technique, which will be valuable at synchrotron radiation facilities and in astronomical telescopes. In situ metrology overcomes many of the limitations of existing metrology techniques and is capable of exceeding the performance of present-day optics. A novel technique for precisely characterizing an X-ray bimorph mirror and deducing its two-dimensional (2D) slope error map is presented. This technique has also been used to perform fast optimization of a bimorph mirror using the derived 2D piezo response functions. The measured focused beam size was significantly reduced after the optimization, and the slope error map was then verified by using geometrical optics to simulate the focused beam profile. This proposed technique is expected to be valuable for in situ metrology of X-ray mirrors at synchrotron radiation facilities and in astronomical telescopes.

  16. At-wavelength metrology using the moiré fringe analysis method based on a two dimensional grating interferometer

    International Nuclear Information System (INIS)

    Wang, Hongchang; Berujon, Sebastien; Pape, Ian; Rutishauser, Simon; David, Christian; Sawhney, Kawal

    2013-01-01

    A two-dimensional (2D) grating interferometer was used to perform at-wavelength metrology. A Fast Fourier Transform (FFT) of the interferograms recovers the differential X-ray beam phase in two orthogonal directions simultaneously. As an example, the X-ray wavefronts downstream from a Fresnel Zone plate were measured using the moiré fringe analysis method, which requires only a single image. The rotating shearing interferometer technique for moiré fringe analysis was extended from one dimension to two dimensions to carry out absolute wavefront metrology. In addition, the 2D moiré fringes were extrapolated using Gerchberg's method to reduce the boundary artifacts. The advantages and limitations of the phase-stepping method and the moiré fringe analysis method are also discussed. -- Highlights: ► A rapid and sensitive strip test for CPPU (forchlorfenuron) detection is reported. ► Carbon nanoparticles were used for antibody labelling. ► A common flatbed scanner was employed to the quantitate strip spots. ► The new method was successfully applied to the analysis of the field samples

  17. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, S.; Yashchuk, V.V.; Goldberg, K.A.; Celestre, R.; Church, M.; McKinney, W.R.; Morrison, G.; Warwick, T.

    2009-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situvisible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  18. Deep sub-wavelength metrology for advanced defect classification

    Science.gov (United States)

    van der Walle, P.; Kramer, E.; van der Donck, J. C. J.; Mulckhuyse, W.; Nijsten, L.; Bernal Arango, F. A.; de Jong, A.; van Zeijl, E.; Spruit, H. E. T.; van den Berg, J. H.; Nanda, G.; van Langen-Suurling, A. K.; Alkemade, P. F. A.; Pereira, S. F.; Maas, D. J.

    2017-06-01

    Particle defects are important contributors to yield loss in semi-conductor manufacturing. Particles need to be detected and characterized in order to determine and eliminate their root cause. We have conceived a process flow for advanced defect classification (ADC) that distinguishes three consecutive steps; detection, review and classification. For defect detection, TNO has developed the Rapid Nano (RN3) particle scanner, which illuminates the sample from nine azimuth angles. The RN3 is capable of detecting 42 nm Latex Sphere Equivalent (LSE) particles on XXX-flat Silicon wafers. For each sample, the lower detection limit (LDL) can be verified by an analysis of the speckle signal, which originates from the surface roughness of the substrate. In detection-mode (RN3.1), the signal from all illumination angles is added. In review-mode (RN3.9), the signals from all nine arms are recorded individually and analyzed in order to retrieve additional information on the shape and size of deep sub-wavelength defects. This paper presents experimental and modelling results on the extraction of shape information from the RN3.9 multi-azimuth signal such as aspect ratio, skewness, and orientation of test defects. Both modeling and experimental work confirm that the RN3.9 signal contains detailed defect shape information. After review by RN3.9, defects are coarsely classified, yielding a purified Defect-of-Interest (DoI) list for further analysis on slower metrology tools, such as SEM, AFM or HIM, that provide more detailed review data and further classification. Purifying the DoI list via optical metrology with RN3.9 will make inspection time on slower review tools more efficient.

  19. Performance of the upgraded LTP-II at the ALS Optical Metrology Laboratory

    International Nuclear Information System (INIS)

    Advanced Light Source; Yashchuk, Valeriy V; Kirschman, Jonathan L.; Domning, Edward E.; McKinney, Wayne R.; Morrison, Gregory Y.; Smith, Brian V.; Yashchuk, Valeriy V.

    2008-01-01

    The next generation of synchrotrons and free electron laser facilities requires x-ray optical systems with extremely high performance, generally of diffraction limited quality. Fabrication and use of such optics requires adequate, highly accurate metrology and dedicated instrumentation. Previously, we suggested ways to improve the performance of the Long Trace Profiler (LTP), a slope measuring instrument widely used to characterize x-ray optics at long spatial wavelengths. The main way is use of a CCD detector and corresponding technique for calibration of photo-response non-uniformity [J. L. Kirschman, et al., Proceedings of SPIE 6704, 67040J (2007)]. The present work focuses on the performance and characteristics of the upgraded LTP-II at the ALS Optical Metrology Laboratory. This includes a review of the overall aspects of the design, control system, the movement and measurement regimes for the stage, and analysis of the performance by a slope measurement of a highly curved super-quality substrate with less than 0.3 microradian (rms)slope variation

  20. Metrology at Philip Morris Europe

    Directory of Open Access Journals (Sweden)

    Gualandris R

    2014-12-01

    Full Text Available The importance of the metrology function at Philip Morris Europe (PME, a multinational organisation producing at over 40 sites in the European, Middle Eastern and African Regions is presented. Standardisation of test methods and equipment as well as the traceability of calibration gauges to the same reference gauge are essential in order to obtain comparable results among the various production centers. The metrology function as well as the qualification of instruments and the drafting of test and calibration operating procedures for this region are conducted or co-ordinated by the Research and Development Department in Neuchatel, Switzerland. In this paper the metrology function within PME is presented based on the measurement of the resistance to draw for which the PME R&D laboratory is accredited (ISO/CEI 17025, as both a calibration and a testing laboratory. The following topics are addressed in this paper: traceability of calibration standards to national standards; comparison of results among manufacturing centres; the choice, the budget as well as the computation of uncertainties. Furthermore, some practical aspects related to the calibration and use of the glass multicapillary gauges are discussed.

  1. Metrological traceability of holmium oxide solution

    Science.gov (United States)

    Gonçalves, D. E. F.; Gomes, J. F. S.; Alvarenga, A. P. D.; Borges, P. P.; Araujo, T. O.

    2018-03-01

    Holmium oxide solution was prepared as a candidate of certified reference material for spectrophotometer wavelength scale calibration. Here is presented the necessary steps for evaluation of the uncertainty and the establishment of metrological traceability for the production of this material. Preliminary results from the first produced batch are shown.

  2. In-cell overlay metrology by using optical metrology tool

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Park, Hyowon; Liang, Waley; Choi, DongSub; Kim, Nakyoon; Lee, Jeongpyo; Pandev, Stilian; Jeon, Sanghuck; Robinson, John C.

    2018-03-01

    Overlay is one of the most critical process control steps of semiconductor manufacturing technology. A typical advanced scheme includes an overlay feedback loop based on after litho optical imaging overlay metrology on scribeline targets. The after litho control loop typically involves high frequency sampling: every lot or nearly every lot. An after etch overlay metrology step is often included, at a lower sampling frequency, in order to characterize and compensate for bias. The after etch metrology step often involves CD-SEM metrology, in this case in-cell and ondevice. This work explores an alternative approach using spectroscopic ellipsometry (SE) metrology and a machine learning analysis technique. Advanced 1x nm DRAM wafers were prepared, including both nominal (POR) wafers with mean overlay offsets, as well as DOE wafers with intentional across wafer overlay modulation. After litho metrology was measured using optical imaging metrology, as well as after etch metrology using both SE and CD-SEM for comparison. We investigate 2 types of machine learning techniques with SE data: model-less and model-based, showing excellent performance for after etch in-cell on-device overlay metrology.

  3. In situ nanoparticle diagnostics by multi-wavelength Rayleigh-Mie scattering ellipsometry

    CERN Document Server

    Gebauer, G

    2003-01-01

    We present and discuss the method of multiple-wavelength Rayleigh-Mie scattering ellipsometry for the in situ analysis of nanoparticles. It is applied to the problem of nanoparticles suspended in low-pressure plasmas. We discuss experimental results demonstrating that the size distribution and the complex refractive index can be determined with high accuracy and present a study on the in situ analysis of etching of melamine-formaldehyde nanoparticles suspended in an oxygen plasma. It is also shown that particles with a shell structure (core plus mantle) can be analysed by Rayleigh-Mie scattering ellipsometry. Rayleigh-Mie scattering ellipsometry is also applicable to in situ analysis of nanoparticles under high gas pressures and in liquids.

  4. Improved capacity in ionizing radiation metrology at SANAEM

    International Nuclear Information System (INIS)

    Yucel, U.

    2014-01-01

    Full text : Turkey is planning to build nuclear power plants in the south and north coasts to supply the ever-increasing energy demand. The nuclear power plants based on old soviet technology in Armenia and Bulgaria close to Turkey's borders also makes constant monitoring of environmental radioactivity extremely important due to public health and environment contamination concerns. Radiation Metrology Division at SANAEM has been established in 2012 to provide uniformity and reliability of the measurements in the field of ionizing radiation metrology by R and D studies and by constituting, developing, keeping and extending internationally accepted reference measurement standards and techniques

  5. Realizing "value-added" metrology

    Science.gov (United States)

    Bunday, Benjamin; Lipscomb, Pete; Allgair, John; Patel, Dilip; Caldwell, Mark; Solecky, Eric; Archie, Chas; Morningstar, Jennifer; Rice, Bryan J.; Singh, Bhanwar; Cain, Jason; Emami, Iraj; Banke, Bill, Jr.; Herrera, Alfredo; Ukraintsev, Vladamir; Schlessinger, Jerry; Ritchison, Jeff

    2007-03-01

    The conventional premise that metrology is a "non-value-added necessary evil" is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled "value-added" processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction (OPC), design for manufacturability (DFM), and advanced process control (APC) are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to "key enabler of large revenues," debunking the out-of-date premise that metrology is "non-value-added." Examples used will be from critical dimension (CD

  6. Metrology Measurement Capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Dr. Glen E. Gronniger

    2007-10-02

    This document contains descriptions of Federal Manufacturing & Technologies (FM&T) Metrology capabilities, traceability flow charts, and the measurement uncertainty of each measurement capability. Metrology provides NIST traceable precision measurements or equipment calibration for a wide variety of parameters, ranges, and state-of-the-art uncertainties. Metrology laboratories conform to the requirements of the Department of Energy Development and Production Manual Chapter 13.2, ANSI/ISO/IEC ANSI/ISO/IEC 17025:2005, and ANSI/NCSL Z540-1. FM&T Metrology laboratories are accredited by NVLAP for the parameters, ranges, and uncertainties listed in the specific scope of accreditation under NVLAP Lab code 200108-0. See the Internet at http://ts.nist.gov/Standards/scopes/2001080.pdf. These parameters are summarized. The Honeywell Federal Manufacturing & Technologies (FM&T) Metrology Department has developed measurement technology and calibration capability in four major fields of measurement: (1) Mechanical; (2) Environmental, Gas, Liquid; (3) Electrical (DC, AC, RF/Microwave); and (4) Optical and Radiation. Metrology Engineering provides the expertise to develop measurement capabilities for virtually any type of measurement in the fields listed above. A strong audit function has been developed to provide a means to evaluate the calibration programs of our suppliers and internal calibration organizations. Evaluation includes measurement audits and technical surveys.

  7. Adaptive inversion algorithm for 1 . 5 μm visibility lidar incorporating in situ Angstrom wavelength exponent

    Science.gov (United States)

    Shang, Xiang; Xia, Haiyun; Dou, Xiankang; Shangguan, Mingjia; Li, Manyi; Wang, Chong

    2018-07-01

    An eye-safe 1 . 5 μm visibility lidar is presented in this work considering in situ particle size distribution, which can be deployed in crowded places like airports. In such a case, the measured extinction coefficient at 1 . 5 μm should be converted to that at 0 . 55 μm for visibility retrieval. Although several models have been established since 1962, the accurate wavelength conversion remains a challenge. An adaptive inversion algorithm for 1 . 5 μm visibility lidar is proposed and demonstrated by using the in situ Angstrom wavelength exponent, which is derived from an aerosol spectrometer. The impact of the particle size distribution of atmospheric aerosols and the Rayleigh backscattering of atmospheric molecules are taken into account. Using the 1 . 5 μm visibility lidar, the visibility with a temporal resolution of 5 min is detected over 48 h in Hefei (31 . 83∘ N, 117 . 25∘ E). The average visibility error between the new method and a visibility sensor (Vaisala, PWD52) is 5.2% with the R-square value of 0.96, while the relative error between another reference visibility lidar at 532 nm and the visibility sensor is 6.7% with the R-square value of 0.91. All results agree with each other well, demonstrating the accuracy and stability of the algorithm.

  8. State preparation for quantum information science and metrology

    International Nuclear Information System (INIS)

    Samblowski, Aiko

    2012-01-01

    The precise preparation of non-classical states of light is a basic requirement for performing quantum information tasks and quantum metrology. Depending on the assignment, the range of required states varies from preparing and modifying squeezed states to generating bipartite entanglement and establishing multimode entanglement networks. Every state needs special preparation techniques and hence it is important to develop the experimental expertise to generate all states with the desired degree of accuracy. In this thesis, the experimental preparation of different kinds of non-classical states of light is demonstrated. Starting with a multimode entangled state, the preparation of an unconditionally generated bound entangled state of light of unprecedented accuracy is shown. Its existence is of fundamental interest, since it certifies an intrinsic irreversibility of entanglement and suggests a connection with thermodynamics. The state is created in a network of linear optics, utilizing optical parametric amplifiers, operated below threshold, beam splitters and phase gates. The experimental platform developed here afforded the precise and stable control of all experimental parameters. Focusing on the aspect of quantum information networks, the generation of suitable bipartite entangled states of light is desirable. The optical connection between atomic transitions and light that can be transmitted via telecommunications fibers opens the possibility to employ quantum memories within fiber networks. For this purpose, a non-degenerate optical parametric oscillator is operated above threshold and the generation of bright bipartite entanglement between its twin beams at the wavelengths of 810 nm and 1550 nm is demonstrated. In the field of metrology, quantum states are used to enhance the measurement precision of interferometric gravitational wave (GW) detectors. Recently, the sensitivity of a GW detector operated at a wavelength of 1064 nm was increased using squeezed

  9. State preparation for quantum information science and metrology

    Energy Technology Data Exchange (ETDEWEB)

    Samblowski, Aiko

    2012-06-08

    The precise preparation of non-classical states of light is a basic requirement for performing quantum information tasks and quantum metrology. Depending on the assignment, the range of required states varies from preparing and modifying squeezed states to generating bipartite entanglement and establishing multimode entanglement networks. Every state needs special preparation techniques and hence it is important to develop the experimental expertise to generate all states with the desired degree of accuracy. In this thesis, the experimental preparation of different kinds of non-classical states of light is demonstrated. Starting with a multimode entangled state, the preparation of an unconditionally generated bound entangled state of light of unprecedented accuracy is shown. Its existence is of fundamental interest, since it certifies an intrinsic irreversibility of entanglement and suggests a connection with thermodynamics. The state is created in a network of linear optics, utilizing optical parametric amplifiers, operated below threshold, beam splitters and phase gates. The experimental platform developed here afforded the precise and stable control of all experimental parameters. Focusing on the aspect of quantum information networks, the generation of suitable bipartite entangled states of light is desirable. The optical connection between atomic transitions and light that can be transmitted via telecommunications fibers opens the possibility to employ quantum memories within fiber networks. For this purpose, a non-degenerate optical parametric oscillator is operated above threshold and the generation of bright bipartite entanglement between its twin beams at the wavelengths of 810 nm and 1550 nm is demonstrated. In the field of metrology, quantum states are used to enhance the measurement precision of interferometric gravitational wave (GW) detectors. Recently, the sensitivity of a GW detector operated at a wavelength of 1064 nm was increased using squeezed

  10. Economic benefits of metrology in manufacturing

    DEFF Research Database (Denmark)

    Savio, Enrico; De Chiffre, Leonardo; Carmignato, S.

    2016-01-01

    examples from industrial production, in which the added value of metrology in manufacturing is discussed and quantified. Case studies include: general manufacturing, forging, machining, and related metrology. The focus of the paper is on the improved effectiveness of metrology when used at product...... and process design stages, as well as on the improved accuracy and efficiency of manufacturing through better measuring equipment and process chains with integrated metrology for process control.......In streamlined manufacturing systems, the added value of inspection activities is often questioned, and metrology in particular is sometimes considered only as an avoidable expense. Documented quantification of economic benefits of metrology is generally not available. This work presents concrete...

  11. Metrology and quality assurance for European XFEL long flat mirrors installation

    Science.gov (United States)

    Freijo Martín, Idoia; Vannoni, Maurizio; Sinn, Harald

    2017-06-01

    The European XFEL is a large-scale user facility under construction in Hamburg, Germany. It will provide a transversally fully coherent X-ray radiation with outstanding characteristics: high repetition rate (up to 2700 pulses with a 0.6 milliseconds long pulse train at 10Hz), short wavelength (down to 0.05 nm), short pulses (in the femtoseconds scale) and high average brilliance (1.6x1025 photons / s / mm2 / mrad2/ 0.1% bandwidth)1. Due to the short wavelength and high pulse energies, mirrors need to have a high-quality surface, have to be very long (1 m), and at the same time an effective cooling system has to be implemented. Matching these tight specifications and assessing them with high precision optical measurements is very challenging. The mirrors go through a complicated and long process, starting from classical polishing to deterministic polishing, ending with a special coating and a final metrology assessment inside their mechanical mounts just before the installation. The installation itself is also difficult for such big mirrors and needs special care. In this contribution we will explain how we implemented the installation process, how we used the metrology information to optimize the installation procedure and we will show some preliminary results with the first mirrors installed in the European XFEL beam transport.

  12. Coherent double-color interference microscope for traceable optical surface metrology

    Science.gov (United States)

    Malinovski, I.; França, R. S.; Bessa, M. S.; Silva, C. R.; Couceiro, I. B.

    2016-06-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed.

  13. Coherent double-color interference microscope for traceable optical surface metrology

    International Nuclear Information System (INIS)

    Malinovski, I; França, R S; Bessa, M S; Silva, C R; Couceiro, I B

    2016-01-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed. (paper)

  14. Metrological AFMs and its application for versatile nano-dimensional metrology tasks

    Science.gov (United States)

    Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.

    2010-08-01

    Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.

  15. Spectral Aerosol Extinction (SpEx): A New Instrument for In situ Ambient Aerosol Extinction Measurements Across the UV/Visible Wavelength Range

    Science.gov (United States)

    Jordan, C. E.; Anderson, B. E.; Beyersdorf, A. J.; Corr, C. A.; Dibb, J. E.; Greenslade, M. E.; Martin, R. F.; Moore, R. H.; Scheuer, E.; Shook, M. A.; hide

    2015-01-01

    We introduce a new instrument for the measurement of in situ ambient aerosol extinction over the 300-700 nm wavelength range, the Spectral Aerosol Extinction (SpEx) instrument. This measurement capability is envisioned to complement existing in situ instrumentation, allowing for simultaneous measurement of the evolution of aerosol optical, chemical, and physical characteristics in the ambient environment. In this work, a detailed description of the instrument is provided along with characterization tests performed in the laboratory. Measured spectra of NO2 and polystyrene latex spheres agreed well with theoretical calculations. Good agreement was also found with simultaneous aerosol extinction measurements at 450, 530, and 630 nm using CAPS PMex instruments in a series of 22 tests including non-absorbing compounds, dusts, soot, and black and brown carbon analogs. SpEx can more accurately distinguish the presence of brown carbon from other absorbing aerosol due to its 300 nm lower wavelength limit compared to measurements limited to visible wavelengths. In addition, the spectra obtained by SpEx carry more information than can be conveyed by a simple power law fit that is typically defined by the use of Angstrom Exponents. Future improvements aim at lowering detection limits and ruggedizing the instrument for mobile operation.

  16. Optical metrology for advanced process control: full module metrology solutions

    Science.gov (United States)

    Bozdog, Cornel; Turovets, Igor

    2016-03-01

    Optical metrology is the workhorse metrology in manufacturing and key enabler to patterning process control. Recent advances in device architecture are gradually shifting the need for process control from the lithography module to other patterning processes (etch, trim, clean, LER/LWR treatments, etc..). Complex multi-patterning integration solutions, where the final pattern is the result of multiple process steps require a step-by-step holistic process control and a uniformly accurate holistic metrology solution for pattern transfer for the entire module. For effective process control, more process "knobs" are needed, and a tighter integration of metrology with process architecture.

  17. Phase shifting white light interferometry using colour CCD for optical metrology and bio-imaging applications

    Science.gov (United States)

    Upputuri, Paul Kumar; Pramanik, Manojit

    2018-02-01

    Phase shifting white light interferometry (PSWLI) has been widely used for optical metrology applications because of their precision, reliability, and versatility. White light interferometry using monochrome CCD makes the measurement process slow for metrology applications. WLI integrated with Red-Green-Blue (RGB) CCD camera is finding imaging applications in the fields optical metrology and bio-imaging. Wavelength dependent refractive index profiles of biological samples were computed from colour white light interferograms. In recent years, whole-filed refractive index profiles of red blood cells (RBCs), onion skin, fish cornea, etc. were measured from RGB interferograms. In this paper, we discuss the bio-imaging applications of colour CCD based white light interferometry. The approach makes the measurement faster, easier, cost-effective, and even dynamic by using single fringe analysis methods, for industrial applications.

  18. International symposium on in situ nuclear metrology as a tool for radioecology INSINUME

    International Nuclear Information System (INIS)

    2008-01-01

    Full Text: This symposium, which is the natural continuation of the previous INSINUME conferences, held in Fleurus-Belgium, Albena-Bulgaria and Kusadasi-Turkey, has a dual purpose. First of all, it wants to bring together Radioecologists, Regulatory Authorities as well as Radiological Monitoring System Operators, in order to allow a wide exchange of information regarding practical experience and difficulties encountered in daily radiological monitoring of environment. On the other hand, the symposium intends to focus on the modern nuclear metrological tools, which could be used nowadays to ease the direct remote surveillance of the radiological status of seas, rivers, lakes and earth surface. In the past, these tools were suffering from a lack of sensitivity and reliability and were for that reason mainly used for health physics control that didn't require such a high accuracy. New systems are now at the disposal of the mathematical model users and radioecologists for investigating radioactive contaminants dispersion in normal conditions as well as in case of incidents. On basis of acquired experience and metrology progress, the final object of the symposium is to help the environment radioprotection world, to harmonise its rules, and thus to perform in the future a realistic and useful radiological monitoring. [fr

  19. Laser source for dimensional metrology: investigation of an iodine stabilized system based on narrow linewidth 633 nm DBR diode

    Science.gov (United States)

    Rerucha, Simon; Yacoot, Andrew; Pham, Tuan M.; Cizek, Martin; Hucl, Vaclav; Lazar, Josef; Cip, Ondrej

    2017-04-01

    We demonstrated that an iodine stabilized distributed Bragg reflector (DBR) diode based laser system lasing at a wavelength in close proximity to λ =633 nm could be used as an alternative laser source to the helium-neon lasers in both scientific and industrial metrology. This yields additional advantages besides the optical frequency stability and coherence: inherent traceability, wider optical frequency tuning range, higher output power and high frequency modulation capability. We experimentally investigated the characteristics of the laser source in two major steps: first using a wavelength meter referenced to a frequency comb controlled with a hydrogen maser and then on an interferometric optical bench testbed where we compared the performance of the laser system with that of a traditional frequency stabilized He-Ne laser. The results indicate that DBR diode laser system provides a good laser source for applications in dimensional (nano)metrology, especially in conjunction with novel interferometric detection methods exploiting high frequency modulation or multiaxis measurement systems.

  20. Metrology of image placement

    International Nuclear Information System (INIS)

    Starikov, Alexander

    1998-01-01

    Metrology of registration, overlay and alignment offset in microlithography are discussed. Requirements and limitations are traced to the device ground rules and the definitions of edge, linewidth and centerline. Precision, accuracy, system performance and metrology in applications are discussed. The impact of image acquisition and data handling on performance is elucidated. Much attention is given to the manufacturing environment and effects of processing. General new methods of metrology error diagnostics and technology characterization are introduced and illustrated. Applications of these diagnostics to tests of tool performance, error diagnostics and culling, as well as to process integration in manufacturing are described. Realistic overlay reference materials and results of accuracy evaluations are discussed. Requirements in primary standards and alternative metrology are explained. The role and capability of SEM based overlay metrology is described, along with applications to device overlay metrology

  1. Correlation methods in optical metrology with state-of-the-art x-ray mirrors

    Science.gov (United States)

    Yashchuk, Valeriy V.; Centers, Gary; Gevorkyan, Gevork S.; Lacey, Ian; Smith, Brian V.

    2018-01-01

    The development of fully coherent free electron lasers and diffraction limited storage ring x-ray sources has brought to focus the need for higher performing x-ray optics with unprecedented tolerances for surface slope and height errors and roughness. For example, the proposed beamlines for the future upgraded Advance Light Source, ALS-U, require optical elements characterized by a residual slope error of optics with a length of up to one meter. However, the current performance of x-ray optical fabrication and metrology generally falls short of these requirements. The major limitation comes from the lack of reliable and efficient surface metrology with required accuracy and with reasonably high measurement rate, suitable for integration into the modern deterministic surface figuring processes. The major problems of current surface metrology relate to the inherent instrumental temporal drifts, systematic errors, and/or an unacceptably high cost, as in the case of interferometry with computer-generated holograms as a reference. In this paper, we discuss the experimental methods and approaches based on correlation analysis to the acquisition and processing of metrology data developed at the ALS X-Ray Optical Laboratory (XROL). Using an example of surface topography measurements of a state-of-the-art x-ray mirror performed at the XROL, we demonstrate the efficiency of combining the developed experimental correlation methods to the advanced optimal scanning strategy (AOSS) technique. This allows a significant improvement in the accuracy and capacity of the measurements via suppression of the instrumental low frequency noise, temporal drift, and systematic error in a single measurement run. Practically speaking, implementation of the AOSS technique leads to an increase of the measurement accuracy, as well as the capacity of ex situ metrology by a factor of about four. The developed method is general and applicable to a broad spectrum of high accuracy measurements.

  2. Nanoelectronics: Metrology and Computation

    International Nuclear Information System (INIS)

    Lundstrom, Mark; Clark, Jason V.; Klimeck, Gerhard; Raman, Arvind

    2007-01-01

    Research in nanoelectronics poses new challenges for metrology, but advances in theory, simulation and computing and networking technology provide new opportunities to couple simulation and metrology. This paper begins with a brief overview of current work in computational nanoelectronics. Three examples of how computation can assist metrology will then be discussed. The paper concludes with a discussion of how cyberinfrastructure can help connect computing and metrology using the nanoHUB (www.nanoHUB.org) as a specific example

  3. Nonlinear momentum compaction and coherent synchrotron radiation at the metrology light source. Low-α commissioning and development

    International Nuclear Information System (INIS)

    Ries, Markus

    2014-01-01

    Short pulses of synchrotron radiation are becoming an increasingly demanded tool in various fields of science. The generation of short synchrotron radiation pulses can be accomplished by different accelerator-based approaches such as free electron lasers, energy recovery linacs or electron storage rings. Linear accelerator driven free electron lasers are capable of generating intense pulses in the femtosecond regime at moderate repetition rates. In comparison, electron storage rings generate pulses of lower intensity with the advantage of large repetition rates. However, electron storage rings rely on radiation emitted by the same bunch(es) every turn, which are present in an equilibrium state. Thus making the electron storage ring a yet unchallenged source of short synchrotron radiation pulses in terms of stability and reproducibility. In addition, storage rings are capable to serve a large number of users simultaneously. In general, it is possible to distinguish the user community of short pulses at electron storage rings. The first user group is interested in time-resolution applying incoherent synchrotron radiation up to the X-ray regime. The second user group makes use of coherent synchrotron radiation emitted by short bunches at wavelengths large compared to the bunch dimensions, which commonly applies up to the THz-regime. Both user groups are interested in the high average power and stability available at electron storage rings. However, there is a current limitation for stable short bunch operation of electron storage rings, which is due to an instability driven by the emission of coherent synchrotron radiation. The subject of this thesis is the operation of an electron storage ring at a low momentum compaction to generate short electron bunches as a source for coherent synchrotron radiation. For this purpose the Metrology Light Source is ideally suited, as it is the first light source designed with the ability to adjust the three leading orders of the

  4. Capability Handbook- offline metrology

    DEFF Research Database (Denmark)

    Islam, Aminul; Marhöfer, David Maximilian; Tosello, Guido

    This offline metrological capability handbook has been made in relation to HiMicro Task 3.3. The purpose of this document is to assess the metrological capability of the HiMicro partners and to gather the information of all available metrological instruments in the one single document. It provides...

  5. Metrology of variable-line-spacing x-ray gratings using the APS Long Trace Profiler

    Science.gov (United States)

    Sheung, Janet; Qian, Jun; Sullivan, Joseph; Thomasset, Muriel; Manton, Jonathan; Bean, Sunil; Takacs, Peter; Dvorak, Joseph; Assoufid, Lahsen

    2017-09-01

    As resolving power targets have increased with each generation of beamlines commissioned in synchrotron radiation facilities worldwide, diffraction gratings are quickly becoming crucial optical components for meeting performance targets. However, the metrology of variable-line-spacing (VLS) gratings for high resolution beamlines is not widespread; in particular, no metrology facility at any US DOE facility is currently equipped to fully characterize such gratings. To begin to address this issue, the Optics Group at the Advanced Photon Source at Argonne, in collaboration with SOLEIL and with support from Brookhaven National Laboratory (BNL), has developed an alternative beam path addition to the Long Trace Profiler (LTP) at Argonne's Advanced Photon Source. This significantly expands the functionality of the LTP not only to measure mirrors surface slope profile at normal incidence, but also to characterize the groove density of VLS diffraction gratings in the Littrow incidence up to 79°, which covers virtually all diffraction gratings used at synchrotrons in the first order. The LTP light source is a 20mW HeNe laser, which yields enough signal for diffraction measurements to be performed on low angle blazed gratings optimized for soft X-ray wavelengths. We will present the design of the beam path, technical requirements for the optomechanics, and our data analysis procedure. Finally, we discuss challenges still to be overcome and potential limitations with use of the LTP to perform metrology on diffraction gratings.

  6. Metrology's role in quality assurance

    International Nuclear Information System (INIS)

    Zeederberg, L.B.

    1982-01-01

    Metrology, the science of measurement, is playing an increasing role in modern industry as part of an on-going quality assurance programme. At Escom, quality assurance was critical during the construction of the Koeberg nuclear facility, and also a function in controlling services provided by Escom. This article deals with the role metrology plays in quality assurance

  7. NIF Target Assembly Metrology Methodology and Results

    Energy Technology Data Exchange (ETDEWEB)

    Alger, E. T. [General Atomics, San Diego, CA (United States); Kroll, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Dzenitis, E. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Montesanti, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Hughes, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Swisher, M. [IAP, Livermore, CA (United States); Taylor, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Segraves, K. [IAP, Livermore, CA (United States); Lord, D. M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Reynolds, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Castro, C. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Edwards, G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2011-01-01

    During our inertial confinement fusion (ICF) experiments at the National Ignition Facility (NIF) we require cryogenic targets at the 1-cm scale to be fabricated, assembled, and metrologized to micron-level tolerances. During assembly of these ICF targets, there are physical dimensmetrology is completed using optical coordinate measurement machines that provide repeatable measurements with micron precision, while also allowing in-process data collection for absolute accuracy in assembly. To date, 51 targets have been assembled and metrologized, and 34 targets have been successfully fielded on NIF relying on these metrology data. In the near future, ignition experiments on NIF will require tighter tolerances and more demanding target assembly and metrology capability. Metrology methods, calculations, and uncertainty estimates will be discussed. Target diagnostic port alignment, target position, and capsule location results will be reviewed for the 2009 Energetics Campaign. The information is presented via control charts showing the effect of process improvements that were made during target production. Certain parameters, including capsule position, met the 2009 campaign specifications but will have much tighter requirements in the future. Finally, in order to meet these new requirements assembly process changes and metrology capability upgrades will be necessary.

  8. In situ metrology to characterize water vapor delivery during atomic layer deposition

    International Nuclear Information System (INIS)

    Ahmido, Tariq; Kimes, William A.; Sperling, Brent A.; Hodges, Joseph T.; Maslar, James E.

    2016-01-01

    Water is often employed as the oxygen source in metal oxide atomic layer deposition (ALD) processes. It has been reported that variations in the amount of water delivered during metal oxide ALD can impact the oxide film properties. Hence, one contribution to optimizing metal oxide ALD processes would be to identify methods to better control water dose. The development of rapid, quantitative techniques for in situ water vapor measurements during ALD processes would be beneficial to achieve this goal. In this report, the performance of an in situ tunable diode laser absorption spectroscopy (TDLAS) scheme for performing rapid, quantitative water partial pressure measurements in a representative quarter-inch ALD delivery line is described. This implementation of TDLAS, which utilizes a near-infrared distributed-feedback diode laser and wavelength modulation spectroscopy, provides measurements of water partial pressure on a timescale comparable to or shorter than the timescale of the gas dynamics in typical ALD systems. Depending on the degree of signal averaging, this TDLAS system was capable of measuring the water partial pressure with a detection limit in the range of ∼0.80 to ∼0.08 Pa. The utility of this TDLAS scheme was demonstrated by using it to identify characteristics of a representative water delivery system that otherwise would have been difficult to predict. Those characteristics include (1) the magnitude and time dependence of the pressure transient that can occur during water injection, and (2) the dependence of the steady-state water partial pressure on the carrier gas flow rate and the setting of the water ampoule flow restriction.

  9. Making Displaced Holograms At Two Wavelengths

    Science.gov (United States)

    Witherow, William K.; Ecker, Andreas

    1989-01-01

    Two-wavelength holographic system augmented with pair of prisms to introduce small separation between holograms formed simultaneously at two wavelengths on holographic plate. Principal use in study of flows. Gradients in index of refraction of fluid caused by variations in temperature, concentration, or both. Holography at one wavelength cannot be used to distinguish between two types of variations. Difference between spacings of fringes in photographs reconstructed from holograms taken simultaneously at two different wavelengths manipulated mathematically to determine type of variation.

  10. Future metrology needs for FEL reflective optics

    International Nuclear Information System (INIS)

    Assoufid, L.

    2000-01-01

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed

  11. Future metrology needs for FEL reflective optics.

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, L.

    2000-09-21

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed.

  12. Metrology for WEST components design and integration optimization

    International Nuclear Information System (INIS)

    Brun, C.; Archambeau, G.; Blanc, L.; Bucalossi, J.; Chantant, M.; Gargiulo, L.; Hermenier, A.; Le, R.; Pilia, A.

    2015-01-01

    Highlights: • Metrology methods. • Interests of metrology campaign to optimize margins by reducing uncertainties. • Assembly problems are solved and validated on a numerical mock up. • Post treatment of full 3DScan of the vacuum vessel. - Abstract: On WEST new components will be implemented in an existing environment, emphasis has to be put on the metrology to optimize the design and the assembly. Hence, at a particular stage of the project, several components have to coexist in the limited vessel. Therefore, all the difficulty consists in validating the mechanical interfaces between existing components and new one; minimize the risk of the assembling and to maximize the plasma volume. The CEA/IRFM takes the opportunity of the ambitious project to sign a partnership with an industrial specialized in multipurpose metrology domains. To optimize the assembly procedure, the IRFM Assembly group works in strong collaboration with its industrial, to define and plan the campaigns of metrology. The paper will illustrate the organization, methods and results of the dedicated metrology campaigns have been defined and carried out in the WEST dis/assembly phase. To conclude, the future needs of metrology at CEA/IRFM will be exposed to define the next steps.

  13. Metrology for Fuel Cell Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Stocker, Michael [National Inst. of Standards and Technology, Gaithersburg, MD (United States); Stanfield, Eric [National Inst. of Standards and Technology, Gaithersburg, MD (United States)

    2015-02-04

    The project was divided into three subprojects. The first subproject is Fuel Cell Manufacturing Variability and Its Impact on Performance. The objective was to determine if flow field channel dimensional variability has an impact on fuel cell performance. The second subproject is Non-contact Sensor Evaluation for Bipolar Plate Manufacturing Process Control and Smart Assembly of Fuel Cell Stacks. The objective was to enable cost reduction in the manufacture of fuel cell plates by providing a rapid non-contact measurement system for in-line process control. The third subproject is Optical Scatterfield Metrology for Online Catalyst Coating Inspection of PEM Soft Goods. The objective was to evaluate the suitability of Optical Scatterfield Microscopy as a viable measurement tool for in situ process control of catalyst coatings.

  14. Metrology of electrical quantum

    International Nuclear Information System (INIS)

    Camon, A.

    1996-01-01

    Since 1989 the electrical metrology laboratory of TPYCEA and the low temperature physics department of ICMA have been collaborating in the development of electrical quantum metrology. ICMA has been mainly dedicated to implement the state of the art quantum standards for which its experience on cryogenics, superconductivity and low noise instrumentation was essential. On the other hand TPYCEA concentrated its efforts on the metrological aspects, in which it has great experience. The complimentary knowledge of both laboratories, as well as the advice obtained from several prestigious metrology institutes was the key to successful completion of the two projects so far developed: i) The Josephson voltage standard (1989-1991) ii) The quantum Hall resistance standard (1991-1996) This report contains a description of both projects. Even though we can consider that the two projects are finished from the instrumental and metrological point of view, there is still a strong cooperation between ICMA and TPYCEA on the improvement of these standards, as well as on their international validation

  15. Quantum metrology

    International Nuclear Information System (INIS)

    Xiang Guo-Yong; Guo Guang-Can

    2013-01-01

    The statistical error is ineluctable in any measurement. Quantum techniques, especially with the development of quantum information, can help us squeeze the statistical error and enhance the precision of measurement. In a quantum system, there are some quantum parameters, such as the quantum state, quantum operator, and quantum dimension, which have no classical counterparts. So quantum metrology deals with not only the traditional parameters, but also the quantum parameters. Quantum metrology includes two important parts: measuring the physical parameters with a precision beating the classical physics limit and measuring the quantum parameters precisely. In this review, we will introduce how quantum characters (e.g., squeezed state and quantum entanglement) yield a higher precision, what the research areas are scientists most interesting in, and what the development status of quantum metrology and its perspectives are. (topical review - quantum information)

  16. Toward reliable and repeatable automated STEM-EDS metrology with high throughput

    Science.gov (United States)

    Zhong, Zhenxin; Donald, Jason; Dutrow, Gavin; Roller, Justin; Ugurlu, Ozan; Verheijen, Martin; Bidiuk, Oleksii

    2018-03-01

    New materials and designs in complex 3D architectures in logic and memory devices have raised complexity in S/TEM metrology. In this paper, we report about a newly developed, automated, scanning transmission electron microscopy (STEM) based, energy dispersive X-ray spectroscopy (STEM-EDS) metrology method that addresses these challenges. Different methodologies toward repeatable and efficient, automated STEM-EDS metrology with high throughput are presented: we introduce the best known auto-EDS acquisition and quantification methods for robust and reliable metrology and present how electron exposure dose impacts the EDS metrology reproducibility, either due to poor signalto-noise ratio (SNR) at low dose or due to sample modifications at high dose conditions. Finally, we discuss the limitations of the STEM-EDS metrology technique and propose strategies to optimize the process both in terms of throughput and metrology reliability.

  17. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    Science.gov (United States)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  18. Metrology and testing

    International Nuclear Information System (INIS)

    2010-01-01

    The chapter presents the Metrology Service of Ionizing Radiation (SEMRI), the Metrology Service of Radioisotopes (SEMRA), the External Individual Monitoring Service (SEMEX), the Internal Individual Monitoring Service (SEMIN) and the associated laboratories, the analysis of environmental samples, system for management of quality from IRD and the National Program for intercomparison results of environmental samples analysis to radioisotopes determination

  19. Radiation protection - quality and metrology

    International Nuclear Information System (INIS)

    Broutin, J.P.

    2002-01-01

    The radiation protection gathers three occupations: radiation protection agents; environment agents ( control and monitoring); metrology agents ( activities measurement and calibration). The quality and the metrology constitute a contribution in the technique competence and the guarantee of the service quality. This article, after a historical aspect of quality and metrology in France explains the advantages of such a policy. (N.C.)

  20. Optical vortex metrology: Are phase singularities foes or friends in optical metrology?

    DEFF Research Database (Denmark)

    Takeda, M.; Wang, W.; Hanson, Steen Grüner

    2008-01-01

    We raise an issue whether phase singularities are foes or friends in optical metrology, and give an answer by introducing the principle and applications of a new technique which we recently proposed for displacement and flow measurements. The technique is called optical vortex metrology because i...

  1. A Roadmap for Thermal Metrology

    Science.gov (United States)

    Bojkovski, J.; Fischer, J.; Machin, G.; Pavese, F.; Peruzzi, A.; Renaot, E.; Tegeler, E.

    2009-02-01

    A provisional roadmap for thermal metrology was developed in Spring 2006 as part of the EUROMET iMERA activity toward increasing impact from national investment in European metrology R&D. This consisted of two parts: one addressing the influence of thermal metrology on society, industry, and science, and the other specifying the requirements of enabling thermal metrology to serve future needs. The roadmap represents the shared vision of the EUROMET TC Therm committee as to how thermal metrology should develop to meet future requirements over the next 15 years. It is important to stress that these documents are a first attempt to roadmap the whole of thermal metrology and will certainly need regular review and revision to remain relevant and useful to the community they seek to serve. The first part of the roadmap, “Thermal metrology for society, industry, and science,” identifies the main social and economic triggers driving developments in thermal metrology—notably citizen safety and security, new production technologies, environment and global climate change, energy, and health. Stemming from these triggers, key targets are identified that require improved thermal measurements. The second part of the roadmap, “Enabling thermal metrology to serve future needs” identifies another set of triggers, like global trade and interoperability, future needs in transport, and the earth radiation budget. Stemming from these triggers, key targets are identified, such as improved realizations and dissemination of the SI unit the kelvin, anchoring the kelvin to the Boltzmann constant, k B, and calculating thermal properties from first principles. To facilitate these outcomes, the roadmap identifies the technical advances required in thermal measurement standards.

  2. FOREWORD: Materials metrology Materials metrology

    Science.gov (United States)

    Bennett, Seton; Valdés, Joaquin

    2010-04-01

    It seems that so much of modern life is defined by the materials we use. From aircraft to architecture, from cars to communications, from microelectronics to medicine, the development of new materials and the innovative application of existing ones have underpinned the technological advances that have transformed the way we live, work and play. Recognizing the need for a sound technical basis for drafting codes of practice and specifications for advanced materials, the governments of countries of the Economic Summit (G7) and the European Commission signed a Memorandum of Understanding in 1982 to establish the Versailles Project on Advanced Materials and Standards (VAMAS). This project supports international trade by enabling scientific collaboration as a precursor to the drafting of standards. The VAMAS participants recognized the importance of agreeing a reliable, universally accepted basis for the traceability of the measurements on which standards depend for their preparation and implementation. Seeing the need to involve the wider metrology community, VAMAS approached the Comité International des Poids et Mesures (CIPM). Following discussions with NMI Directors and a workshop at the BIPM in February 2005, the CIPM decided to establish an ad hoc Working Group on the metrology applicable to the measurement of material properties. The Working Group presented its conclusions to the CIPM in October 2007 and published its final report in 2008, leading to the signature of a Memorandum of Understanding between VAMAS and the BIPM. This MoU recognizes the work that is already going on in VAMAS as well as in the Consultative Committees of the CIPM and establishes a framework for an ongoing dialogue on issues of materials metrology. The question of what is meant by traceability in the metrology of the properties of materials is particularly vexed when the measurement results depend on a specified procedure. In these cases, confidence in results requires not only traceable

  3. Laser metrology for a next generation gravimetric mission

    Science.gov (United States)

    Mottini, Sergio; Biondetti, Giorgio; Cesare, Stefano; Castorina, Giuseppe; Musso, Fabio; Pisani, Marco; Leone, Bruno

    2017-11-01

    Within the ESA technology research project "Laser Interferometer High Precision tracking for LEO", Thales Alenia Space Italia is developing a laser metrology system for a Next Generation Gravimetric Mission (NGGM) based on satellite-to-satellite tracking. This technique is based on the precise measurement of the displacement between two satellites flying in formation at low altitude for monitoring the variations of Earth's gravity field at high resolution over a long time period. The laser metrology system that has been defined for this mission consists of the following elements: • an heterodyne Michelson interferometer for measuring the distance variation between retroreflectors positioned on the two satellites; • an angle metrology for measuring the orientation of the laser beam in the reference frames of the two satellites; • a lateral displacement metrology for measuring the deviations of the laser beam axis from the target retro-reflector. The laser interferometer makes use of a chopped measurement beam to avoid spurious signals and nonlinearity caused by the unbalance between the strong local beam and the weak return beam. The main results of the design, development and test activities performed on the breadboard of the metrology system are summarized in this paper.

  4. Profile variation impact on FIB cross-section metrology

    Science.gov (United States)

    Cordes, Aaron; Bunday, Benjamin; Nadeau, Jim

    2012-03-01

    The focused ion beam (FIB) milling tool is an important component of reference metrology and process characterization, both as a supporting instrument for bulk sample preparation before forwarding to the transmission electron microscope (TEM) and other instruments and as an in situ measurement instrument using angled scanning electron microscopy. As features grow denser, deeper and more demanding, full-profile reference metrology is needed, and this methodology will only grow in importance. Thus, the ability to extract accurate dimensional and profile information out of the crosssectional faces produced by FIB milling is critical. For features that demonstrate perfect symmetry in the plane of the cross section, analyzing images and extracting metrology data are straightforward. However, for industrial materials, symmetry is not a safe assumption: as features shrink, the line edge and sidewall roughness increases as a percentage of the overall feature dimension. Furthermore, with the introduction of more complex architectures such as 3D memory and FinFETs, the areas of greatest interest, such as the intersections of wrap-around gates, cannot be assumed to be symmetrical in any given plane if cut placement is not precisely controlled. Therefore it is important to establish the exact location and repeatability of the cross-section plane, both in terms of coordinate placement and effective angle of the milled surface. To this end, we prepared designed-in line edge roughness samples in the Albany Nanotech facility using SEMATECH's AMAG6 metrology reticle. The samples were thoroughly characterized before being milled by a non-destructive, sidewall-scanning atomic force microscope (AFM). These samples are then milled and measured under varying process and setup parameters using a single-beam FIB with angled SEM. We established methodologies that allow precise alignment of the cut planes of slice-and-view FIB milling to 3D-AFM scan lines to compare repeated sections

  5. TSOM Method for Nanoelectronics Dimensional Metrology

    International Nuclear Information System (INIS)

    Attota, Ravikiran

    2011-01-01

    Through-focus scanning optical microscopy (TSOM) is a relatively new method that transforms conventional optical microscopes into truly three-dimensional metrology tools for nanoscale to microscale dimensional analysis. TSOM achieves this by acquiring and analyzing a set of optical images collected at various focus positions going through focus (from above-focus to under-focus). The measurement resolution is comparable to what is possible with typical light scatterometry, scanning electron microscopy (SEM) and atomic force microscopy (AFM). TSOM method is able to identify nanometer scale difference, type of the difference and magnitude of the difference between two nano/micro scale targets using a conventional optical microscope with visible wavelength illumination. Numerous industries could benefit from the TSOM method--such as the semiconductor industry, MEMS, NEMS, biotechnology, nanomanufacturing, data storage, and photonics. The method is relatively simple and inexpensive, has a high throughput, provides nanoscale sensitivity for 3D measurements and could enable significant savings and yield improvements in nanometrology and nanomanufacturing. Potential applications are demonstrated using experiments and simulations.

  6. 7th International Workshop on Advanced Optical Imaging and Metrology

    CERN Document Server

    2014-01-01

    In continuation of the FRINGE Workshop Series this Proceeding contains all contributions presented at the 7. International Workshop on Advanced Optical Imaging and Metrology. The FRINGE Workshop Series is dedicated to the presentation, discussion and dissemination of recent results in Optical Imaging and Metrology. Topics of particular interest for the 7. Workshop are: - New methods and tools for the generation, acquisition, processing, and evaluation of data in Optical Imaging and Metrology (digital wavefront engineering, computational imaging, model-based reconstruction, compressed sensing, inverse problems solution) - Application-driven technologies in Optical Imaging and Metrology (high-resolution, adaptive, active, robust, reliable, flexible, in-line, real-time) - High-dynamic range solutions in Optical Imaging and Metrology (from macro to nano) - Hybrid technologies in Optical Imaging and Metrology (hybrid optics, sensor and data fusion, model-based solutions, multimodality) - New optical sensors, imagi...

  7. Consultative committee on ionizing radiation: Impact on radionuclide metrology

    International Nuclear Information System (INIS)

    Karam, L.R.; Ratel, G.

    2016-01-01

    In response to the CIPM MRA, and to improve radioactivity measurements in the face of advancing technologies, the CIPM's consultative committee on ionizing radiation developed a strategic approach to the realization and validation of measurement traceability for radionuclide metrology. As a consequence, measurement institutions throughout the world have devoted no small effort to establish radionuclide metrology capabilities, supported by active quality management systems and validated through prioritized participation in international comparisons, providing a varied stakeholder community with measurement confidence. - Highlights: • Influence of CIPM MRA on radionuclide metrology at laboratories around the world. • CCRI strategy: to be the “undisputed hub for ionizing radiation global metrology.” • CCRI Strategic Plan stresses importance of measurement confidence for stakeholder. • NMIs increasing role in radionuclide metrology by designating institutions (DIs). • NMIs and DIs establish quality systems; validate capabilities through comparisons.

  8. Improving Metrological Reliability of Information-Measuring Systems Using Mathematical Modeling of Their Metrological Characteristics

    Science.gov (United States)

    Kurnosov, R. Yu; Chernyshova, T. I.; Chernyshov, V. N.

    2018-05-01

    The algorithms for improving the metrological reliability of analogue blocks of measuring channels and information-measuring systems are developed. The proposed algorithms ensure the optimum values of their metrological reliability indices for a given analogue circuit block solution.

  9. Asynchronous and synchronous dual-wavelength pulse generation in a passively mode-locked fiber laser with a mode-locker.

    Science.gov (United States)

    Hu, Guoqing; Pan, Yingling; Zhao, Xin; Yin, Siyao; Zhang, Meng; Zheng, Zheng

    2017-12-01

    The evolution from asynchronous to synchronous dual-wavelength pulse generation in a passively mode-locked fiber laser is experimentally investigated by tailoring the intracavity dispersion. Through tuning the intracavity-loss-dependent gain profile and the birefringence-induced filter effect, asynchronous dual-wavelength soliton pulses can be generated until the intracavity anomalous dispersion is reduced to ∼8  fs/nm. The transition from asynchronous to synchronous pulse generation is then observed at an elevated pump power in the presence of residual anomalous dispersion, and it is shown that pulses are temporally synchronized at the mode-locker in the cavity. Spectral sidelobes are observed and could be attributed to the four-wave-mixing effect between dual-wavelength pulses at the carbon nanotube mode-locker. These results could provide further insight into the design and realization of such dual-wavelength ultrafast lasers for different applications such as dual-comb metrology as well as better understanding of the inter-pulse interactions in such dual-comb lasers.

  10. An OCD perspective of line edge and line width roughness metrology

    Science.gov (United States)

    Bonam, Ravi; Muthinti, Raja; Breton, Mary; Liu, Chi-Chun; Sieg, Stuart; Seshadri, Indira; Saulnier, Nicole; Shearer, Jeffrey; Patlolla, Raghuveer; Huang, Huai

    2017-03-01

    Metrology of nanoscale patterns poses multiple challenges that range from measurement noise, metrology errors, probe size etc. Optical Metrology has gained a lot of significance in the semiconductor industry due to its fast turn around and reliable accuracy, particularly to monitor in-line process variations. Apart from monitoring critical dimension, thickness of films, there are multiple parameters that can be extracted from Optical Metrology models3. Sidewall angles, material compositions etc., can also be modeled to acceptable accuracy. Line edge and Line Width roughness are much sought of metrology following critical dimension and its uniformity, although there has not been much development in them with optical metrology. Scanning Electron Microscopy is still used as a standard metrology technique for assessment of Line Edge and Line Width roughness. In this work we present an assessment of Optical Metrology and its ability to model roughness from a set of structures with intentional jogs to simulate both Line edge and Line width roughness at multiple amplitudes and frequencies. We also present multiple models to represent roughness and extract relevant parameters from Optical metrology. Another critical aspect of optical metrology setup is correlation of measurement to a complementary technique to calibrate models. In this work, we also present comparison of roughness parameters extracted and measured with variation of image processing conditions on a commercially available CD-SEM tool.

  11. A Century of Acoustic Metrology

    DEFF Research Database (Denmark)

    Rasmussen, Knud

    1998-01-01

    The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect.......The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect....

  12. La Metrología Óptica y sus Aplicaciones La Metrología Óptica y sus Aplicaciones

    OpenAIRE

    Daniel Malacara Hernández

    2012-01-01

    En este trabajo se presenta una introducción al campo de la metrología óptica y de su herramienta principal que es la interferometría. También se presenta un panorama de los diferentes métodos empleados en metrología describiendo con especial detalle los avances más recientes en este campo. In this work an introduction to optical metrology is presented with a brief description of its main tool which is interferometry. Also, a survey of the main different methods used in optical metrology is ...

  13. SAQP pitch walk metrology using single target metrology

    Science.gov (United States)

    Fang, Fang; Herrera, Pedro; Kagalwala, Taher; Camp, Janay; Vaid, Alok; Pandev, Stilian; Zach, Franz

    2017-03-01

    Self-aligned quadruple patterning (SAQP) processes have found widespread acceptance in advanced technology nodes to drive device scaling beyond the resolution limitations of immersion scanners. Of the four spaces generated in this process from one lithography pattern two tend to be equivalent as they are derived from the first spacer deposition. The three independent spaces are commonly labelled as α, β and γ. α, β and γ are controlled by multiple process steps including the initial lithographic patterning process, the two mandrel and spacer etches as well as the two spacer depositions. Scatterometry has been the preferred metrology approach, however is restricted to repetitive arrays. In these arrays independent measurements, in particular of alpha and gamma, are not possible due to degeneracy of the standard array targets. . In this work we present a single target approach which lifts the degeneracies commonly encountered while using product relevant layout geometries. We will first describe the metrology approach which includes the previously described SRM (signal response metrology) combined with reference data derived from CD SEM data. The performance of the methodology is shown in figures 1-3. In these figures the optically determined values for alpha, beta and gamma are compared to the CD SEM reference data. The variations are achieved using controlled process experiments varying Mandrel CD and Spacer deposition thicknesses.

  14. Metrological Reliability of Medical Devices

    Science.gov (United States)

    Costa Monteiro, E.; Leon, L. F.

    2015-02-01

    The prominent development of health technologies of the 20th century triggered demands for metrological reliability of physiological measurements comprising physical, chemical and biological quantities, essential to ensure accurate and comparable results of clinical measurements. In the present work, aspects concerning metrological reliability in premarket and postmarket assessments of medical devices are discussed, pointing out challenges to be overcome. In addition, considering the social relevance of the biomeasurements results, Biometrological Principles to be pursued by research and innovation aimed at biomedical applications are proposed, along with the analysis of their contributions to guarantee the innovative health technologies compliance with the main ethical pillars of Bioethics.

  15. Computed tomography for dimensional metrology

    DEFF Research Database (Denmark)

    Kruth, J.P.; Bartscher, M.; Carmignato, S.

    2011-01-01

    metrology, putting emphasis on issues as accuracy, traceability to the unit of length (the meter) and measurement uncertainty. It provides a state of the art (anno 2011) and application examples, showing the aptitude of CT metrology to: (i) check internal dimensions that cannot be measured using traditional...

  16. In situ aerosol characterization at Cape Verde. Part 2: Parametrization of relative humidity- and wavelength-dependent aerosol optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Schladitz, Alexander; Muller, Thomas; Nordmann, Stephan; Tesche, Matthias; Wiedensohler, Alfred (Leibniz Institute for Tropospheric Research (IfT), Leipzig (Germany)), e-mail: alexander.schladitz@tropos.de; Gross, Silke; Freudenthaler, Volker; Gasteiger, Josef (Meteorological Institute, Ludwig-Maximilians-Universitaet, Munich (Germany))

    2011-09-15

    An observation-based numerical study of humidity-dependent aerosol optical properties of mixed marine and Saharan mineral dust aerosol is presented. An aerosol model was developed based on measured optical and microphysical properties to describe the marine and Saharan dust aerosol at Cape Verde. A wavelength-dependent optical equivalent imaginary part of the refractive index and a scattering non-sphericity factor for Saharan dust were derived. Simulations of humidity effects on optical properties by the aerosol model were validated with relative measurements of the extinction coefficient at ambient conditions. Parametrizations were derived to describe the humidity dependence of the extinction, scattering, and absorption coefficients as well as the asymmetry parameter and single scattering albedo. For wavelengths (300-950 nm) and dry dust volume fractions (0-1), aerosol optical properties as a function of relative humidity (RH = 0-90%) can be calculated from tabulated parameters. For instance, at a wavelength of 550 nm, a volume fraction of 0.5 of dust on the total particle volume (dry conditions) and a RH of 90%, the enhancements for the scattering, extinction and absorption coefficients are 2.55, 2.46 and 1.04, respectively, while the enhancements for the asymmetry parameter and single scattering albedo are 1.11 and 1.04

  17. Overlay metrology for double patterning processes

    Science.gov (United States)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  18. 3D-SEM Metrology for Coordinate Measurements at the Nanometer Scale

    DEFF Research Database (Denmark)

    Carli, Lorenzo

    to be addressed concerning uncertainty evaluation have been discussed. Most recent developments in the field of micro and nano-metrology, in terms of measuring machines and techniques, are described pointing out advantages and limitations. The importance of multi-sensor and multi-orientation strategy...

  19. Laboratorio de Metrología - LABM

    OpenAIRE

    Jaramillo Ch., Zaira J.

    2011-01-01

    esos y transacciones de forma transparente y justa para todas las partes involucradas. Una herramienta necesaria para este propósito es la Metrología, ciencia que es utilizada en el Laboratorio de Metrología (LABM) del Centro Experimenta

  20. Problems of metrological supply of carbon materials production

    International Nuclear Information System (INIS)

    Belov, G.V.; Bazilevskij, L.P.; Cherkashina, N.V.

    1989-01-01

    Carbon materials and products contain internal residual stresses and have an anisotropy of properties therefore special methods of tests are required to control their quality. The main metrological problems during development, production and application of carbon products are: metrological supply of production forms and records during the development of production conditions; metrological supply of quality control of the product; metrological supply of methods for the tests of products and the methods to forecast the characteristics of product quality for the period of quaranteed service life

  1. Metrological analysis of a virtual flowmeter-based transducer for cryogenic helium

    Energy Technology Data Exchange (ETDEWEB)

    Arpaia, P., E-mail: pasquale.arpaia@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Girone, M., E-mail: mario.girone@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Department of Engineering, University of Sannio, Benevento (Italy); Liccardo, A., E-mail: annalisa.liccardo@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Pezzetti, M., E-mail: marco.pezzetti@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Piccinelli, F., E-mail: fabio.piccinelli@cern.ch [Department of Mechanical Engineering, University of Brescia, Brescia (Italy)

    2015-12-15

    The metrological performance of a virtual flowmeter-based transducer for monitoring helium under cryogenic conditions is assessed. At this aim, an uncertainty model of the transducer, mainly based on a valve model, exploiting finite-element approach, and a virtual flowmeter model, based on the Sereg-Schlumberger method, are presented. The models are validated experimentally on a case study for helium monitoring in cryogenic systems at the European Organization for Nuclear Research (CERN). The impact of uncertainty sources on the transducer metrological performance is assessed by a sensitivity analysis, based on statistical experiment design and analysis of variance. In this way, the uncertainty sources most influencing metrological performance of the transducer are singled out over the input range as a whole, at varying operating and setting conditions. This analysis turns out to be important for CERN cryogenics operation because the metrological design of the transducer is validated, and its components and working conditions with critical specifications for future improvements are identified.

  2. Temperature metrology

    Science.gov (United States)

    Fischer, J.; Fellmuth, B.

    2005-05-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  3. Temperature metrology

    International Nuclear Information System (INIS)

    Fischer, J; Fellmuth, B

    2005-01-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  4. Laser metrology and optic active control system for GAIA

    Science.gov (United States)

    D'Angelo, F.; Bonino, L.; Cesare, S.; Castorina, G.; Mottini, S.; Bertinetto, F.; Bisi, M.; Canuto, E.; Musso, F.

    2017-11-01

    The Laser Metrology and Optic Active Control (LM&OAC) program has been carried out under ESA contract with the purpose to design and validate a laser metrology system and an actuation mechanism to monitor and control at microarcsec level the stability of the Basic Angle (angle between the lines of sight of the two telescopes) of GAIA satellite. As part of the program, a breadboard (including some EQM elements) of the laser metrology and control system has been built and submitted to functional, performance and environmental tests. In the followings we describe the mission requirements, the system architecture, the breadboard design, and finally the performed validation tests. Conclusion and appraisals from this experience are also reported.

  5. An alternative method to achieve metrological confirmation in measurement process

    Science.gov (United States)

    Villeta, M.; Rubio, E. M.; Sanz, A.; Sevilla, L.

    2012-04-01

    Metrological confirmation process must be designed and implemented to ensure that metrological characteristics of the measurement system meet metrological requirements of the measurement process. The aim of this paper is to present an alternative method to the traditional metrological requirements about the relationship between tolerance and measurement uncertainty, to develop such confirmation processes. The proposed way to metrological confirmation considers a given inspection task of the measurement process into the manufacturing system, and it is based on the Index of Contamination of the Capability, ICC. Metrological confirmation process is then developed taking into account the producer risks and economic considerations on this index. As a consequence, depending on the capability of the manufacturing process, the measurement system will be or will not be in adequate state of metrological confirmation for the measurement process.

  6. Dimensional micro and nano metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; da Costa Carneiro, Kim; Haitjema, Han

    2006-01-01

    The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer these chal......The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer...... these challenges. The developments have to include new measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration. The current paper describes issues and challenges in dimensional micro and nano metrology by reviewing typical measurement tasks and available...

  7. Critical issues in overlay metrology

    International Nuclear Information System (INIS)

    Sullivan, Neal T.

    2001-01-01

    In this paper, following an overview of overlay metrology, the difficult relationship of overlay with device performance and yield is discussed and supported with several examples. This is followed by a discussion of the impending collision of metrology equipment performance and 'real' process tolerances for sub 0.18 um technologies. This convergence of tolerance and performance is demonstrated to lead to the current emergence of real-time overlay modeling in a feed-forward/feedback process environment and the associated metrology/sampling implications. This modeling takes advantage of the wealth of understanding concerning the systematic behavior of overlay registration errors. Finally, the impact of new process technologies (RET, OAI, CPSM, CMP, and etc.) on the measurement target is discussed and shown to de-stabilize overlay performance on standard overlay measurement target designs

  8. Radioactivity metrology

    International Nuclear Information System (INIS)

    Legrand, J.

    1979-01-01

    Some aspects of the radioactivity metrology are reviewed. Radioactivity primary references; absolute methods of radioactivity measurements used in the Laboratoire de Metrologie des Rayonnements Ionisants; relative measurement methods; traceability through international comparisons and interlaboratory tests; production and distribution of secondary standards [fr

  9. Interferometer scanning mechanisms and metrology at ABB: recent developments and future perspectives

    Science.gov (United States)

    Grandmont, Frédéric; Buijs, Henry; Mandar, Julie

    2017-11-01

    Interferometers are devices meant to create an interference pattern between photons emitted from a given target of interest. In most cases, this interference pattern must be scanned over time or space to reveal useful information about the target (ex.: radiance spectra or a star diameter). This scanning is typically achieved by moving mirrors at a precision a few orders of magnitude smaller than the wavelength under study. This sometimes leads to mechanism requirements of especially high dynamic range equivalent to 30 bits or more (ex. Sub-nanometer precision over stoke of tens of cms for spectroscopy or tens of meters for astronomical spatial interferometry). On top of this mechanical challenge, the servo control of the mirror position involves obtaining relative distance measurement between distant optical elements with similar if not better dynamic range. The feedback information for such servo-control loop is usually the optical path difference (OPD) measured with a metrology laser beam injected in the interferometer. Over the years since the establishement of the Fourier Transform Spectrometers (FTS) in the 60's as a standard spectroscopic tools, many different approaches have been used to accomplish this task. When it comes to space however, not all approaches are successful. The design challenge can be viewed as analogous to that of scene scanning modules with the exception that the sensitivity and precision are much finer. These mechanisms must move freely to allow fine corrections while remaining stiff to reject external perturbations with frequencies outside of the servo control system reach. Space also brings the additional challenges of implementing as much redundancy as possible and offering protection during launch for these sub-systems viewed as critical single point failures of the payloads they serve.

  10. Distributed large-scale dimensional metrology new insights

    CERN Document Server

    Franceschini, Fiorenzo; Maisano, Domenico

    2011-01-01

    Focuses on the latest insights into and challenges of distributed large scale dimensional metrology Enables practitioners to study distributed large scale dimensional metrology independently Includes specific examples of the development of new system prototypes

  11. Metrology and ionospheric observation standards

    Science.gov (United States)

    Panshin, Evgeniy; Minligareev, Vladimir; Pronin, Anton

    Accuracy and ionospheric observation validity are urgent trends nowadays. WMO, URSI and national metrological and standardisation services bring forward requirements and descriptions of the ionospheric observation means. Researches in the sphere of metrological and standardisation observation moved to the next level in the Russian Federation. Fedorov Institute of Applied Geophysics (IAG) is in charge of ionospheric observation in the Russian Federation and the National Technical Committee, TC-101 , which was set up on the base of IAG- of the standardisation in the sphere. TC-101 can be the platform for initiation of the core international committee in the network of ISO The new type of the ionosounde “Parus-A” is engineered, which is up to the national requirements. “Parus-A” calibration and test were conducted by National metrological Institute (NMI) -D.I. Mendeleyev Institute for Metrology (VNIIM), signed CIMP MRA in 1991. VNIIM is a basic NMI in the sphere of Space weather (including ionospheric observations), the founder of which was celebrated chemist and metrologist Dmitriy I. Mendeleyev. Tests and calibration were carried out for the 1st time throughout 50-year-history of ionosonde exploitation in Russia. The following metrological characteristics were tested: -measurement range of radiofrequency time delay 0.5-10 ms; -time measurement inaccuracy of radio- frequency pulse ±12mcs; -frequency range of radio impulse 1-20 MHz ; -measurement inaccuracy of radio impulse carrier frequency± 5KHz. For example, the sound impulse simulator that was built-in in the ionosounde was used for measurement range of radiofrequency time delay testing. The number of standards on different levels is developed. - “Ionospheric observation guidance”; - “The Earth ionosphere. Terms and definitions”.

  12. Metrology of the radon in air volume activity at the italian radon reference chamber

    Energy Technology Data Exchange (ETDEWEB)

    Sciocchetti, G.; Cotellessa, G.; Soldano, E.; Pagliari, M. [Istituto Nazionale di Metrologia delle Radiazioni Ionizzanti, ENEA Centro Ricerche Casaccia Roma (Italy)

    2006-07-01

    The approach of the Italian National Institute of Ionising Radiations (I.N.M.R.I.-ENEA) on radon metrology has been based on a complete and integrated system which can be used to calibrate the main types of {sup 222}Rn in air measuring instruments with international traceability. The Italian radon reference chamber is a research and calibration facility developed at the Casaccia Research Center in Roma. This facility has an inner volume of one m{sup 3}. The wall is a cylindrical stainless steel vessel coupled with an automated climate apparatus operated both at steady and dynamic conditions. The control and data acquisition equipment is based on Radotron system, developed to automate the multitasking management of different sets of radon monitors and climatic sensors. A novel approach for testing passive radon monitors with an alpha track detector exposure standard has been developed. It is based on the direct measurement of radon exposure with a set of passive integrating monitors based on the new ENEA piston radon exposure meter. This paper describes the methodological approach on radon metrology, the status-of-art of experimental apparatus and the standardization procedures. (authors)

  13. Metrology of the radon in air volume activity at the italian radon reference chamber

    International Nuclear Information System (INIS)

    Sciocchetti, G.; Cotellessa, G.; Soldano, E.; Pagliari, M.

    2006-01-01

    The approach of the Italian National Institute of Ionising Radiations (I.N.M.R.I.-ENEA) on radon metrology has been based on a complete and integrated system which can be used to calibrate the main types of 222 Rn in air measuring instruments with international traceability. The Italian radon reference chamber is a research and calibration facility developed at the Casaccia Research Center in Roma. This facility has an inner volume of one m 3 . The wall is a cylindrical stainless steel vessel coupled with an automated climate apparatus operated both at steady and dynamic conditions. The control and data acquisition equipment is based on Radotron system, developed to automate the multitasking management of different sets of radon monitors and climatic sensors. A novel approach for testing passive radon monitors with an alpha track detector exposure standard has been developed. It is based on the direct measurement of radon exposure with a set of passive integrating monitors based on the new ENEA piston radon exposure meter. This paper describes the methodological approach on radon metrology, the status-of-art of experimental apparatus and the standardization procedures. (authors)

  14. Self-protecting nonlinear compression in a solid fiber for long-term stable ultrafast lasers at 2 μm wavelength

    Science.gov (United States)

    Stutzki, Fabian; Gaida, Christian; Gebhardt, Martin; Jauregui, Cesar; Limpert, Jens; Tünnermann, Andreas; Pupeza, Ioachim

    2017-02-01

    Ultrashort-pulse laser systems are an enabling technology for numerous applications. The stability of such systems is especially crucial for frequency metrology and high precision spectroscopy. Thulium-based fiber lasers are an ideal starting point as a reliable and yet powerful source for the nonlinear conversion towards the mid-IR region. Recently, we have demonstrated that nonlinear self-compression in a fused silica solid-core fiber allows for few-cycle pulse duration with up to 24 MW peak power using a high-repetition rate thulium-based fiber laser system operating at around 2 μm wavelength [1]. This experiment operates near the self-focusing limit of about 24 MW for circular polarization, which increases the requirements for the system stability due to the risk of a fiber damage. Here, we present a self-protecting nonlinear compression regime allowing for long-term operation and high output-pulse stability with very similar output performance.

  15. Application of Hoffman modulation contrast microscopy coupled with three-wavelength two-beam interferometry to the in situ direct observation of the growth process of a crystal in microgravity

    Science.gov (United States)

    Tsukamoto, Katsuo

    1988-01-01

    Direct visualization of three dimensional transfer process of both heat and mass around a growing crystal and mono-molecular growth layers on the surface is possible in situ by means of high resolution Hoffman modulation contrast microscopy coupled with three wavelength two beam Mach-Zehnder interferometry. This in situ observation is very suitable for the verification of the growth mechanism of a crystal in a solution or a melt in microgravity.

  16. Improving OCD time to solution using Signal Response Metrology

    Science.gov (United States)

    Fang, Fang; Zhang, Xiaoxiao; Vaid, Alok; Pandev, Stilian; Sanko, Dimitry; Ramanathan, Vidya; Venkataraman, Kartik; Haupt, Ronny

    2016-03-01

    In recent technology nodes, advanced process and novel integration scheme have challenged the precision limits of conventional metrology; with critical dimensions (CD) of device reduce to sub-nanometer region. Optical metrology has proved its capability to precisely detect intricate details on the complex structures, however, conventional RCWA-based (rigorous coupled wave analysis) scatterometry has the limitations of long time-to-results and lack of flexibility to adapt to wide process variations. Signal Response Metrology (SRM) is a new metrology technique targeted to alleviate the consumption of engineering and computation resources by eliminating geometric/dispersion modeling and spectral simulation from the workflow. This is achieved by directly correlating the spectra acquired from a set of wafers with known process variations encoded. In SPIE 2015, we presented the results of SRM application in lithography metrology and control [1], accomplished the mission of setting up a new measurement recipe of focus/dose monitoring in hours. This work will demonstrate our recent field exploration of SRM implementation in 20nm technology and beyond, including focus metrology for scanner control; post etch geometric profile measurement, and actual device profile metrology.

  17. Opportunities and Risks in Semiconductor Metrology

    Science.gov (United States)

    Borden, Peter

    2005-09-01

    New metrology opportunities are constantly emerging as the semiconductor industry attempts to meet scaling requirements. The paper summarizes some of the key FEOL and BEOL needs. These must be weighed against a number of considerations to ensure that they are good opportunities for the metrology equipment supplier. The paper discusses some of these considerations.

  18. Advanced metrology by offline SEM data processing

    Science.gov (United States)

    Lakcher, Amine; Schneider, Loïc.; Le-Gratiet, Bertrand; Ducoté, Julien; Farys, Vincent; Besacier, Maxime

    2017-06-01

    Today's technology nodes contain more and more complex designs bringing increasing challenges to chip manufacturing process steps. It is necessary to have an efficient metrology to assess process variability of these complex patterns and thus extract relevant data to generate process aware design rules and to improve OPC models. Today process variability is mostly addressed through the analysis of in-line monitoring features which are often designed to support robust measurements and as a consequence are not always very representative of critical design rules. CD-SEM is the main CD metrology technique used in chip manufacturing process but it is challenged when it comes to measure metrics like tip to tip, tip to line, areas or necking in high quantity and with robustness. CD-SEM images contain a lot of information that is not always used in metrology. Suppliers have provided tools that allow engineers to extract the SEM contours of their features and to convert them into a GDS. Contours can be seen as the signature of the shape as it contains all the dimensional data. Thus the methodology is to use the CD-SEM to take high quality images then generate SEM contours and create a data base out of them. Contours are used to feed an offline metrology tool that will process them to extract different metrics. It was shown in two previous papers that it is possible to perform complex measurements on hotspots at different process steps (lithography, etch, copper CMP) by using SEM contours with an in-house offline metrology tool. In the current paper, the methodology presented previously will be expanded to improve its robustness and combined with the use of phylogeny to classify the SEM images according to their geometrical proximities.

  19. Analysis of key technologies for virtual instruments metrology

    Science.gov (United States)

    Liu, Guixiong; Xu, Qingui; Gao, Furong; Guan, Qiuju; Fang, Qiang

    2008-12-01

    Virtual instruments (VIs) require metrological verification when applied as measuring instruments. Owing to the software-centered architecture, metrological evaluation of VIs includes two aspects: measurement functions and software characteristics. Complexity of software imposes difficulties on metrological testing of VIs. Key approaches and technologies for metrology evaluation of virtual instruments are investigated and analyzed in this paper. The principal issue is evaluation of measurement uncertainty. The nature and regularity of measurement uncertainty caused by software and algorithms can be evaluated by modeling, simulation, analysis, testing and statistics with support of powerful computing capability of PC. Another concern is evaluation of software features like correctness, reliability, stability, security and real-time of VIs. Technologies from software engineering, software testing and computer security domain can be used for these purposes. For example, a variety of black-box testing, white-box testing and modeling approaches can be used to evaluate the reliability of modules, components, applications and the whole VI software. The security of a VI can be assessed by methods like vulnerability scanning and penetration analysis. In order to facilitate metrology institutions to perform metrological verification of VIs efficiently, an automatic metrological tool for the above validation is essential. Based on technologies of numerical simulation, software testing and system benchmarking, a framework for the automatic tool is proposed in this paper. Investigation on implementation of existing automatic tools that perform calculation of measurement uncertainty, software testing and security assessment demonstrates the feasibility of the automatic framework advanced.

  20. Radiative flux calculations at UV and visible wavelengths

    International Nuclear Information System (INIS)

    Grossman, A.S.; Grant, K.E.; Wuebbles, D.J.

    1993-10-01

    A radiative transfer model to calculate the short wavelength fluxes at altitudes between 0 and 80 km has been developed at LLNL. The wavelength range extends from 175--735 nm. This spectral range covers the UV-B wavelength region, 250--350 nm, with sufficient resolution to allow comparison of UV-B measurements with theoretical predictions. Validation studies for the model have been made for both UV-B ground radiation calculations and tropospheric solar radiative forcing calculations for various ozone distributions. These studies indicate that the model produces results which agree well with respect to existing UV calculations from other published models

  1. Introduction to quantum metrology quantum standards and instrumentation

    CERN Document Server

    Nawrocki, Waldemar

    2015-01-01

    This book presents the theory of quantum effects used in metrology and results of the author’s own research in the field of quantum electronics. The book provides also quantum measurement standards used in many branches of metrology for electrical quantities, mass, length, time and frequency. This book represents the first comprehensive survey of quantum metrology problems. As a scientific survey, it propagates a new approach to metrology with more emphasis on its connection with physics. This is of importance for the constantly developing technologies and nanotechnologies in particular. Providing a presentation of practical applications of the effects used in quantum metrology for the construction of quantum standards and sensitive electronic components, the book is useful for a wide audience of physicists and metrologists in the broad sense of both terms. In 2014 a new system of units, the so called  Quantum SI, is introduced. This book helps to understand and approve the new system to both technology a...

  2. Estimation of the convergence order of rigorous coupled-wave analysis for OCD metrology

    Science.gov (United States)

    Ma, Yuan; Liu, Shiyuan; Chen, Xiuguo; Zhang, Chuanwei

    2011-12-01

    In most cases of optical critical dimension (OCD) metrology, when applying rigorous coupled-wave analysis (RCWA) to optical modeling, a high order of Fourier harmonics is usually set up to guarantee the convergence of the final results. However, the total number of floating point operations grows dramatically as the truncation order increases. Therefore, it is critical to choose an appropriate order to obtain high computational efficiency without losing much accuracy in the meantime. In this paper, the convergence order associated with the structural and optical parameters has been estimated through simulation. The results indicate that the convergence order is linear with the period of the sample when fixing the other parameters, both for planar diffraction and conical diffraction. The illuminated wavelength also affects the convergence of a final result. With further investigations concentrated on the ratio of illuminated wavelength to period, it is discovered that the convergence order decreases with the growth of the ratio, and when the ratio is fixed, convergence order jumps slightly, especially in a specific range of wavelength. This characteristic could be applied to estimate the optimum convergence order of given samples to obtain high computational efficiency.

  3. 7/5nm logic manufacturing capabilities and requirements of metrology

    Science.gov (United States)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  4. Slovak Office of Standards, Metrology and Testing. Annual Report 2001

    International Nuclear Information System (INIS)

    2002-01-01

    A brief account of activities carried out by the Slovak Office of Standards, Metrology and Testing of the Slovak Republic in 2001 is presented. These activities are reported under the headings: (1) Introduction by the President of the Slovak Office of Standards, Metrology and Testing; (2) The Vice-president's Unit Standardization and Quality; (3) The President's Office; (4) Chief Inspector Department; (5) Legislative-juridical Department; (6) Department of Economy; (7) Department of International Co-operation; (8) Department of European Integration; (9) Department of Metrology; (10) Department of Testing; (11) Department of the Cyclotron Centre SR; (12) Slovak Institute of Metrology; (13) Slovak Standards Institution; (14) Slovak Metrology Inspectorate; (15) Slovak Legal Metrology; (16) Measuring Techniques - Technocentre - MTT; Abbreviations; (17) Technical Testing Institute Piestany; (18) Testing Institute of Transport and Earthmoving Machinery - SUDST

  5. Efficiency improvements of offline metrology job creation

    Science.gov (United States)

    Zuniga, Victor J.; Carlson, Alan; Podlesny, John C.; Knutrud, Paul C.

    1999-06-01

    Progress of the first lot of a new design through the production line is watched very closely. All performance metrics, cycle-time, in-line measurement results and final electrical performance are critical. Rapid movement of this lot through the line has serious time-to-market implications. Having this material waiting at a metrology operation for an engineer to create a measurement job plan wastes valuable turnaround time. Further, efficient use of a metrology system is compromised by the time required to create and maintain these measurement job plans. Thus, having a method to develop metrology job plans prior to the actual running of the material through the manufacture area can significantly improve both cycle time and overall equipment efficiency. Motorola and Schlumberger have worked together to develop and test such a system. The Remote Job Generator (RJG) created job plans for new device sin a manufacturing process from an NT host or workstation, offline. This increases available system tim effort making production measurements, decreases turnaround time on job plan creation and editing, and improves consistency across job plans. Most importantly this allows job plans for new devices to be available before the first wafers of the device arrive at the tool for measurement. The software also includes a database manager which allows updates of existing job plans to incorporate measurement changes required by process changes or measurement optimization. This paper will review the result of productivity enhancements through the increased metrology utilization and decreased cycle time associated with the use of RJG. Finally, improvements in process control through better control of Job Plans across different devices and layers will be discussed.

  6. The quality of measurements a metrological reference

    CERN Document Server

    Fridman, A E

    2012-01-01

    This book provides a detailed discussion and commentary on the fundamentals of metrology. The fundamentals of metrology, the principles underlying the design of the SI International System of units, the theory of measurement error, a new methodology for estimation of measurement accuracy based on uncertainty, and methods for reduction of measured results and estimation of measurement uncertainty are all discussed from a modern point of view. The concept of uncertainty is shown to be consistent with the classical theory of accuracy. The theory of random measurement errors is supplemented by a very general description based on the generalized normal distribution; systematic instrumental error is described in terms of a methodology for normalizing the metrological characteristics of measuring instruments. A new international system for assuring uniformity of measurements based on agreements between national metrological institutes is discussed, in addition to the role and procedure for performance of key compari...

  7. Emerging technology for astronomical optics metrology

    Science.gov (United States)

    Trumper, Isaac; Jannuzi, Buell T.; Kim, Dae Wook

    2018-05-01

    Next generation astronomical optics will enable science discoveries across all fields and impact the way we perceive the Universe in which we live. To build these systems, optical metrology tools have been developed that push the boundary of what is possible. We present a summary of a few key metrology technologies that we believe are critical for the coming generation of optical surfaces.

  8. Metrology in Pharmaceutical Industry - A Case Study

    International Nuclear Information System (INIS)

    Yuvamoto, Priscila D.; Fermam, Ricardo K. S.; Nascimento, Elizabeth S.

    2016-01-01

    Metrology is recognized by improving production process, increasing the productivity, giving more reliability to the measurements and consequently, it impacts in the economy of a country. Pharmaceutical area developed GMP (Good Manufacture Practice) requeriments, with no introduction of metrological concepts. However, due to Nanomedicines, it is expected this approach and the consequent positive results. The aim of this work is to verify the level of metrology implementation in a Brazilian pharmaceutical industry, using a case study. The purpose is a better mutual comprehension by both areas, acting together and governmental support to robustness of Brazilian pharmaceutical area. (paper)

  9. Metrological characterization methods for confocal chromatic line sensors and optical topography sensors

    Science.gov (United States)

    Seppä, Jeremias; Niemelä, Karri; Lassila, Antti

    2018-05-01

    The increasing use of chromatic confocal technology for, e.g. fast, in-line optical topography, and measuring thickness, roughness and profiles implies a need for the characterization of various aspects of the sensors. Single-point, line and matrix versions of chromatic confocal technology, encoding depth information into wavelength, have been developed. Of these, line sensors are particularly suitable for in-line process measurement. Metrological characterization and development of practical methods for calibration and checking is needed for new optical methods and devices. Compared to, e.g. tactile methods, optical topography measurement techniques have limitations related to light wavelength and coherence, optical properties of the sample including reflectivity, specularity, roughness and colour, and definition of optical versus mechanical surfaces. In this work, metrological characterization methods for optical line sensors were developed for scale magnification and linearity, sensitivity to sample properties, and dynamic characteristics. An accurate depth scale calibration method using a single prototype groove depth sample was developed for a line sensor and validated with laser-interferometric sample tracking, attaining (sub)micrometre level or better than 0.1% scale accuracy. Furthermore, the effect of different surfaces and materials on the measurement and depth scale was studied, in particular slope angle, specularity and colour. In addition, dynamic performance, noise, lateral scale and resolution were measured using the developed methods. In the case of the LCI1200 sensor used in this study, which has a 11.3 mm  ×  2.8 mm measurement range, the instrument depth scale was found to depend only minimally on sample colour, whereas measuring steeply sloped specular surfaces in the peripheral measurement area, in the worst case, caused a somewhat larger relative sample-dependent change (1%) in scale.

  10. Long wavelength irregularities in the equatorial electrojet

    International Nuclear Information System (INIS)

    Kudeki, E.; Farley, D.T.; Fejer, B.G.

    1982-01-01

    We have used the radar interferometer technique at Jicamarca to study in detail irregularities with wavelengths of a few kilometers generated in the unstable equatorial electrojet plasma during strong type 1 conditions. In-situ rocket observations of the same instability process are discussed in a companion paper. These large scale primary waves travel essentially horizontally and have large amplitudes. The vertical electron drift velocities driven by the horizontal wave electric fields reach or exceed the ion-acoustic velocity even though the horizontal phase velocity of the wave is considerably smaller. A straightforward extension to the long wavelength regime of the usual linear theory of the electrojet instability explains this and several other observed features of these dominant primary waves

  11. [The EFS metrology: From the production to the reason].

    Science.gov (United States)

    Reifenberg, J-M; Riout, E; Leroy, A; Begue, S

    2014-06-01

    In order to answer statutory requirements and to anticipate the future needs and standards, the EFS is committed, since a few years, in a process of harmonization of its metrology function. In particular, the institution has opted for the skills development by internalizing the metrological traceability of the main critical quantities (temperature, volumetric) measurements. The development of metrology so resulted in a significant increase in calibration and testing activities. Methods are homogenized and improved through accreditations. The investment strategies are based on more and more demanding specifications. The performance of the equipments is better known and mastered. Technical expertise and maturity of the national metrology function today are assets to review in more informed ways the appropriateness of the applied periodicities. Analysis of numerous information and data in the calibration and testing reports could be pooled and operated on behalf of the unique establishment. The objective of this article is to illustrate these reflections with a few examples from of a feedback of the EFS Pyrénées Méditerranée. The analysis of some methods of qualification, the exploitation of the historical metrology in order to quantify the risk of non-compliance, and to adapt the control strategy, analysis of the criticality of an instrument in a measurement process, risk analyses are tools that deserve to be more widely exploited for that discipline wins in efficiency at the national level. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  12. UPWIND 1A2 Metrology. Final Report

    DEFF Research Database (Denmark)

    Eecen, P.J.; Wagenaar, J.W.; Stefanatos, N.

    . Since this problem covers many areas of wind energy, the work package is defined as a crosscutting activity. The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The first deliverable...... is a valuable tool for the further assessment and interest has been shown from other work packages, such as Training. This report describes the activities that have been carried out in the Work Package 1A2 Metrology of the UpWind project. Activities from Risø are described in a separate report: T.F. Pedersen...... was to perform a state of the art assessment to identify all relevant measurands. The required accuracies and required sampling frequencies have been identified from the perspective of the users of the data (the other work packages in UpWind). This work led to the definition of the Metrology Database, which...

  13. Impact of the ITRS Metrology Roadmap

    International Nuclear Information System (INIS)

    Diebold, Alain C.

    2001-01-01

    The International Technology Roadmap for Semiconductors (ITRS) provides the semiconductor industry with the timing of critical technology needs for future generations of integrated circuits. The Metrology roadmap in the ITRS describes the measurement needs based on the process requirements found in the Lithography, Front End Processes, Interconnect, and Packaging Roadmaps. This paper illustrates the impact of the Metrology Roadmap on the development of key measurement technology

  14. Celtiberian metrology and its romanization

    Directory of Open Access Journals (Sweden)

    Leonard A. CURCHIN

    2013-05-01

    Full Text Available Celtiberian metrology has scarcely been investigated until now, with the exception of coin weights. On the basis of measurements of pre-Roman mud bricks, a Celtiberian foot of 24 cm is proposed. With regard to weights, we can accept a module of 9 g for silver jewelry and some bronze coins; however, loom weights do not conform to any metrological system. Over time, Roman measures of length (as indicated by the dimensions of bricks, tiles and architectural monuments and weight were adopted.

  15. Management of metrology in measuring of the displacement of building construction

    Directory of Open Access Journals (Sweden)

    Jiří Kratochvíl

    2007-06-01

    Full Text Available The metrology management of the measurement of the displacement of building construction is not regulated in the standard ČSN ISO 73 0405 - Measurement of the displacement of building construction. But the metrology management has to be included in the project of measurement of the displacement (Stage of project. Then we have to pay an attention to the metrological management during this measurement (Stage of realization and during the evaluation of this measurement (Stage of evaluation. We have to insist on the subsequent improving of metrology management within the frame of the next project (so-called feedback. The metrology management in the measurement of the displacement during the stages should be based on an application of statutory instruments and technical standards. We should insist especially on the system of standards for the quality control ISO 9000. Considering specialities of geodetic measurements it is necessary to adapt the metrology management. That is why it will differ from the metrology management in other fields of knowledge. This paper includes some steps of metrological provision which must not be ignored.

  16. Multispectral calibration to enhance the metrology performance of C-mount camera systems

    Directory of Open Access Journals (Sweden)

    S. Robson

    2014-06-01

    Full Text Available Low cost monochrome camera systems based on CMOS sensors and C-mount lenses have been successfully applied to a wide variety of metrology tasks. For high accuracy work such cameras are typically equipped with ring lights to image retro-reflective targets as high contrast image features. Whilst algorithms for target image measurement and lens modelling are highly advanced, including separate RGB channel lens distortion correction, target image circularity compensation and a wide variety of detection and centroiding approaches, less effort has been directed towards optimising physical target image quality by considering optical performance in narrow wavelength bands. This paper describes an initial investigation to assess the effect of wavelength on camera calibration parameters for two different camera bodies and the same ‘C-mount’ wide angle lens. Results demonstrate the expected strong influence on principal distance, radial and tangential distortion, and also highlight possible trends in principal point, orthogonality and affinity parameters which are close to the parameter estimation noise level from the strong convergent self-calibrating image networks.

  17. Metrology for radioactive waste management. (WP2, WP3)

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. In this presentation the Project is described. (author)

  18. High-accuracy alignment based on atmospherical dispersion - technological approaches and solutions for the dual-wavelength transmitter

    International Nuclear Information System (INIS)

    Burkhard, Boeckem

    1999-01-01

    In the course of the progressive developments of sophisticated geodetic systems utilizing electromagnetic waves in the visible or near IR-range a more detailed knowledge of the propagation medium and coevally solutions of atmospherically induced limitations will become important. An alignment system based on atmospherical dispersion, called a dispersometer, is a metrological solution to the atmospherically induced limitations, in optical alignment and direction observations of high accuracy. In the dispersometer we are using the dual-wavelength method for dispersive air to obtain refraction compensated angle measurements, the detrimental impact of atmospheric turbulence notwithstanding. The principle of the dual-wavelength method utilizes atmospherical dispersion, i.e. the wavelength dependence of the refractive index. The difference angle between two light beams of different wavelengths, which is called the dispersion angle Δβ, is to first approximation proportional to the refraction angle: β IR ν(β blue - β IR ) = ν Δβ, this equation implies that the dispersion angle has to be measured at least 42 times more accurate than the desired accuracy of the refraction angle for the wavelengths used in the present dispersometer. This required accuracy constitutes one major difficulty for the instrumental performance in applying the dispersion effect. However, the dual-wavelength method can only be successfully used in an optimized transmitter-receiver combination. Beyond the above mentioned resolution requirement for the detector, major difficulties in instrumental realization arise in the availability of a suitable dual-wavelength laser light source, laser light modulation with a very high extinction ratio and coaxial emittance of mono-mode radiation at both wavelengths. Therefore, this paper focuses on the solutions of the dual-wavelength transmitter introducing a new hardware approach and a complete re-design of the in [1] proposed conception of the dual-wavelength

  19. 8th Brazilian Congress on Metrology (Metrologia 2015)

    International Nuclear Information System (INIS)

    2016-01-01

    THE EIGHTH BRAZILIAN CONGRESS ON METROLOGY (METROLOGIA 2015) The United Nations celebrated 2015 as the International Year of Light. By a curious coincidence, many notable events in science and technology completed a multiple of 50 or 100 years in 2015. From the pioneering work of the wise Ibn Al-Haytham in 1015, through Fresnel, Maxwell, Einstein, the discovery of the cosmic microwave background, to the use of optical fibres in communications in 1965. Electromagnetic radiation is present in our daily lives in countless applications. It is remarkable that there is no way to think about these applications without thinking of measurements. From entangled photons to more prosaic public illumination of our daily life, we are intrinsically connected all the time with the luminous phenomena. Among other things, the light allows global communication on a large scale. It strengthens the internationalization of production processes, which brings considerable changes in relations, processes and economic structures, as well as it orients the social, political and cultural behaviour of any country. These conditions of this internationalization require interchangeability of parts of complex systems, translated into strict adherence to the standards and specifications that use increasingly accurate measurement techniques, as well as the growing demand from consumer markets for products and higher quality services. They also require innovation and improvements in domestic production to boost the competitiveness of industries in domestic and foreign markets. Thus, if the Science of Measurements is taken as a serious concern, countries are better prepared to evolve towards economic and social development. In this 8"t"h edition of the Brazilian Congress on Metrology (METROLOGIA 2015), in addition to the thematic sessions in various areas of Metrology and Conformity Assessment, we hold several satellite events. They are already traditional events or highlight important current issues

  20. Long wavelength irregularities in the equatorial electrojet

    OpenAIRE

    Kudeki, E.; Farley, D. T.; Fejer, Bela G.

    1982-01-01

    We have used the radar interferometer technique at Jicamarca to study in detail irregularities with wavelengths of a few kilometers generated in the unstable equatorial electrojet plasma during strong type 1 conditions. In-situ rocket observations of the same instability process are discussed in a companion paper. These large scale primary waves travel essentially horizontally and have large amplitudes. The vertical electron drift velocities driven by the horizontal wave electric fields reach...

  1. In situ targeting TEM8 via immune response and polypeptide recognition by wavelength-modulated surface plasmon resonance biosensor

    Science.gov (United States)

    Wang, Yimin; Luo, Zewei; Liu, Kunping; Wang, Jie; Duan, Yixiang

    2016-01-01

    There is an increasing interest in real-time and in situ monitoring of living cell activities in life science and medicine. This paper reports a whole cell sensing protocol over the interface of Au film coupled in a wavelength-modulated surface plasmon resonance (WMSPR) biosensor. With dual parabolic mirrors integrated in the sensor, the compact and miniaturized instrument shows satisfactory refractive index sensitivity (2220 nm/RIU) and a high resolution of resonance wavelength shift of 0.3 nm to liquid samples. The affinity interactions between the biomarker of human tumor endothelial marker 8 (TEM8) and antibody (Ab) or specific polypeptide (PEP) were firstly introduced to WMSPR biosensor analysis. Both the interaction events of Ab-cell and PEP-cell over the Au film interface can be recognized by the sensor and the balance time of interactions is about 20 min. The concentration range of Ab for quantitative monitoring of the TEM8 expression on human colon carcinoma SW620 cells was investigated. The present low-cost and time-saving method provides a time resolution of binding specificity between Ab/PEP and TEM8 for real-time analysis of antigen on living tumor cell surface. PMID:26822761

  2. Joint Research on Scatterometry and AFM Wafer Metrology

    NARCIS (Netherlands)

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.; Saastamoinen, T.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both

  3. La metrología en nuestras vidas

    OpenAIRE

    Jaramillo, Zaira

    2010-01-01

    A primera vista, la palabra "Metrología" nos trae a la mente la idea de condiciones meteorológicas. Nada más alejado de la realidad, porque la Meteorología es la disciplina que se encarga de estudiar las condiciones del tiempo y la Metrología se encarga de estudiar las mediciones.

  4. Comparison of in Situ and ex Situ Methods for Synthesis of Two-Photon Polymerization Polymer Nanocomposites

    Directory of Open Access Journals (Sweden)

    Qingchuan Guo

    2014-07-01

    Full Text Available This article reports about nanocomposites, which refractive index is tuned by adding TiO2 nanoparticles. We compare in situ/ex situ preparation of nanocomposites. Preparation procedure is described, properties of nanocomposites are compared, and especially we examine the applicability of two-photon polymerization (2PP of synthesized nanocomposites. All prepared samples exhibit suitable optical transparency at specific laser wavelengths. Three-dimensional structures were generated by means of two-photon polymerization effect induced by a femtosecond laser.

  5. Differential Evolution for Many-Particle Adaptive Quantum Metrology

    NARCIS (Netherlands)

    Lovett, N.B.; Crosnier, C.; Perarnau- Llobet, M.; Sanders, B.

    2013-01-01

    We devise powerful algorithms based on differential evolution for adaptive many-particle quantum metrology. Our new approach delivers adaptive quantum metrology policies for feedback control that are orders-of-magnitude more efficient and surpass the few-dozen-particle limitation arising in methods

  6. The Remarkable Metrological History of Radiocarbon Dating [II].

    Science.gov (United States)

    Currie, Lloyd A

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought (14)C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for "molecular dating" at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the "bomb effect," that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural (14)C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications.

  7. Metrological issues in molecular radiotherapy

    International Nuclear Information System (INIS)

    D'Arienzo, Marco; Capogni, Marco; Smyth, Vere; Cox, Maurice; Johansson, Lena; Bobin, Christophe

    2014-01-01

    The therapeutic effect from molecular radiation therapy (MRT), on both tumour and normal tissue, is determined by the radiation absorbed dose. Recent research indicates that as a consequence of biological variation across patients the absorbed dose can vary, for the same administered activity, by as much as two orders of magnitude. The international collaborative EURAMET-EMRP project Metrology for molecular radiotherapy (MetroMRT) is addressing this problem. The overall aim of the project is to develop methods of calibrating and verifying clinical dosimetry in MRT. In the present paper an overview of the metrological issues in molecular radiotherapy is provided. (authors)

  8. Effect of graphene on plasmonic metasurfaces at infrared wavelengths

    Directory of Open Access Journals (Sweden)

    Shinpei Ogawa

    2013-11-01

    Full Text Available Significant enhancement of infrared transmittance by the presence of a graphene layer on a plasmonic metasurface (PLM has been demonstrated. PLMs with different configurations were fabricated, and their transmittance with and without graphene was compared. Selective enhancement by graphene occurred at the plasmon resonance wavelength. The degree of enhancement was found to depend on the width of the gap between the periodic metal regions in the PLM. A maximum enhancement of ∼210% was achieved at a wavelength of 10 μm. The ability to achieve such a drastic increase in transmittance at the plasmon resonant wavelength is expected to lead to improvements in the performance of energy collecting devices and optical sensors.

  9. Metrology and properties of engineering surfaces

    CERN Document Server

    Greenwood, J; Chetwynd, D

    2001-01-01

    Metrology and Properties of Engineering Surfaces provides in a single volume a comprehensive and authoritative treatment of the crucial topics involved in the metrology and properties of engineering surfaces. The subject matter is a central issue in manufacturing technology, since the quality and reliability of manufactured components depend greatly upon the selection and qualities of the appropriate materials as ascertained through measurement. The book can in broad terms be split into two parts; the first deals with the metrology of engineering surfaces and covers the important issues relating to the measurement and characterization of surfaces in both two and three dimensions. This covers topics such as filtering, power spectral densities, autocorrelation functions and the use of Fractals in topography. A significant proportion is dedicated to the calibration of scanning probe microscopes using the latest techniques. The remainder of the book deals with the properties of engineering surfaces and covers a w...

  10. Small-angle X-ray scattering (SAXS) for metrological size determination of nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Gleber, Gudrun; Krumrey, Michael; Cibik, Levent; Marggraf, Stefanie; Mueller, Peter [Physikalisch-Technische Bundesanstalt, Abbestr. 2-12, 10587 Berlin (Germany); Hoell, Armin [Helmholtz-Zentrum Berlin, Albert-Einstein-Str. 15, 12489 Berlin (Germany)

    2011-07-01

    To measure the size of nanoparticles, different measurement methods are available but their results are often not compatible. In the framework of an European metrology project we use Small-Angle X-ray Scattering (SAXS) to determine the size and size distribution of nanoparticles in aqueous solution, where the special challange is the traceability of the results. The experiments were performed at the Four-Crystal Monochromator (FCM) beamline in the laboratory of Physikalisch-Technische Bundesanstalt (PTB) at BESSY II using the SAXS setup of the Helmholtz-Zentrum Berlin (HZB). We measured different particles made of PMMA and gold in a diameter range of 200 nm down to about 10 nm. The aspects of traceability can be classified in two parts: the first is the experimental part with the uncertainties of distances, angles, and wavelength, the second is the part of analysis, with the uncertainty of the choice of the model used for fitting the data. In this talk we want to show the degree of uncertainty, which we reached in this work yet.

  11. Mycotoxin metrology: Gravimetric production of zearalenone calibration solution

    Science.gov (United States)

    Rego, E. C. P.; Simon, M. E.; Li, Xiuqin; Li, Xiaomin; Daireaux, A.; Choteau, T.; Westwood, S.; Josephs, R. D.; Wielgosz, R. I.; Cunha, V. S.

    2018-03-01

    Food safety is a major concern for countries developing metrology and quality assurance systems, including the contamination of food and feed by mycotoxins. To improve the mycotoxin analysis and ensure the metrological traceability, CRM of calibration solution should be used. The production of certified mycotoxin solutions is a major challenge due to the limited amount of standard for conducting a proper purity study and due to the cost of standards. The CBKT project was started at BIPM and Inmetro produced gravimetrically one batch of zearelenone in acetronitrile (14.708 ± 0.016 μg/g, k=2) and conducted homogeneity, stability and value assignment studies.

  12. Metrology Department - DEMET

    International Nuclear Information System (INIS)

    1989-01-01

    In this report are presented the activities and purposes of the Metrology Dept. of the Institute of Radioprotection and Dosimetry of Brazilian CNEN. It is also presented a list of services rendered by that Dept., the projects in course, personnel and publications.(J.A.M.M.)

  13. Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

    Science.gov (United States)

    Banke, Bill, Jr.; Archie, Charles N.; Sendelbach, Matthew; Robert, Jim; Slinkman, James A.; Kaszuba, Phil; Kontra, Rick; DeVries, Mick; Solecky, Eric P.

    2004-05-01

    Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will

  14. DABAM: an open-source database of X-ray mirrors metrology

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez del Rio, Manuel, E-mail: srio@esrf.eu [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Bianchi, Davide [AC2T Research GmbH, Viktro-Kaplan-Strasse 2-C, 2700 Wiener Neustadt (Austria); Cocco, Daniele [SLAC National Accelerator Laboratory, 2575 Sand Hill Road, Menlo Park, CA 94025 (United States); Glass, Mark [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Idir, Mourad [NSLS II, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Metz, Jim [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Raimondi, Lorenzo; Rebuffi, Luca [Elettra-Sincrotrone Trieste SCpA, Basovizza (TS) (Italy); Reininger, Ruben; Shi, Xianbo [Advanced Photon Source, Argonne National Laboratory, Argonne, IL 60439 (United States); Siewert, Frank [BESSY II, Helmholtz Zentrum Berlin, Institute for Nanometre Optics and Technology, Albert-Einstein-Strasse 15, 12489 Berlin (Germany); Spielmann-Jaeggi, Sibylle [Swiss Light Source at Paul Scherrer Institut, CH-5232 Villigen PSI (Switzerland); Takacs, Peter [Instrumentation Division, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Tomasset, Muriel [Synchrotron Soleil (France); Tonnessen, Tom [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Vivo, Amparo [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Yashchuk, Valeriy [Advanced Light Source, Lawrence Berkeley National Laboratory, MS 15-R0317, 1 Cyclotron Road, Berkeley, CA 94720-8199 (United States)

    2016-04-20

    DABAM, an open-source database of X-ray mirrors metrology to be used with ray-tracing and wave-propagation codes for simulating the effect of the surface errors on the performance of a synchrotron radiation beamline. An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper, with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.

  15. Wavelength stabilized high pulse power laser diodes for automotive LiDAR

    Science.gov (United States)

    Knigge, A.; Klehr, A.; Wenzel, H.; Zeghuzi, A.; Fricke, J.; Maaßdorf, A.; Liero, A.; Tränkle, G.

    2018-03-01

    Diode lasers generating optical pulses with high peak power and lengths in the nanosecond range are key components of systems for free-space communication, metrology, material processing, spectroscopy, and light detection and ranging (LiDAR) as needed for object detection and autonomous driving. Automotive LiDAR systems demand additionally a good beam quality and low wavelength shift with temperature due to the wide operating temperature span. We present here internally wavelength stabilized lasers emitting ns optical pulses from an emission aperture between 30 μm and 100 μm with peak powers of tens of Watts at wavelengths around 905 nm. The vertical structure based on AlGaAs (confinement and cladding layers) and InGaAs (active quantum well) is especially optimized for pulsed operation with respect to the implementation of a surface Bragg grating with a high reflectivity. The fabricated 6 mm long distributed Bragg reflector (DBR) broad area (BA) lasers are electrically driven by an in-house developed high-speed unit generating 3 to 10 ns long nearly rectangular shaped current pulses with amplitudes of up to 250 A. Such lasers emit optical pulses with a peak power of more than 30 W at 95 A pulse current up to a temperature of 85°C with a wavelength shift as low as 65 pm/K and a lateral beam propagation factor less than 10. The influence of the lateral aperture width and the pulse length on the beam quality will be shown. A monolithic integration of 3 DBR BA lasers on a single chip whose emission can be combined into a single beam raises the output power to more than 100 W.

  16. Experimental realization of the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Chenaud, B; Devoille, L; Steck, B; Feltin, N; Gonzalez-Cano, A; Poirier, W; Schopfer, F; Spengler, G; Djordjevic, S; Seron, O; Piquemal, F [Laboratoire national de metrologie et d' essais (LNE), Trappes (France); Lotkhov, S [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany)], E-mail: laurent.devoille@lne.fr

    2009-02-01

    The quantum metrological triangle experiment (QMTE) consists in realizing Ohm's law with Josephson (JE), quantum Hall (QHE) and single electron tunneling (SET) effects. The aim is to check the consistency of the link among the phenomenological constants K {sub J}, R{sub K} and Q {sub X} involved in these effects and theoretically expressed with the fundamental constants e and h. Such an experiment could be a contribution for a new definition of the systeme international d'unites (SI) base units. In the QMTE, a current generated by a SET device flows through a resistor calibrated against QHE standard and the voltage induced at its terminals is compared to the metrological voltage generated by a Josephson junctions array. At LNE, the studied SET devices are 3 junctions single electron pumps with on chip resistors. The quantized current generated by this pump is theoretically equal to ef (f is the frequency of the driving signals applied on the gates) and is measured through a cryogenic current comparator (CCC), which allows to amplify the low pumping current with a metrological accuracy. We will present and discuss the experimental set-up developed at LNE and the first results. In addition to the main aim of QMTE described above, these preliminary results are also a first step towards a determination of e.

  17. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN

    International Nuclear Information System (INIS)

    1992-01-01

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs

  18. Manufacturing and metrology for IR conformal windows and domes

    Science.gov (United States)

    Ferralli, Ian; Blalock, Todd; Brunelle, Matt; Lynch, Timothy; Myer, Brian; Medicus, Kate

    2017-05-01

    Freeform and conformal optics have the potential to dramatically improve optical systems by enabling systems with fewer optical components, reduced aberrations, and improved aerodynamic performance. These optical components differ from standard components in their surface shape, typically a non-symmetric equation based definition, and material properties. Traditional grinding and polishing tools are unable to handle these freeform shapes. Additionally, standard metrology tools cannot measure these surfaces. Desired substrates are typically hard ceramics, including poly-crystalline alumina or aluminum oxynitride. Notwithstanding the challenges that the hardness provides to manufacturing, these crystalline materials can be highly susceptible to grain decoration creating unacceptable scatter in optical systems. In this presentation, we will show progress towards addressing the unique challenges of manufacturing conformal windows and domes. Particular attention is given to our robotic polishing platform. This platform is based on an industrial robot adapted to accept a wide range of tooling and parts. The robot's flexibility has provided us an opportunity to address the unique challenges of conformal windows. Slurries and polishing active layers can easily be changed to adapt to varying materials and address grain decoration. We have the flexibility to change tool size and shape to address the varying sizes and shapes of conformal optics. In addition, the robotic platform can be a base for a deflectometry-based metrology tool to measure surface form error. This system, whose precision is independent of the robot's positioning accuracy, will allow us to measure optics in-situ saving time and reducing part risk. In conclusion, we will show examples of the conformal windows manufactured using our developed processes.

  19. Metrology and quality control handbook

    International Nuclear Information System (INIS)

    Hofmann, D.

    1983-01-01

    This book tries to present the fundamentals of metrology and quality control in brief surveys. Compromises had to be made in order to reduce the material available to a sensible volume for the sake of clarity. This becomes evident by the following two restrictions which had to made: First, in dealing with the theoretical principles of metrology and quality control, mere reference had to be made in many cases to the great variety of special literature without discussing it to explain further details. Second, in dealing with the application of metrology and quality control techniques in practice, only the basic qantities of the International System of Units (SI) could be taken into account as a rule. Some readers will note that many special measuring methods and equipment known to them are not included in this book. I do hope, however, that this short-coming will show to have a positive effect, too. This book will show the reader how to find the basic quantities and units from the derived quantities and units, and the steps that are necessary to solve any kind of measuring task. (orig./RW) [de

  20. Flexible resources for quantum metrology

    Science.gov (United States)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J.; Dür, Wolfgang

    2017-06-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement.

  1. Flexible resources for quantum metrology

    International Nuclear Information System (INIS)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J; Dür, Wolfgang

    2017-01-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement. (paper)

  2. Metrology of natural radionuclides. Current challenges in radiation protection for industry and the environment; Metrologie natuerlicher Radionuklide. Aktuelle Herausforderungen fuer den Strahlenschutz in Industrie und Umwelt

    Energy Technology Data Exchange (ETDEWEB)

    Maringer, F.J. [Bundesamt fuer Eich- und Vermessungswesen, Wien (Austria). Referat fuer ionisierende Strahlung und Radioaktivitaet; Univ. fuer Bodenkultur, Wien (Austria). Low-Level Counting Lab. Arsenal; Moser, H.; Kabrt, F. [Bundesamt fuer Eich- und Vermessungswesen, Wien (Austria). Referat fuer ionisierende Strahlung und Radioaktivitaet; Baumgartner, A.; Stietka, M. [Univ. fuer Bodenkultur, Wien (Austria). Low-Level Counting Lab. Arsenal

    2015-07-01

    In a range of industrial branches increased activity concentrations of natural radionuclides occur in various NORM materials processed. The ICRP 103 recommendation, and subsequent the IAEA International Basic Safety Standards and the European Basic Safety Standards for Radiation Protection, raised new challenges in radiation protection concerning natural radionuclide metrology and activity measurement methods - in particular for natural decay chain radionuclides ({sup 238}U+, {sup 232}Th+, {sup 235}U+). Especially adequate traceability and optimized measurement uncertainties of applied activity measurement methods are of increasing concern. In this paper a review on radionuclide metrology of natural radionuclides and its implementation to end-user activity measurement methods and practice is presented. This includes an overview on current and emerging drivers, targets, challenges, deliverables, technologies and stakeholders in the field. Current research results on activity measurement standards and instrumentation for natural radionuclides, revised decay data, in-situ measurement methods, NORM reference materials, are covered as well as benefits of natural radionuclide metrology on radiation protection of workers and the public.

  3. Metrology in electricity and magnetism: EURAMET activities today and tomorrow

    Science.gov (United States)

    Piquemal, F.; Jeckelmann, B.; Callegaro, L.; Hällström, J.; Janssen, T. J. B. M.; Melcher, J.; Rietveld, G.; Siegner, U.; Wright, P.; Zeier, M.

    2017-10-01

    Metrology dedicated to electricity and magnetism has changed considerably in recent years. It encompasses almost all modern scientific, industrial, and societal challenges, e.g. the revision of the International System of Units, the profound transformation of industry, changes in energy use and generation, health, and environment, as well as nanotechnologies (including graphene and 2D materials) and quantum engineering. Over the same period, driven by the globalization of worldwide trade, the Mutual Recognition Arrangement (referred to as the CIPM MRA) was set up. As a result, the regional metrology organizations (RMOs) of national metrology institutes have grown in significance. EURAMET is the European RMO and has been very prominent in developing a strategic research agenda (SRA) and has established a comprehensive research programme. This paper reviews the highlights of EURAMET in electrical metrology within the European Metrology Research Programme and its main contributions to the CIPM MRA. In 2012 EURAMET undertook an extensive roadmapping exercise for proposed activities for the next decade which will also be discussed in this paper. This work has resulted in a new SRA of the second largest European funding programme: European Metrology Programme for Innovation and Research.

  4. Optical vortex metrology for non-destructive testing

    DEFF Research Database (Denmark)

    Wang, W.; Hanson, Steen Grüner

    2009-01-01

    Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis.......Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis....

  5. Metrology Techniques for the Assembly of NCSX

    International Nuclear Information System (INIS)

    Priniski, C.; Dodson, T.; Duco, M.; Raftopoulos, S.; Ellis, R.; Brooks, A.

    2009-01-01

    In support of the National Compact Stellerator Experiment (NCSX), stellerator assembly activities continued this past year at the Princeton Plasma Physics Laboratory (PPPL) in partnership with the Oak Ridge National Laboratory (ORNL). The construction program saw the completion of the first two Half Field-Period Assemblies (HPA), each consisting of three modular coils. The full machine includes six such sub-assemblies. A single HPA consists of three of the NCSX modular coils wound and assembled at PPPL. These geometrically-complex three dimensional coils were wound using computer-aided metrology and CAD models to tolerances within +/- 0.5mm. The assembly of these coils required similar accuracy on a larger scale with the added complexity of more individual parts and fewer degrees of freedom for correction. Several new potential positioning issues developed for which measurement and control techniques were developed. To accomplish this, CAD coordinate-based computer metrology equipment and software similar to the solutions employed for winding the modular coils was used. Given the size of the assemblies, the primary tools were both interferometer aided and Absolute Distance Measurement (ADM)-only based laser trackers. In addition, portable Coordinate Measurement Machine (CMM) arms and some novel indirect measurement techniques were employed. This paper will detail both the use of CAD coordinate-based metrology technology and the techniques developed and employed for dimensional control of NSCX subassemblies. The results achieved and possible improvements to techniques will be discussed.

  6. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  7. Radionuclide metrology: traceability and response to a radiological accident

    Energy Technology Data Exchange (ETDEWEB)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A., E-mail: palcruz@ird.gov.br [Instituto de Radioproteção e Dosimetria (LNMRI/IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil). Lab. Nacional de Metrologia das Radiações Ionizantes

    2017-07-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for {sup 137}Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  8. Radionuclide metrology: traceability and response to a radiological accident

    International Nuclear Information System (INIS)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A.

    2017-01-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for 137 Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  9. Experimental Demonstration of Higher Precision Weak-Value-Based Metrology Using Power Recycling

    Science.gov (United States)

    Wang, Yi-Tao; Tang, Jian-Shun; Hu, Gang; Wang, Jian; Yu, Shang; Zhou, Zong-Quan; Cheng, Ze-Di; Xu, Jin-Shi; Fang, Sen-Zhi; Wu, Qing-Lin; Li, Chuan-Feng; Guo, Guang-Can

    2016-12-01

    The weak-value-based metrology is very promising and has attracted a lot of attention in recent years because of its remarkable ability in signal amplification. However, it is suggested that the upper limit of the precision of this metrology cannot exceed that of classical metrology because of the low sample size caused by the probe loss during postselection. Nevertheless, a recent proposal shows that this probe loss can be reduced by the power-recycling technique, and thus enhance the precision of weak-value-based metrology. Here we experimentally realize the power-recycled interferometric weak-value-based beam-deflection measurement and obtain the amplitude of the detected signal and white noise by discrete Fourier transform. Our results show that the detected signal can be strengthened by power recycling, and the power-recycled weak-value-based signal-to-noise ratio can surpass the upper limit of the classical scheme, corresponding to the shot-noise limit. This work sheds light on higher precision metrology and explores the real advantage of the weak-value-based metrology over classical metrology.

  10. METROLOGICAL PERFORMANCES OF BOMB CALORIMETERS AT REAL CONDITIONS

    Directory of Open Access Journals (Sweden)

    Yu. V. Maksimuk

    2016-01-01

    Full Text Available The high-usage measurement equipment for heat of combustion of organic fuels are bomb isoperibol calorimeters with a water thermostat. The stability of work of calorimeters at real conditions is important for maintenance of reliability of measurement results. The article purpose – the analysis of stability for parameters of calorimeters to environment changes. In this work influence room temperature (Тк and heat exchange conditions on metrological characteristics of two models of calorimeters is considered with different degree of thermal protection: V-08МА and BIC 100. For calorimeters V-08МА the increase in a effective heat capacity (W on 0,1 % by growth of Tк on everyone 5 °С is established. To use value W in all interval laboratory temperatures Tк = 14–28 °С it is necessary to correct W on 2,8 J/°C on everyone 1 °С changes of Tк. Updating W is required, if the correction exceeds error in determination W. For calorimeter BIC 100 it is not revealed dependences W from Tк. BIC 100 have constant-temperature cap, high stability a temperature in thermostat and stabilized heat exchange. It is established that an standard deviation of cooling constant for all calorimeters in direct proportional to standard deviation W. 

  11. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  12. Joint Research on Scatterometry and AFM Wafer Metrology

    Science.gov (United States)

    Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni

    2011-11-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.

  13. PREFACE: Fundamental Constants in Physics and Metrology

    Science.gov (United States)

    Klose, Volkmar; Kramer, Bernhard

    1986-01-01

    This volume contains the papers presented at the 70th PTB Seminar which, the second on the subject "Fundamental Constants in Physics and Metrology", was held at the Physikalisch-Technische Bundesanstalt in Braunschweig from October 21 to 22, 1985. About 100 participants from the universities and various research institutes of the Federal Republic of Germany participated in the meeting. Besides a number of review lectures on various broader subjects there was a poster session which contained a variety of topical contributed papers ranging from the theory of the quantum Hall effect to reports on the status of the metrological experiments at the PTB. In addition, the participants were also offered the possibility to visit the PTB laboratories during the course of the seminar. During the preparation of the meeting we noticed that even most of the general subjects which were going to be discussed in the lectures are of great importance in connection with metrological experiments and should be made accessible to the scientific community. This eventually resulted in the idea of the publication of the papers in a regular journal. We are grateful to the editor of Metrologia for providing this opportunity. We have included quite a number of papers from basic physical research. For example, certain aspects of high-energy physics and quantum optics, as well as the many-faceted role of Sommerfeld's fine-structure constant, are covered. We think that questions such as "What are the intrinsic fundamental parameters of nature?" or "What are we doing when we perform an experiment?" can shed new light on the art of metrology, and do, potentially, lead to new ideas. This appears to be especially necessary when we notice the increasing importance of the role of the fundamental constants and macroscopic quantum effects for the definition and the realization of the physical units. In some cases we have reached a point where the limitations of our knowledge of a fundamental constant and

  14. European research project 'Metrology for radioactive waste management'

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. This poster presents impact, excellence, relevance to EMPR objectives, and implementation and management of this project.(author)

  15. Cavity-enhanced resonant tunneling photodetector at telecommunication wavelengths

    International Nuclear Information System (INIS)

    Pfenning, Andreas; Hartmann, Fabian; Langer, Fabian; Höfling, Sven; Kamp, Martin; Worschech, Lukas

    2014-01-01

    An AlGaAs/GaAs double barrier resonant tunneling diode (RTD) with a nearby lattice-matched GaInNAs absorption layer was integrated into an optical cavity consisting of five and seven GaAs/AlAs layers to demonstrate cavity enhanced photodetection at the telecommunication wavelength 1.3 μm. The samples were grown by molecular beam epitaxy and RTD-mesas with ring-shaped contacts were fabricated. Electrical and optical properties were investigated at room temperature. The detector shows maximum photocurrent for the optical resonance at a wavelength of 1.29 μm. At resonance a high sensitivity of 3.1×10 4 A/W and a response up to several pA per photon at room temperature were found

  16. Valuing spectrum at mm wavelengths for cellular networks

    OpenAIRE

    Shaw, B. A.; Beltrán, H. F.; Sowerby, K. W.

    2017-01-01

    This paper investigates the economic value of spectrum at mm wavelengths. The analysis uses four techniques to value spectrum, namely a benchmarking comparison, a discounted cash flow analysis, a real options approach and a deprival method. The methods to calculate spectrum value presented in this paper can be used for any spectrum band and in any country. However, to determine the value of mm wavelengths for cellular networks, we have used data from New Zealand, specifically for the existing...

  17. The future of 2D metrology for display manufacturing

    Science.gov (United States)

    Sandstrom, Tor; Wahlsten, Mikael; Park, Youngjin

    2016-10-01

    The race to 800 PPI and higher in mobile devices and the transition to OLED displays are driving a dramatic development of mask quality: resolution, CDU, registration, and complexity. 2D metrology for large area masks is necessary and must follow the roadmap. Driving forces in the market place point to continued development of even more dense displays. State-of-the-art metrology has proven itself capable of overlay below 40 nm and registration below 65 nm for G6 masks. Future developments include incoming and recurrent measurements of pellicalized masks at the panel maker's factory site. Standardization of coordinate systems across supplier networks is feasible. This will enable better yield and production economy for both mask and panel maker. Better distortion correction methods will give better registration on the panels and relax the flatness requirements of the mask blanks. If panels are measured together with masks and the results are used to characterize the aligners, further quality and yield improvements are possible. Possible future developments include in-cell metrology and integration with other instruments in the same platform.

  18. Coherence enhanced quantum metrology in a nonequilibrium optical molecule

    Science.gov (United States)

    Wang, Zhihai; Wu, Wei; Cui, Guodong; Wang, Jin

    2018-03-01

    We explore the quantum metrology in an optical molecular system coupled to two environments with different temperatures, using a quantum master equation beyond secular approximation. We discover that the steady-state coherence originating from and sustained by the nonequilibrium condition can enhance quantum metrology. We also study the quantitative measures of the nonequilibrium condition in terms of the curl flux, heat current and entropy production at the steady state. They are found to grow with temperature difference. However, an apparent paradox arises considering the contrary behaviors of the steady-state coherence and the nonequilibrium measures in relation to the inter-cavity coupling strength. This paradox is resolved by decomposing the heat current into a population part and a coherence part. Only the latter, the coherence part of the heat current, is tightly connected to the steady-state coherence and behaves similarly with respect to the inter-cavity coupling strength. Interestingly, the coherence part of the heat current flows from the low-temperature reservoir to the high-temperature reservoir, opposite to the direction of the population heat current. Our work offers a viable way to enhance quantum metrology for open quantum systems through steady-state coherence sustained by the nonequilibrium condition, which can be controlled and manipulated to maximize its utility. The potential applications go beyond quantum metrology and extend to areas such as device designing, quantum computation and quantum technology in general.

  19. World wide matching of registration metrology tools of various generations

    Science.gov (United States)

    Laske, F.; Pudnos, A.; Mackey, L.; Tran, P.; Higuchi, M.; Enkrich, C.; Roeth, K.-D.; Schmidt, K.-H.; Adam, D.; Bender, J.

    2008-10-01

    Turn around time/cycle time is a key success criterion in the semiconductor photomask business. Therefore, global mask suppliers typically allocate work loads based on fab capability and utilization capacity. From a logistical point of view, the manufacturing location of a photomask should be transparent to the customer (mask user). Matching capability of production equipment and especially metrology tools is considered a key enabler to guarantee cross site manufacturing flexibility. Toppan, with manufacturing sites in eight countries worldwide, has an on-going program to match the registration metrology systems of all its production sites. This allows for manufacturing flexibility and risk mitigation.In cooperation with Vistec Semiconductor Systems, Toppan has recently completed a program to match the Vistec LMS IPRO systems at all production sites worldwide. Vistec has developed a new software feature which allows for significantly improved matching of LMS IPRO(x) registration metrology tools of various generations. We will report on the results of the global matching campaign of several of the leading Toppan sites.

  20. A laser metrology/viewing system for ITER in-vessel inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Herndon, J.N.; Menon, M.M.; Slotwinski, A.; Dagher, M.A.; Yuen, J.L.

    1998-01-01

    This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision surface mapping system. A metrology system capable of achieving sub-millimeter accuracy must operate in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser optics module linked through fiber optics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic-mast. Gamma irradiation to 10 7 Gy was conducted on critical sensor components at Oak Ridge National Laboratory, with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway. (orig.)

  1. Color and appearance metrology facility

    Data.gov (United States)

    Federal Laboratory Consortium — The NIST Physical Measurement Laboratory has established the color and appearance metrology facility to support calibration services for 0°/45° colored samples, 20°,...

  2. Reference metrology in a research fab: the NIST clean calibrations thrust

    Science.gov (United States)

    Dixson, Ronald; Fu, Joe; Orji, Ndubuisi; Renegar, Thomas; Zheng, Alan; Vorburger, Theodore; Hilton, Al; Cangemi, Marc; Chen, Lei; Hernandez, Mike; Hajdaj, Russell; Bishop, Michael; Cordes, Aaron

    2009-03-01

    In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST. Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here. Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy (SEM), and atomic force microscopy (AFM). Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set consisting of a stylus profiler, an SEM, and an AFM. Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the Class 100 environment available in the NanoFab and offering our customers calibration options that do not require exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are generally consistent with our measurement goals.

  3. Chemical metrology, strategic job for the Chilean Nuclear Energy Commission

    International Nuclear Information System (INIS)

    Gras, Nuri; Munoz, Luis; Cortes, Eduardo

    2001-01-01

    The National Standardization Institute's (INN) Metrology unit prepared a study in 1996 to evaluate the impact of metrological activity in Chile. This study was based on a survey of the supply and demand of metrological services and on studies of the behavior of the production system and technological services in Chile during the period 1990-1996. With the information obtained in this study the economic impact resulting from the lack of a national metrology system could be evaluated. This impact was estimated to be a 5% loss in gross national product equal to 125-500 million dollars because of direct product rejection in the mining, fisheries, agricultural and manufacturing sectors. Chemical measurements are responsible for 50% of these losses. In response to this need and coordinated by the INN, a metrological network of reference laboratories began to operate in 1997 for the principal physical magnitudes (mass, temperature, longitude and force) and a CORFO-FDI project began in 2001 that includes the chemical magnitudes. The Chilean Nuclear Energy Commission, aware of the problem's importance and the amount of economic damage that the country may suffer, as a result of these deficiencies, has formed a Chemical Metrology Unit to provide technical support. It aims to raise the standards of local analytical laboratories by providing international recognition to the export sector. Nuclear analytical techniques are used as reference methods. This work describes the laboratories that are included in this Chemical Metrology Unit and the historical contribution to the development of local analytical chemistry. The national and international projects are described together with the publications they have generated. The quality assurance program applied to the laboratories is described as well, which has led to the accreditation of the analytical chemical assays. The procedures used for validation and calculation of uncertain nuclear methodologies are described together with

  4. Utilization of the research and measurement reactor Braunschweig for neutron metrology

    International Nuclear Information System (INIS)

    Alberts, W.G.

    1982-01-01

    The objectives of the Physikalisch-Technische Bundesanstalt (PTB) with regard to neutron metrology are briefly described. The use of the PTB's Research and Measuring Reactor as neutron source for metrological purposes is discussed. Reference neutron beams are described which serve as irradiation facilities for the calibration of detectors for radiation protection purposes in the frame of the legal metrology work in the PTB. (orig.) [de

  5. Tuning excitation laser wavelength for secondary resonance in low-intensity phase-selective laser-induced breakdown spectroscopy for in-situ analytical measurement of nanoaerosols

    Science.gov (United States)

    Xiong, Gang; Li, Shuiqing; Tse, Stephen D.

    2018-02-01

    In recent years, a novel low-intensity phase-selective laser-induced breakdown spectroscopy (PS-LIBS) technique has been developed for unique elemental-composition identification of aerosolized nanoparticles, where only the solid-phase nanoparticles break down, forming nanoplasmas, without any surrounding gas-phase breakdown. Additional work has demonstrated that PS-LIBS emissions can be greatly enhanced with secondary resonant excitation by matching the excitation laser wavelength with an atomic transition line in the formed nanoplasma, thereby achieving low limits of detection. In this work, a tunable dye laser is employed to investigate the effects of excitation wavelength and irradiance on in-situ PS-LIBS measurements of TiO2 nanoaerosols. The enhancement factor by resonant excitation can be 220 times greater than that for non-resonant cases under similar conditions. Moreover, the emitted spectra are unique for the selected resonant transition lines for a given element, suggesting the potential to make precise phase-selective and analyte-selective measurements of nanoparticles in a multicomponent multiphase system. The enhancement factor by resonant excitation is highly sensitive to excitation laser wavelength, with narrow excitation spectral windows, i.e., 0.012 to 0.023 nm (FWHM, full width at half maximum) for Ti (I) neutral atomic lines, and 0.051 to 0.139 nm (FWHM) for Ti (II) single-ionized atomic lines. Boltzmann analysis of the emission intensities, temporal response of emissions, and emission dependence on excitation irradiance are investigated to understand aspects of the generated nanoplasmas such as temperature, local thermodynamic equilibrium (LTE), and excitation mechanism.

  6. DLP-based 3D metrology by structured light or projected fringe technology for life sciences and industrial metrology

    Science.gov (United States)

    Frankowski, G.; Hainich, R.

    2009-02-01

    Since the mid-eighties, a fundamental idea for achieving measuring accuracy in projected fringe technology was to consider the projected fringe pattern as an interferogram and evaluate it on the basis of advanced algorithms widely used for phase measuring in real-time interferometry. A fundamental requirement for obtaining a sufficiently high degree of measuring accuracy with this so-called "phase measuring projected fringe technology" is that the projected fringes, analogous to interference fringes, must have a cos2-shaped intensity distribution. Until the mid-nineties, this requirement for the projected fringe pattern measurement technology presented a basic handicap for its wide application in 3D metrology. This situation changed abruptly, when in the nineties Texas Instruments introduced to the market advanced digital light projection on the basis of micro mirror based projection systems, socalled DLP technology, which also facilitated the generation and projection of cos2-shaped intensity and/or fringe patterns. With this DLP technology, which from its original approach was actually oriented towards completely different applications such as multimedia projection, Texas Instruments boosted phase-measuring fringe projection in optical 3D metrology to a worldwide breakthrough both for medical as well as industrial applications. A subject matter of the lecture will be to present the fundamental principles and the resulting advantages of optical 3D metrology based on phase-measuring fringe projection using DLP technology. Further will be presented and discussed applications of the measurement technology in medical engineering and industrial metrology.

  7. Slovak Institute of Metrology. Annual Report 2001

    International Nuclear Information System (INIS)

    Bily, M.

    2002-03-01

    A brief account of activities carried out by the Slovak Institute of Metrology (SMU) in 2001 is presented. These activities are reported under the headings: (1) Organisation identification; (2) Mission and medium-term perspectives; (3) Contract with Slovak Office of Standards, Metrology and Testing of the Slovak Republic; (4) SMU activities ; (5) Economic results; (6) Personnel management; (7) Aims and results of their fulfilment; (8) Evaluation and analysis of SMU development in 2001; (9) Main group of outputs users; (10) Conclusion

  8. Objectives and functions of ionizing radiation metrology

    International Nuclear Information System (INIS)

    Rothe, H.

    1981-01-01

    Proceeding from the fundamental objectives of ionizing radiation metrology, the main tasks of metrological research and assurances of accurate measurements in dosimetry and activity determination are summarized. With a view to the technical performance of these tasks the state-of-the-art and the trends in reproduction and dissemination of dosimetric and activity units are outlined. Problems are derived that should be solved within the framework of the CMEA Standing Commissions on Standardization and on the Peaceful Uses of Atomic Energy. (author)

  9. Relativistic quantum metrology: exploiting relativity to improve quantum measurement technologies.

    Science.gov (United States)

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-05-22

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects.

  10. Handbook of 3D machine vision optical metrology and imaging

    CERN Document Server

    Zhang, Song

    2013-01-01

    With the ongoing release of 3D movies and the emergence of 3D TVs, 3D imaging technologies have penetrated our daily lives. Yet choosing from the numerous 3D vision methods available can be frustrating for scientists and engineers, especially without a comprehensive resource to consult. Filling this gap, Handbook of 3D Machine Vision: Optical Metrology and Imaging gives an extensive, in-depth look at the most popular 3D imaging techniques. It focuses on noninvasive, noncontact optical methods (optical metrology and imaging). The handbook begins with the well-studied method of stereo vision and

  11. Dual-wavelength phase-shifting digital holography selectively extracting wavelength information from wavelength-multiplexed holograms.

    Science.gov (United States)

    Tahara, Tatsuki; Mori, Ryota; Kikunaga, Shuhei; Arai, Yasuhiko; Takaki, Yasuhiro

    2015-06-15

    Dual-wavelength phase-shifting digital holography that selectively extracts wavelength information from five wavelength-multiplexed holograms is presented. Specific phase shifts for respective wavelengths are introduced to remove the crosstalk components and extract only the object wave at the desired wavelength from the holograms. Object waves in multiple wavelengths are selectively extracted by utilizing 2π ambiguity and the subtraction procedures based on phase-shifting interferometry. Numerical results show the validity of the proposed technique. The proposed technique is also experimentally demonstrated.

  12. Enabling CD SEM metrology for 5nm technology node and beyond

    Science.gov (United States)

    Lorusso, Gian Francesco; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Bömmels, Jürgen; Wilson, Christopher J.; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnémont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru

    2017-03-01

    The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI Fin

  13. IT Security Standards and Legal Metrology - Transfer and Validation

    Science.gov (United States)

    Thiel, F.; Hartmann, V.; Grottker, U.; Richter, D.

    2014-08-01

    Legal Metrology's requirements can be transferred into the IT security domain applying a generic set of standardized rules provided by the Common Criteria (ISO/IEC 15408). We will outline the transfer and cross validation of such an approach. As an example serves the integration of Legal Metrology's requirements into a recently developed Common Criteria based Protection Profile for a Smart Meter Gateway designed under the leadership of the Germany's Federal Office for Information Security. The requirements on utility meters laid down in the Measuring Instruments Directive (MID) are incorporated. A verification approach to check for meeting Legal Metrology's requirements by their interpretation through Common Criteria's generic requirements is also presented.

  14. Cluster dynamics at different cluster size and incident laser wavelengths

    International Nuclear Information System (INIS)

    Desai, Tara; Bernardinello, Andrea

    2002-01-01

    X-ray emission spectra from aluminum clusters of diameter -0.4 μm and gold clusters of dia. ∼1.25 μm are experimentally studied by irradiating the cluster foil targets with 1.06 μm laser, 10 ns (FWHM) at an intensity ∼10 12 W/cm 2 . Aluminum clusters show a different spectra compared to bulk material whereas gold cluster evolve towards bulk gold. Experimental data are analyzed on the basis of cluster dimension, laser wavelength and pulse duration. PIC simulations are performed to study the behavior of clusters at higher intensity I≥10 17 W/cm 2 for different size of the clusters irradiated at different laser wavelengths. Results indicate the dependence of cluster dynamics on cluster size and incident laser wavelength

  15. Field instruments for real time in-situ crude oil concentration measurements

    International Nuclear Information System (INIS)

    Fuller, C.B.; Bonner, J.S.; Page, C.A.; Arrambide, G.; Sterling, M.C.Jr.; Ojo, T.O.

    2003-01-01

    Accidental oil spills, contaminant release during resuspension, storms, and harmful algal blooms are all episodic events that can effect coastal margins. It is important to quantitatively describe water and ecological quality evolution and predict the impact to these areas by such events, but traditional sampling methods miss environmental activity during cyclical events. This paper presents a new sampling approach that involves continuous, real-time in-situ monitoring to provide data for development of comprehensive modeling protocols. It gives spill response coordinators greater assurance in making decisions using the latest visualization tools which are based on a good understanding of the physical processes at work in pulsed events. Five sensors for rapid monitoring of crude oil concentrations in aquatic systems were described. The in-situ and ex-situ sensors can measure plume transport and estimate polycyclic aromatic hydrocarbon exposure concentrations to assess risk of toxicity. A brief description and evaluation of the following 5 sensors was provided: the LISST-100 by Sequoia Instrument, a submersible multi-angle laser scattering instrument; the AU-10 field fluorometer by Turner Designs, an ex-situ single wavelength fluorometer; the Flashlamp by WET Labs Inc., an in-situ single wavelength fluorometer; and, the ECO-FL3 and SAFire by WET Labs Inc., two in-situ multiple wavelength fluorometers. These instruments were used to analyze crude oil emissions of various concentrations. All of the instruments followed a linear response within the tested concentration range. At the lowest concentrations the LISST-100 was not as effective as the fluorometers because of limited particle volume for scatter. For the AU-10 field fluorometer, the highest concentrations tested were above the measurement range of the instrument. 6 refs., 5 figs

  16. Optical antennas for far and near field metrology

    NARCIS (Netherlands)

    Silvestri, F.; Bernal Arango, F.; Vendel, K.J.A.; Gerini, G.; Bäumer, S.M.B.; Koenderink, A.F.

    2016-01-01

    This paper presents the use of optical antennas in metrology scenarios. Two design concepts are presented: dielectric nanoresonator arrays and plasmonic nanoantennas arrays. The first ones are able to focus an incident light beam at an arbitrary focal plane. The nanoantennas arrays can be employed

  17. Aluminum nitride nanophotonic circuits operating at ultraviolet wavelengths

    Energy Technology Data Exchange (ETDEWEB)

    Stegmaier, M.; Ebert, J.; Pernice, W. H. P., E-mail: wolfram.pernice@kit.edu [Institute of Nanotechnology, Karlsruhe Institute of Technology, 76133 Karlsruhe (Germany); Meckbach, J. M.; Ilin, K.; Siegel, M. [Institute of Micro- und Nanoelectronic Systems, Karlsruhe Institute of Technology, 76187 Karlsruhe (Germany)

    2014-03-03

    Aluminum nitride (AlN) has recently emerged as a promising material for integrated photonics due to a large bandgap and attractive optical properties. Exploiting the wideband transparency, we demonstrate waveguiding in AlN-on-Insulator circuits from near-infrared to ultraviolet wavelengths using nanophotonic components with dimensions down to 40 nm. By measuring the propagation loss over a wide spectral range, we conclude that both scattering and absorption of AlN-intrinsic defects contribute to strong attenuation at short wavelengths, thus providing guidelines for future improvements in thin-film deposition and circuit fabrication.

  18. Characterization of ethanol concentrations at ultraviolet wavelength ...

    African Journals Online (AJOL)

    This paper presents the measurement of optical absorption spectrum for different concentrations of ethanol at ultraviolet wavelength. Ethanol absorption spectrum was measured using portable spectroscopy setup from Avantes. It consists of Balanced Deuterium Halogen light source and spectrometer. The light source can ...

  19. Perspectives in absorbed dose metrology with regard to the technical evolutions of external beam radiotherapy

    International Nuclear Information System (INIS)

    Chauvenet, B.; Bordy, J.M.; Barthe, J.

    2009-01-01

    This paper presents several R and D axes in absorbed close metrology to meet the needs resulting from the technical evolutions of external beam radiotherapy. The facilities in operation in France have considerably evolved under the impulse of the plan Cancer launched in 2003: replacements and increase of the number of accelerators, substitution of accelerators for telecobalt almost completed and acquisition of innovative facilities for tomo-therapy and stereotaxy. The increasing versatility of facilities makes possible the rapid evolution of treatment modalities, allowing to better delimit irradiation to tumoral tissues and spare surrounding healthy tissues and organs at risk. This leads to a better treatment efficacy through dose escalation. National metrology laboratories must offer responses adapted to the new need, i.e. not restrict themselves to the establishment of references under conventional conditions defined at international level, contribute to the improvement of uncertainties at all levels of reference transfer to practitioners: primary measurements under conditions as close as possible to those of treatment, characterization of transfer and treatment control dosimeters., metrological validation of treatment planning tools... Those axes have been identified as priorities for the next years in ionizing radiation metrology at the European level and included in the European. Metrology Research Programme. A project dealing with some of those topics has been selected in the frame of the Eranet+ Call EMRP 2007 and is now starting. The LNE-LAM is strongly engaged in it. (authors)

  20. Joint Research on Scatterometry and AFM Wafer Metrology

    OpenAIRE

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) m...

  1. Metrology for γ-radiation spectrometry in a radiation monitoring system

    International Nuclear Information System (INIS)

    Khaikovich, I.M.; Shevrygin, O.N.; Fominykh, V.I.

    1993-01-01

    The rapid measurement of the characteristics of radionuclides is a priority when utilizing nuclear energy because of the needs of environmental conservation. This is particularly the case for long-lived nuclear-fuel fission products, 137,137 Cs, 144 Ce, 60 Co, etc., which as a rule are sources of high-energy γ-radiation. These can be measured by γ-ray spectrometry using scintillation or semiconductor devices. When choosing the metrological models, the starting points are the real characteristics of the distribution of the radionuclides in the soil (rock) and the need to estimate their surface activity and the reserves per unit area, i.e., the parameters from which one can estimate the influence of the radioactivity on nature and can decide the use of land areas. The methodology and the calculations presented show that a single metrological system for radiation monitoring can be constructed using multichannel geophysical radiometers (gamma spectrometers) while relying on a system of initial standard samples of small size. Such a metrological system at present provides all the necessary initial means for measuring the effective γ-radiation surface activity of 137,134 Cs and the mass fraction of the natural radioactive elements. Using these initial means of measurement, surveyed areas in the Leningrad and Tula provinces have been certified as State standard samples in terms of the eight parameters: the surface contamination activity of 137,134 Cs, the reserves of these per unit area, the mass fraction of the natural radioactive elements (potassium, uranium and thorium), the power of the equivalent (exposed) γ-radiation dose at a height of 1 m above the surface. It is intended to use the certified metrological surveyed areas to provide traceability and the required measurement accuracy when studying the contamination in European territories resulting from the accident at the Chernobyl nuclear power station

  2. Development of at-wavelength metrology using grating-based shearing interferometry at Diamond Light Source

    International Nuclear Information System (INIS)

    Wang, Hongchang; Berujon, Sebastien; Sawhney, Kawal

    2013-01-01

    The grating-based shearing interferometer has been established and further developed on B16 at Diamond Light Source. The beamline performances of both an X-ray plane mirror and a compound refractive lens (CRL) have been investigated using this technique. The slope error of the X-ray mirror was retrieved from the wavefront phase gradient, which was measured using two different processing schemes: phase stepping and moiré fringe analysis. The interferometer has demonstrated a high sensitivity with sub-microradian accuracy. Some of the advantages, disadvantages and limitations for the two approaches will also be presented.

  3. Development of at-wavelength metrology using grating-based shearing interferometry at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Berujon, Sebastien; Sawhney, Kawal

    2013-03-01

    The grating-based shearing interferometer has been established and further developed on B16 at Diamond Light Source. The beamline performances of both an X-ray plane mirror and a compound refractive lens (CRL) have been investigated using this technique. The slope error of the X-ray mirror was retrieved from the wavefront phase gradient, which was measured using two different processing schemes: phase stepping and moiré fringe analysis. The interferometer has demonstrated a high sensitivity with sub-microradian accuracy. Some of the advantages, disadvantages and limitations for the two approaches will also be presented.

  4. Advances in speckle metrology and related techniques

    CERN Document Server

    Kaufmann, Guillermo H

    2010-01-01

    Speckle metrology includes various optical techniques that are based on the speckle fields generated by reflection from a rough surface or by transmission through a rough diffuser. These techniques have proven to be very useful in testing different materials in a non-destructive way. They have changed dramatically during the last years due to the development of modern optical components, with faster and more powerful digital computers, and novel data processing approaches. This most up-to-date overview of the topic describes new techniques developed in the field of speckle metrology over the l

  5. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  6. Direct Generation and Detection of Quantum Correlated Photons with 3.2 um Wavelength Spacing.

    Science.gov (United States)

    Sua, Yong Meng; Fan, Heng; Shahverdi, Amin; Chen, Jia-Yang; Huang, Yu-Ping

    2017-12-13

    Quantum correlated, highly non-degenerate photons can be used to synthesize disparate quantum nodes and link quantum processing over incompatible wavelengths, thereby constructing heterogeneous quantum systems for otherwise unattainable superior performance. Existing techniques for correlated photons have been concentrated in the visible and near-IR domains, with the photon pairs residing within one micron. Here, we demonstrate direct generation and detection of high-purity photon pairs at room temperature with 3.2 um wavelength spacing, one at 780 nm to match the rubidium D2 line, and the other at 3950 nm that falls in a transparent, low-scattering optical window for free space applications. The pairs are created via spontaneous parametric downconversion in a lithium niobate waveguide with specially designed geometry and periodic poling. The 780 nm photons are measured with a silicon avalanche photodiode, and the 3950 nm photons are measured with an upconversion photon detector using a similar waveguide, which attains 34% internal conversion efficiency. Quantum correlation measurement yields a high coincidence-to-accidental ratio of 54, which indicates the strong correlation with the extremely non-degenerate photon pairs. Our system bridges existing quantum technology to the challenging mid-IR regime, where unprecedented applications are expected in quantum metrology and sensing, quantum communications, medical diagnostics, and so on.

  7. Metrology for fire experiments in outdoor conditions

    CERN Document Server

    Silvani, Xavier

    2013-01-01

    Natural fires can be considered as scale-dependant, non-linear processes of mass, momentum and heat transport, resulting from a turbulent reactive and radiative fluid medium flowing over a complex medium, the vegetal fuel. In natural outdoor conditions, the experimental study of natural fires at real scale needs the development of an original metrology, one able to capture the large range of time and length scales involved in its dynamic nature and also able to resist the thermal, mechanical and chemical aggression of flames on devices. Robust, accurate and poorly intrusive tools must be carefully set-up and used for gaining very fluctuating data over long periods. These signals also need the development of original post-processing tools that take into account the non-steady nature of their stochastic components. Metrology for Fire Experiments in Outdoor Conditions closely analyzes these features, and also describes measurements techniques, the thermal insulation of fragile electronic systems, data acquisitio...

  8. Construction of a single/multiple wavelength RZ optical pulse source at 40 GHz by use of wavelength conversion in a high-nonlinearity DSF-NOLM

    DEFF Research Database (Denmark)

    Yu, Jianjun; Yujun, Qian; Jeppesen, Palle

    2001-01-01

    A single or multiple wavelength RZ optical pulse source at 40 GHz is successfully obtained by using wavelength conversion in a nonlinear optical loop mirror consisting of high nonlinearity-dispersion shifted fiber.......A single or multiple wavelength RZ optical pulse source at 40 GHz is successfully obtained by using wavelength conversion in a nonlinear optical loop mirror consisting of high nonlinearity-dispersion shifted fiber....

  9. X-diffraction technique applied for nano system metrology

    International Nuclear Information System (INIS)

    Kuznetsov, Alexei Yu.; Machado, Rogerio; Robertis, Eveline de; Campos, Andrea P.C.; Archanjo, Braulio S.; Gomes, Lincoln S.; Achete, Carlos A.

    2009-01-01

    The application of nano materials are fast growing in all industrial sectors, with a strong necessity in nano metrology and normalizing in the nano material area. The great potential of the X-ray diffraction technique in this field is illustrated at the example of metals, metal oxides and pharmaceuticals

  10. Comparison of asphere measurements by tactile and optical metrological instruments

    NARCIS (Netherlands)

    Bergmans, R.H.; Nieuwenkamp, H.J.; Kok, G.J.P.; Blobel, G.; Nouira, H.; Küng, A.; Baas, M.; Voert, M.J.A. te; Baer, G.; Stuerwald, S.

    2015-01-01

    A comparison of topography measurements of aspherical surfaces was carried out by European metrology institutes, other research institutes and a company as part of an European metrology research project. In this paper the results of this comparison are presented. Two artefacts were circulated, a

  11. 100 Years of radionuclide metrology

    International Nuclear Information System (INIS)

    Judge, S.M.; Arnold, D.; Chauvenet, B.; Collé, R.; De Felice, P.; García-Toraño, E.; Wätjen, U.

    2014-01-01

    The discipline of radionuclide metrology at national standards institutes started in 1913 with the certification by Curie, Rutherford and Meyer of the first primary standards of radium. In early years, radium was a valuable commodity and the aim of the standards was largely to facilitate trade. The focus later changed to providing standards for the new wide range of radionuclides, so that radioactivity could be used for healthcare and industrial applications while minimising the risk to patients, workers and the environment. National measurement institutes responded to the changing demands by developing new techniques for realising primary standards of radioactivity. Looking ahead, there are likely to be demands for standards for new radionuclides used in nuclear medicine, an expansion of the scope of the field into quantitative imaging to facilitate accurate patient dosimetry for nuclear medicine, and an increasing need for accurate standards for radioactive waste management and nuclear forensics. - Highlights: • The driving forces for the development of radionuclide metrology. • Radium standards to facilitate trade of this valuable commodity in the early years. • After 1950, focus changes to healthcare and industrial applications. • National Measurement Institutes develop new techniques, standards, and disseminate the best practice in measurement. • Challenges in nuclear medicine, radioactive waste management and nuclear forensics

  12. X-ray pulse wavefront metrology using speckle tracking

    International Nuclear Information System (INIS)

    Berujon, Sebastien; Ziegler, Eric; Cloetens, Peter

    2015-01-01

    The theoretical description and experimental implementation of a speckle-tracking-based instrument which permits the characterisation of X-ray pulse wavefronts. An instrument allowing the quantitative analysis of X-ray pulsed wavefronts is presented and its processing method explained. The system relies on the X-ray speckle tracking principle to accurately measure the phase gradient of the X-ray beam from which beam optical aberrations can be deduced. The key component of this instrument, a semi-transparent scintillator emitting visible light while transmitting X-rays, allows simultaneous recording of two speckle images at two different propagation distances from the X-ray source. The speckle tracking procedure for a reference-less metrology mode is described with a detailed account on the advanced processing schemes used. A method to characterize and compensate for the imaging detector distortion, whose principle is also based on speckle, is included. The presented instrument is expected to find interest at synchrotrons and at the new X-ray free-electron laser sources under development worldwide where successful exploitation of beams relies on the availability of an accurate wavefront metrology

  13. Metrology for industrial quantum communications: the MIQC project

    Science.gov (United States)

    Rastello, M. L.; Degiovanni, I. P.; Sinclair, A. G.; Kück, S.; Chunnilall, C. J.; Porrovecchio, G.; Smid, M.; Manoocheri, F.; Ikonen, E.; Kubarsepp, T.; Stucki, D.; Hong, K. S.; Kim, S. K.; Tosi, A.; Brida, G.; Meda, A.; Piacentini, F.; Traina, P.; Natsheh, A. Al; Cheung, J. Y.; Müller, I.; Klein, R.; Vaigu, A.

    2014-12-01

    The ‘Metrology for Industrial Quantum Communication Technologies’ project (MIQC) is a metrology framework that fosters development and market take-up of quantum communication technologies and is aimed at achieving maximum impact for the European industry in this area. MIQC is focused on quantum key distribution (QKD) technologies, the most advanced quantum-based technology towards practical application. QKD is a way of sending cryptographic keys with absolute security. It does this by exploiting the ability to encode in a photon's degree of freedom specific quantum states that are noticeably disturbed if an eavesdropper trying to decode it is present in the communication channel. The MIQC project has started the development of independent measurement standards and definitions for the optical components of QKD system, since one of the perceived barriers to QKD market success is the lack of standardization and quality assurance.

  14. Magnetic nanoparticles. Metrological aspects

    International Nuclear Information System (INIS)

    Nikiforov, V N; Nikiforov, A V; Oxengendler, B L; Turaeva, N N; Sredin, V G

    2011-01-01

    The experiments on influence of the iron oxide cluster size on the specific magnetic moment are performed. Both free and covered clusters are investigated. The experiments are interpreted on the base of core-shell model by analogy to Weizsaecker formula in the nuclear physics. Metrological parameters for the cluster size investigation are obtained.

  15. A new approach to stitching optical metrology data

    Science.gov (United States)

    King, Christopher W.

    The next generation of optical instruments, including telescopes and imaging apparatus, will generate an increased requirement for larger and more complex optical forms. A major limiting factor for the production of such optical components is the metrology: how do we measure such parts and with respect to what reference datum This metrology can be thought of as part of a complete cycle in the production of optical components and it is currently the most challenging aspect of production. This thesis investigates a new and complete approach to stitching optical metrology data to extend the effective aperture or, in future, the dynamic range of optical metrology instruments. A practical approach is used to build up a complete process for stitching on piano and spherical parts. The work forms a basis upon which a stitching system for aspheres might be developed in the future, which is inherently more complicated. Beginning with a historical perspective and a review of optical polishing and metrology, the work presented relates the commercially available metrology instruments to the stitching process developed. The stitching is then performed by a numerical optimization routine that seeks to join together overlapping sub-aperture measurements by consideration of the aberrations introduced by the measurement scenario, and by the overlap areas between measurements. The stitching is part of a larger project, the PPARC Optical Manipulation and Metrology project, and was to benefit from new wavefront sensing technology developed by a project partner, and to be used for the sub-aperture measurement. Difficult mathematical problems meant that such a wavefront sensor was not avail able for this work and a work-around was therefore developed using commercial instruments. The techniques developed can be adapted to work on commercial ma chine platforms, and in partuicular, the OMAM NPL/UCL swing-arm profilometer described in chapter 5, or the computer controlled polishing machines

  16. Metrological traceability of carbon dioxide measurements in atmosphere and seawater

    International Nuclear Information System (INIS)

    Rolle, F; Pessana, E; Sega, M

    2017-01-01

    The accurate determination of gaseous pollutants is fundamental for the monitoring of the trends of these analytes in the environment and the application of the metrological concepts to this field is necessary to assure the reliability of the measurement results. In this work, an overview of the activity carried out at Istituto Nazionale di Ricerca Metrologica to establish the metrological traceability of the measurements of gaseous atmospheric pollutants, in particular of carbon dioxide (CO 2 ), is presented. Two primary methods, the gravimetry and the dynamic dilution, are used for the preparation of reference standards for composition which can be used to calibrate sensors and analytical instrumentation. At present, research is carried out to lower the measurement uncertainties of the primary gas mixtures and to extend their application to the oceanic field. The reason of such investigation is due to the evidence of the changes occurring in seawater carbonate chemistry, connected to the rising level of CO 2 in the atmosphere. The well established activity to assure the metrological traceability of CO 2 in the atmosphere will be applied to the determination of CO 2 in seawater, by developing suitable reference materials for calibration and control of the sensors during their routine use. (paper)

  17. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  18. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  19. Metrological large range scanning probe microscope

    International Nuclear Information System (INIS)

    Dai Gaoliang; Pohlenz, Frank; Danzebrink, Hans-Ulrich; Xu Min; Hasche, Klaus; Wilkening, Guenter

    2004-01-01

    We describe a metrological large range scanning probe microscope (LR-SPM) with an Abbe error free design and direct interferometric position measurement capability, aimed at versatile traceable topographic measurements that require nanometer accuracy. A dual-stage positioning system was designed to achieve both a large measurement range and a high measurement speed. This dual-stage system consists of a commercially available stage, referred to as nanomeasuring machine (NMM), with a motion range of 25 mmx25 mmx5 mm along x, y, and z axes, and a compact z-axis piezoelectric positioning stage (compact z stage) with an extension range of 2 μm. The metrological LR-SPM described here senses the surface using a stationary fixed scanning force microscope (SFM) head working in contact mode. During operation, lateral scanning of the sample is performed solely by the NMM. Whereas the z motion, controlled by the SFM signal, is carried out by a combination of the NMM and the compact z stage. In this case the compact z stage, with its high mechanical resonance frequency (greater than 20 kHz), is responsible for the rapid motion while the NMM simultaneously makes slower movements over a larger motion range. To reduce the Abbe offset to a minimum the SFM tip is located at the intersection of three interferometer measurement beams orientated in x, y, and z directions. To improve real time performance two high-end digital signal processing (DSP) systems are used for NMM positioning and SFM servocontrol. Comprehensive DSP firmware and Windows XP-based software are implemented, providing a flexible and user-friendly interface. The instrument is able to perform large area imaging or profile scanning directly without stitching small scanned images. Several measurements on different samples such as flatness standards, nanostep height standards, roughness standards as well as sharp nanoedge samples and 1D gratings demonstrate the outstanding metrological capabilities of the instrument

  20. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Kraakman, R.; Voorbraak, W.P.

    1993-04-01

    Additional to the in-core EXOTIC experiments, six irradiations of ceramic material, R212-001 to R212-006, have been performed in the PSF of the HFR. This note presents the neutron metrology results for these irradiations. (orig.)

  1. Metrology/viewing system for next generation fusion reactors

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.

    1997-01-01

    Next generation fusion reactors require accurate measuring systems to verify sub-millimeter alignment of plasma-facing components in the reactor vessel. A metrology system capable of achieving such accuracy must be compatible with the vessel environment of high gamma radiation, high vacuum, elevated temperature, and magnetic field. This environment requires that the system must be remotely deployed. A coherent, frequency modulated laser radar system is being integrated with a remotely operated deployment system to meet these requirements. The metrology/viewing system consists of a compact laser transceiver optics module which is linked through fiber optics to the laser source and imaging units that are located outside of the harsh environment. The deployment mechanism is a telescopic-mast positioning system. This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision ranging and surface mapping system

  2. Ionising radiation metrology : Physical basis for the radiation protection in Spain

    International Nuclear Information System (INIS)

    Arcos, J. M. los; Brosed, A.; Fernandez, F.

    2004-01-01

    Applying radiological protection principles and, in particular optimisation, requires a system of metrological references internationally traceable and to which be traced at the national level, through a well defined calibration chain. In this paper on overview of the activities done in the national standards laboratory and in the calibration laboratories existing in Spain is presented. As a conclusion it is established that, although the necessities at the protection level are reasonably covered for α, β, X and γ radiation, the lack of a neutronic reference laboratory is detected, to give metrological support to the two laboratories with capability for making irradiations or determinations of neutronic doses, currently operating in the country. (Author) 19 refs

  3. Electronic band-gap modified passive silicon optical modulator at telecommunications wavelengths.

    Science.gov (United States)

    Zhang, Rui; Yu, Haohai; Zhang, Huaijin; Liu, Xiangdong; Lu, Qingming; Wang, Jiyang

    2015-11-13

    The silicon optical modulator is considered to be the workhorse of a revolution in communications. In recent years, the capabilities of externally driven active silicon optical modulators have dramatically improved. Self-driven passive modulators, especially passive silicon modulators, possess advantages in compactness, integration, low-cost, etc. Constrained by a large indirect band-gap and sensitivity-related loss, the passive silicon optical modulator is scarce and has been not advancing, especially at telecommunications wavelengths. Here, a passive silicon optical modulator is fabricated by introducing an impurity band in the electronic band-gap, and its nonlinear optics and applications in the telecommunications-wavelength lasers are investigated. The saturable absorption properties at the wavelength of 1.55 μm was measured and indicates that the sample is quite sensitive to light intensity and has negligible absorption loss. With a passive silicon modulator, pulsed lasers were constructed at wavelengths at 1.34 and 1.42 μm. It is concluded that the sensitive self-driven passive silicon optical modulator is a viable candidate for photonics applications out to 2.5 μm.

  4. Interoperability: linking design and tolerancing with metrology.

    Science.gov (United States)

    Morse, Edward; Heysiattalab, Saeed; Barnard-Feeney, Allison; Hedberg, Thomas

    2016-01-01

    On October 30, 2014 the American National Standards Institute (ANSI) approved QIF v 2.0 (Quality Information Framework, version 2.0) as an American National Standard. Subsequently in early 2016 QIF version 2.1 was approved. This paper describes how the QIF standard models the information necessary for quality workflow across the full metrology enterprise. After a brief description of the XML 'language' used in the standard, the paper reports on how the standard enables information exchange among four major activities in the metrology enterprise (product definition; measurement planning; measurement execution; and the analysis and reporting of the quality data).

  5. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  6. Looking at the future of manufacturing metrology: roadmap document of the German VDI/VDE Society for Measurement and Automatic Control

    OpenAIRE

    Berthold, J.; Imkamp, D.

    2013-01-01

    "Faster, safer, more accurately and more flexibly'' is the title of the "manufacturing metrology roadmap'' issued by the VDI/VDE Society for Measurement and Automatic Control (http://www.vdi.de/gma). The document presents a view of the development of metrology for industrial production over the next ten years and was drawn up by a German group of experts from research and industry. The following paper summarizes the content of the roadmap and explains the individual concepts of "Faster, safer...

  7. Effect of measurement error budgets and hybrid metrology on qualification metrology sampling

    Science.gov (United States)

    Sendelbach, Matthew; Sarig, Niv; Wakamoto, Koichi; Kim, Hyang Kyun (Helen); Isbester, Paul; Asano, Masafumi; Matsuki, Kazuto; Osorio, Carmen; Archie, Chas

    2014-10-01

    Until now, metrologists had no statistics-based method to determine the sampling needed for an experiment before the start that accuracy experiment. We show a solution to this problem called inverse total measurement uncertainty (TMU) analysis, by presenting statistically based equations that allow the user to estimate the needed sampling after providing appropriate inputs, allowing him to make important "risk versus reward" sampling, cost, and equipment decisions. Application examples using experimental data from scatterometry and critical dimension scanning electron microscope tools are used first to demonstrate how the inverse TMU analysis methodology can be used to make intelligent sampling decisions and then to reveal why low sampling can lead to unstable and misleading results. One model is developed that can help experimenters minimize sampling costs. A second cost model reveals the inadequacy of some current sampling practices-and the enormous costs associated with sampling that provides reasonable levels of certainty in the result. We introduce the strategies on how to manage and mitigate these costs and begin the discussion on how fabs are able to manufacture devices using minimal reference sampling when qualifying metrology steps. Finally, the relationship between inverse TMU analysis and hybrid metrology is explored.

  8. 5th Conference on Advanced Mathematical and Computational Tools in Metrology

    CERN Document Server

    Cox, M G; Filipe, E; Pavese, F; Richter, D

    2001-01-01

    Advances in metrology depend on improvements in scientific and technical knowledge and in instrumentation quality, as well as on better use of advanced mathematical tools and development of new ones. In this volume, scientists from both the mathematical and the metrological fields exchange their experiences. Industrial sectors, such as instrumentation and software, will benefit from this exchange, since metrology has a high impact on the overall quality of industrial products, and applied mathematics is becoming more and more important in industrial processes.This book is of interest to people

  9. Enhanced resolution and accuracy of freeform metrology through Subaperture Stitching Interferometry

    Science.gov (United States)

    Supranowitz, Chris; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2017-10-01

    Recent advances in polishing and metrology have addressed many of the challenges in the fabrication and metrology of freeform surfaces, and the manufacture of these surfaces is possible today. However, achieving the form and mid-spatial frequency (MSF) specifications that are typical of visible imaging systems remains a challenge. Interferometric metrology for freeform surfaces is thus highly desirable for such applications, but the capability is currently quite limited for freeforms. In this paper, we provide preliminary results that demonstrate accurate, high-resolution measurements of freeform surfaces using prototype software on QED's ASI™ (Aspheric Stitching Interferometer).

  10. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  11. Metrology network: a case study on the metrology network of defense and security from SIBRATEC

    International Nuclear Information System (INIS)

    Pereira, Marisa Ferraz Figueira

    2016-01-01

    This study is focused on understanding the effects of the infrastructure improvement of these laboratories and the role of network management in offering support and metrological services to the defense and security sector enterprises, within the project purposes. It is also aimed identify gaps on offering calibration and, or testing services to supply demands of the defense and security industries, and analyze adequacy of RDS project to demands of defense and security industries, with the purpose to contribute with information for future actions. The experimental research is qualitative type, with exploratory research characteristics, based on case study. It was structured in two parts, involving primary data collection and secondary data. In order to collect the primary data two questionnaires were prepared, one (Questionnaire A) to the five RDS laboratories representatives and other (Questionnaire B) to the contacts of 63 defense and security enterprises which need calibration and test services, possible customers of RDS laboratories. Answers from four representatives of RDS laboratories and from 26 defense and security enterprises were obtained. The collection of secondary data was obtained from documentary research. The analysis was made based on five dimensions defined in order to organize and improve the understanding of the research setting. They are RDS project coverage, regional, network management, metrological traceability and importance and visibility of RDS. The results indicated that the performance of RDS does not interfere, by that time, in the metrological traceability of the products of the defense and security enterprises that participated in the research. (author)

  12. High pressure metrology for industrial applications

    Science.gov (United States)

    Sabuga, Wladimir; Rabault, Thierry; Wüthrich, Christian; Pražák, Dominik; Chytil, Miroslav; Brouwer, Ludwig; Ahmed, Ahmed D. S.

    2017-12-01

    To meet the needs of industries using high pressure technologies, in traceable, reliable and accurate pressure measurements, a joint research project of the five national metrology institutes and the university was carried out within the European Metrology Research Programme. In particular, finite element methods were established for stress-strain analysis of elastic and nonlinear elastic-plastic deformation, as well as of contact processes in pressure-measuring piston-cylinder assemblies, and high-pressure components at pressures above 1 GPa. New pressure measuring multipliers were developed and characterised, which allow realisation of the pressure scale up to 1.6 GPa. This characterisation is based on research including measurements of material elastic constants by the resonant ultrasound spectroscopy, hardness of materials of high pressure components, density and viscosity of pressure transmitting liquids at pressures up to 1.4 GPa and dimensional measurements on piston-cylinders. A 1.6 GPa pressure system was created for operation of the 1.6 GPa multipliers and calibration of high pressure transducers. A transfer standard for 1.5 GPa pressure range, based on pressure transducers, was built and tested. Herewith, the project developed the capability of measuring pressures up to 1.6 GPa, from which industrial users can calibrate their pressure measurement devices for accurate measurements up to 1.5 GPa.

  13. European Congress on Optics Applied to Metrology /METROP/, 2nd, Strasbourg, France, November 26-30, 1979, Proceedings

    International Nuclear Information System (INIS)

    Grosmann, M.; Meyrueis, P.

    1980-01-01

    The paper deals with speckle metrology, advances in classical optical metrology and measurement, and holographic metrology. Specific topics include hybrid holographic computer image processing, a speckle method of flow velocity measurement, the measurement of vibratory strains on turbine blades by speckle photography, the use of optical heterodyning and the Doppler effect in laser vibrometers and anemometers, subpicosecond dye lasers for optical metrology, and laser-beam scanning for remote control. Holographic interferometry of brittle materials is discussed, along with a system for the automatic analysis of holographic interferograms, the measurement of surface tension by holographic interferometry, nondestructive testing by means of holographic interferometry, real-time holographic interferometry of heat transfer at the surface of cold solar collectors, and the effective practical use of holography and related technologies in industry

  14. Target-Tracking Camera for a Metrology System

    Science.gov (United States)

    Liebe, Carl; Bartman, Randall; Chapsky, Jacob; Abramovici, Alexander; Brown, David

    2009-01-01

    An analog electronic camera that is part of a metrology system measures the varying direction to a light-emitting diode that serves as a bright point target. In the original application for which the camera was developed, the metrological system is used to determine the varying relative positions of radiating elements of an airborne synthetic aperture-radar (SAR) antenna as the airplane flexes during flight; precise knowledge of the relative positions as a function of time is needed for processing SAR readings. It has been common metrology system practice to measure the varying direction to a bright target by use of an electronic camera of the charge-coupled-device or active-pixel-sensor type. A major disadvantage of this practice arises from the necessity of reading out and digitizing the outputs from a large number of pixels and processing the resulting digital values in a computer to determine the centroid of a target: Because of the time taken by the readout, digitization, and computation, the update rate is limited to tens of hertz. In contrast, the analog nature of the present camera makes it possible to achieve an update rate of hundreds of hertz, and no computer is needed to determine the centroid. The camera is based on a position-sensitive detector (PSD), which is a rectangular photodiode with output contacts at opposite ends. PSDs are usually used in triangulation for measuring small distances. PSDs are manufactured in both one- and two-dimensional versions. Because it is very difficult to calibrate two-dimensional PSDs accurately, the focal-plane sensors used in this camera are two orthogonally mounted one-dimensional PSDs.

  15. Optimizing image-based patterned defect inspection through FDTD simulations at multiple ultraviolet wavelengths

    Science.gov (United States)

    Barnes, Bryan M.; Zhou, Hui; Henn, Mark-Alexander; Sohn, Martin Y.; Silver, Richard M.

    2017-06-01

    The sizes of non-negligible defects in the patterning of a semiconductor device continue to decrease as the dimensions for these devices are reduced. These "killer defects" disrupt the performance of the device and must be adequately controlled during manufacturing, and new solutions are required to improve optics-based defect inspection. To this end, our group has reported [Barnes et al., Proc. SPIE 1014516 (2017)] our initial five-wavelength simulation study, evaluating the extensibility of defect inspection by reducing the inspection wavelength from a deep-ultraviolet wavelength to wavelengths in the vacuum ultraviolet and the extreme ultraviolet. In that study, a 47 nm wavelength yielded enhancements in the signal to noise (SNR) by a factor of five compared to longer wavelengths and in the differential intensities by as much as three orders-of-magnitude compared to 13 nm. This paper briefly reviews these recent findings and investigates the possible sources for these disparities between results at 13 nm and 47 nm wavelengths. Our in-house finite-difference time-domain code (FDTD) is tested in both two and three dimensions to determine how computational conditions contributed to the results. A modified geometry and materials stack is presented that offers a second viewpoint of defect detectability as functions of wavelength, polarization, and defect type. Reapplication of the initial SNR-based defect metric again yields no detection of a defect at λ = 13 nm, but additional image preprocessing now enables the computation of the SNR for λ = 13 nm simulated images and has led to a revised defect metric that allows comparisons at all five wavelengths.

  16. A silicon superlens with a simple design working at visible wavelengths

    Science.gov (United States)

    Fu, Liwei; Frenner, Karsten; Li, Huiyu; Osten, Wolfgang

    2016-04-01

    Nano-imaging has imposed a fundamental impact on the development of nanoscience and technology. The demands for direct subwavelength imaging in far field have been significantly increased. Such a superlens needs first to be able to collect the near field information, and then transform it into the far field with magnification and low image distortion. In this contribution we demonstrate a superlens with a novel design for far field observation at visible wavelengths. The lens is based on a silicon half cylinder with several micrometers in size. Without any structuring, the silicon semicylinder can already work as a lens with high resolving power due to its high refractive index. A distance of 280 nm between two incoherent dipoles immersed in water can be well resolved at a wavelength of 640 nm. Deep subwavelength imaging with magnification can be achieved when the flat surface of the semi-cylinder is structured with periodic plasmonic grating. When a ridge of the grating is centered at the optical axis of the lens, a local magnification factor of 10 can be obtained and the smallest resolvable distance between two point dipoles in water is around 120 nm at 640 nm wavelength. Moreover, this superlens also works at other visible wavelengths with a similar performance.

  17. Metrology in CNEN NN 3.05/13 standard

    International Nuclear Information System (INIS)

    Mello, Marina Santiago de

    2014-01-01

    The nuclear medicine exams are widely used tools in health services for a reliable clinical and functional diagnosis of a disease. In Brazil, the National Nuclear Energy Commission, through the norm CNEN-NN 3:05/13, provides for the requirements of safety and radiological protection in nuclear medicine services. The objective of this review article was to emphasize the importance of metrology in compliance with this norm. We observed that metrology plays a vital role as it ensures the quality, accuracy, reproducibility and consistency of the measurements in the field of nuclear medicine. (author)

  18. Looking at the future of manufacturing metrology: roadmap document of the German VDI/VDE Society for Measurement and Automatic Control

    Directory of Open Access Journals (Sweden)

    J. Berthold

    2013-02-01

    Full Text Available "Faster, safer, more accurately and more flexibly'' is the title of the "manufacturing metrology roadmap'' issued by the VDI/VDE Society for Measurement and Automatic Control (http://www.vdi.de/gma. The document presents a view of the development of metrology for industrial production over the next ten years and was drawn up by a German group of experts from research and industry. The following paper summarizes the content of the roadmap and explains the individual concepts of "Faster, safer, more accurately and more flexibly'' with the aid of examples.

  19. Adjustment method for embedded metrology engine in an EM773 series microcontroller.

    Science.gov (United States)

    Blazinšek, Iztok; Kotnik, Bojan; Chowdhury, Amor; Kačič, Zdravko

    2015-09-01

    This paper presents the problems of implementation and adjustment (calibration) of a metrology engine embedded in NXP's EM773 series microcontroller. The metrology engine is used in a smart metering application to collect data about energy utilization and is controlled with the use of metrology engine adjustment (calibration) parameters. The aim of this research is to develop a method which would enable the operators to find and verify the optimum parameters which would ensure the best possible accuracy. Properly adjusted (calibrated) metrology engines can then be used as a base for variety of products used in smart and intelligent environments. This paper focuses on the problems encountered in the development, partial automatisation, implementation and verification of this method. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  20. Vendor-based laser damage metrology equipment supporting the National Ignition Facility

    International Nuclear Information System (INIS)

    Campbell, J. H; Jennings, R. T.; Kimmons, J. F.; Kozlowski, M. R.; Mouser, R. P.; Schwatz, S.; Stolz, C. J.; Weinzapfel, C. L.

    1998-01-01

    A sizable laser damage metrology effort is required as part of optics production and installation for the 192 beam National Ignition Facility (NIF) laser. The large quantities, high damage thresholds, and large apertures of polished and coated optics necessitates vendor-based metrology equipment to assure component quality during production. This equipment must be optimized to provide the required information as rapidly as possible with limited operator experience. The damage metrology tools include: (1) platinum inclusion damage test systems for laser amplifier slabs, (2) laser conditioning stations for mirrors and polarizers, and (3) mapping and damage testing stations for UV transmissive optics. Each system includes a commercial Nd:YAG laser, a translation stage for the optics, and diagnostics to evaluate damage. The scanning parameters, optical layout, and diagnostics vary with the test fluences required and the damage morphologies expected. This paper describes the technical objectives and milestones involved in fulfilling these metrology requirements

  1. Update on EUV radiometry at PTB

    Science.gov (United States)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  2. Social profit in the context of the activities at Fluids Measurement Sector in Legal Metrology Department - Inmetro

    Science.gov (United States)

    Cinelli, L. R.; Silva, L. G.; Junior, E. A.; Almeida, R. O.

    2018-03-01

    This article was prepared in the context of the work of the Fluids Measurement Sector (Seflu) of the Legal Metrology Department of Inmetro (Dimel) in order to try to answer the following question: What is the magnitude of Social Profit generated for brazilian society from the existence of legal control of measuring instruments within the scope of this sector? In this sense, some examples of a case study containing the main measurement instruments related to the evaluation process of models performed at the Seflu are presented.

  3. Accuracy and Metrological Reliability Enhancing of Thermoelectric Transducers

    Directory of Open Access Journals (Sweden)

    Bogdan Stadnyk

    2010-12-01

    Full Text Available This article is devoted to development and use of thermoelectric thermotransducers with an enhanced accuracy and metrological reliability. The actuality of a problem is stipulated. Investigating changes at typical external environments, the mechanisms of transformation function instability are considered; possibilities of thermodynamic presentation use are analyzed concerning a thermometric substance. The algorithm of thermotransducer instrumental errors’ minimization is developed.

  4. 222Rn gas metrology in Latvia

    International Nuclear Information System (INIS)

    Bogucarska, T.; Lapenas, A.

    2004-01-01

    The measurements of radon gas provides in Latvia according with the State radiation monitoring program. The national standard/reference level for the protection of employees and population from exposure to radon Latvia has been accepted. The facilities for calibration of the radon gas measurement instruments and detectors have been established on basic of the Radiation Metrology and Testing Center which is the local SSDL for Baltic Region. The radon measurement instruments and detectors calibration can be performed at the 170-4000 Bq/m 3 range. (author)

  5. Approaches for a quantum memory at telecommunication wavelengths

    International Nuclear Information System (INIS)

    Lauritzen, Bjoern; Minar, Jiri; Riedmatten, Hugues de; Afzelius, Mikael; Gisin, Nicolas

    2011-01-01

    We report experimental storage and retrieval of weak coherent states of light at telecommunication wavelengths using erbium ions doped into a solid. We use two photon-echo-based quantum storage protocols. The first one is based on controlled reversible inhomogeneous broadening (CRIB). It allows the retrieval of the light on demand by controlling the collective atomic coherence with an external electric field, via the linear Stark effect. We study how atoms in the excited state affect the signal-to-noise ratio of the CRIB memory. Additionally we show how CRIB can be used to modify the temporal width of the retrieved light pulse. The second protocol is based on atomic frequency combs. Using this protocol we verify that the reversible mapping is phase preserving by performing an interference experiment with a local oscillator. These measurements are enabling steps toward solid-state quantum memories at telecommunication wavelengths. We also give an outlook on possible improvements.

  6. The role of metrology in mediating and mobilizing the language and culture of scientific facts

    Science.gov (United States)

    Fisher, W. P., Jr.; Stenner, A. J.

    2015-02-01

    The self-conscious awareness of language and its use is arguably nowhere more intense than in metrology. The careful and deliberate coordination and alignment of shared metrological frames of reference for theory, experiment, and practical application have been characteristics of scientific culture at least since the origins of the SI units in revolutionary France. Though close attention has been focused on the logical and analytical aspects of language use in science, little concern has been shown for understanding how the social and historical aspects of everyday language may have foreshadowed and influenced the development and character of metrological language, especially relative to the inevitably partial knowledge possessed by any given stakeholder participating in the scientific enterprise. Insight in this regard may be helpful in discerning how and if an analogous role for metrology might be created in psychology and the social sciences. It may be that the success of psychology as a science will depend less on taking physics as the relevant model than on attending to the interplay of concepts, models, and social organization that make any culture effective.

  7. Machine tool metrology an industrial handbook

    CERN Document Server

    Smith, Graham T

    2016-01-01

    Maximizing reader insights into the key scientific disciplines of Machine Tool Metrology, this text will prove useful for the industrial-practitioner and those interested in the operation of machine tools. Within this current level of industrial-content, this book incorporates significant usage of the existing published literature and valid information obtained from a wide-spectrum of manufacturers of plant, equipment and instrumentation before putting forward novel ideas and methodologies. Providing easy to understand bullet points and lucid descriptions of metrological and calibration subjects, this book aids reader understanding of the topics discussed whilst adding a voluminous-amount of footnotes utilised throughout all of the chapters, which adds some additional detail to the subject. Featuring an extensive amount of photographic-support, this book will serve as a key reference text for all those involved in the field. .

  8. Coordinate Metrology by Traceable Computed Tomography

    DEFF Research Database (Denmark)

    Müller, Pavel

    is an important factor for decision making about manufactured parts. However, due to many influences in CT, estimation of the uncertainty is a challenge, also because standardized procedures and guidelines are not available yet. In this thesis, several methods for uncertainty estimation were applied in connection......, characterization and correction of measurement errors in the CT volume. Their application appeared to be suitable for this task. Because the two objects consist of ruby spheres and carbon fibre, CT scans did not produce image artifacts, and evaluation of sphere-to-sphere distances was robust. Several methods...... metrology and coordinate metrology and is currently becoming more and more important measuring technique for dimensional measurements. This is mainly due to the fact that with CT, a complete three-dimensional model of the scanned part is in a relatively short time visualized using a computer...

  9. Metrology and analytical chemistry: Bridging the cultural gap

    International Nuclear Information System (INIS)

    King, Bernard

    2002-01-01

    Metrology in general and issues such as traceability and measurement uncertainty in particular are new to most analytical chemists and many remain to be convinced of their value. There is a danger of the cultural gap between metrologists and analytical chemists widening with unhelpful consequences and it is important that greater collaboration and cross-fertilisation is encouraged. This paper discusses some of the similarities and differences in the approaches adopted by metrologists and analytical chemists and indicates how these approaches can be combined to establish a unique metrology of chemical measurement which could be accepted by both cultures. (author)

  10. Automation of testing the metrological reliability of nondestructive control systems

    International Nuclear Information System (INIS)

    Zhukov, Yu.A.; Isakov, V.B.; Karlov, Yu.K.; Kovalevskij, Yu.A.

    1987-01-01

    Opportunities of microcomputers are used to solve the problem of testing control-measuring systems. Besides the main program the program of data processing when characterizing the nondestructive control systems is written in the microcomputer. The program includes two modules. The first module contains tests-programs, by which accuracy of functional elements of the microcomputer and interface elements with issuing a message to the operator on readiness of the elements for operation and failure of a certain element are determined. The second module includes: calculational programs when determining metrological reliability of measuring channel reliability, a calculational subprogram for random statistical measuring error, time instability and ''dead time''. Automation of testing metrological reliability of the nondestructive control systems increases reliability of determining metrological parameters and reduces time of system testing

  11. Development of ITER in-vessel viewing and metrology systems

    Energy Technology Data Exchange (ETDEWEB)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-04-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation ({approx}30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  12. Development of ITER in-vessel viewing and metrology systems

    International Nuclear Information System (INIS)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira

    1998-01-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation (∼30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  13. In-line CD metrology with combined use of scatterometry and CD-SEM

    Science.gov (United States)

    Asano, Masafumi; Ikeda, Takahiro; Koike, Toru; Abe, Hideaki

    2006-03-01

    Measurement characteristics in scatterometry and CD-SEM for lot acceptance sampling of inline critical dimension (CD) metrology were investigated by using a statistical approach with Monte Carlo simulation. By operation characteristics curve analysis, producer's risk and consumer's risk arising from sampling were clarified. Single use of scatterometry involves a higher risk, such risk being particularly acute in the case of large intra-chip CD variation because it is unable to sufficiently monitor intra-chip CD variation including local CD error. Substituting scatterometry for conventional SEM metrology is accompanied with risks, resulting in the increase of unnecessary cost. The combined use of scatterometry and SEM metrology in which the sampling plan for SEM is controlled by scatterometry is a promising metrology from the viewpoint of the suppression of risks and cost. This is due to the effect that CD errors existing in the distribution tails are efficiently caught.

  14. Quality control of calibration system for area monitors at National Laboratory of Metrology from Ionizing Radiations (LNMRI)

    International Nuclear Information System (INIS)

    Ramos, M.M.O.; Freitas, L.C. de

    1992-01-01

    The quality control of equipment used in calibration from the National Laboratory of Metrology on Ionizing Radiations is presented, with results of standard measure systems and irradiation system. Tables and graphics with the quality of systems are also shown. (C.G.C.)

  15. The Act of 17 March 2000 on metrology and on changes and amendments of some acts

    International Nuclear Information System (INIS)

    2000-01-01

    This act metrology for organization of unity and correctness of mensuration adapts (a) the law measurement units, (b) the requests on committed gauges and their metrological control, (c) the conditions of official mensuration, (d) the requests on consumptive packages articles; (e) the conditions of authorization and registration, (f) operation of organs of the state administration for metrology, (g) the metrological authority (h) putting of fines. This act shall into effect on 1 July 2000

  16. Optical metrology techniques for dimensional stability measurements

    NARCIS (Netherlands)

    Ellis, Jonathan David

    2010-01-01

    This thesis work is optical metrology techniques to determine material stability. In addition to displacement interferometry, topics such as periodic nonlinearity, Fabry-Perot interferometry, refractometry, and laser stabilization are covered.

  17. Development of a spectroscopic Mueller matrix imaging ellipsometer for nanostructure metrology

    International Nuclear Information System (INIS)

    Chen, Xiuguo; Du, Weichao; Yuan, Kui; Chen, Jun; Jiang, Hao; Zhang, Chuanwei; Liu, Shiyuan

    2016-01-01

    In this paper, we describe the development of a spectroscopic Mueller matrix imaging ellipsometer (MMIE), which combines the great power of Mueller matrix ellipsometry with the high spatial resolution of optical microscopy. A dual rotating-compensator configuration is adopted to collect the full 4 × 4 imaging Mueller matrix in a single measurement. The light wavelengths are scanned in the range of 400–700 nm by a monochromator. The instrument has measurement accuracy and precision better than 0.01 for all the Mueller matrix elements in both the whole image and the whole spectral range. The instrument was then applied for the measurement of nanostructures combined with an inverse diffraction problem solving technique. The experiment performed on a photoresist grating sample has demonstrated the great potential of MMIE for accurate grating reconstruction from spectral data collected by a single pixel of the camera and for efficient quantification of geometrical profile of the grating structure over a large area with pixel resolution. It is expected that MMIE will be a powerful tool for nanostructure metrology in future high-volume nanomanufacturing.

  18. Development of a spectroscopic Mueller matrix imaging ellipsometer for nanostructure metrology

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Xiuguo; Du, Weichao; Yuan, Kui; Chen, Jun; Jiang, Hao, E-mail: hjiang@hust.edu.cn [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074 (China); Zhang, Chuanwei; Liu, Shiyuan, E-mail: hjiang@hust.edu.cn [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074 (China); Wuhan Eoptics Technology Co. Ltd., Wuhan 430075 (China)

    2016-05-15

    In this paper, we describe the development of a spectroscopic Mueller matrix imaging ellipsometer (MMIE), which combines the great power of Mueller matrix ellipsometry with the high spatial resolution of optical microscopy. A dual rotating-compensator configuration is adopted to collect the full 4 × 4 imaging Mueller matrix in a single measurement. The light wavelengths are scanned in the range of 400–700 nm by a monochromator. The instrument has measurement accuracy and precision better than 0.01 for all the Mueller matrix elements in both the whole image and the whole spectral range. The instrument was then applied for the measurement of nanostructures combined with an inverse diffraction problem solving technique. The experiment performed on a photoresist grating sample has demonstrated the great potential of MMIE for accurate grating reconstruction from spectral data collected by a single pixel of the camera and for efficient quantification of geometrical profile of the grating structure over a large area with pixel resolution. It is expected that MMIE will be a powerful tool for nanostructure metrology in future high-volume nanomanufacturing.

  19. Activities of IPEN Nuclear Metrology Laboratory

    International Nuclear Information System (INIS)

    Dias, M.S.; Koskinas, M.F.; Pocobi, E.; Silva, C.A.M.; Machado, R.R.

    1987-01-01

    The activities of IPEN Nuclear Metrology Laboratory, which the principal objective is radionuclides activities determination for supplying sources and standard radioactive solutions in activity are presented. The systems installed, the activity bands and some of standards radionuclides are shown. (C.G.C.) [pt

  20. Dissolved organic matter fluorescence at wavelength 275/342 nm as a key indicator for detection of point-source contamination in a large Chinese drinking water lake.

    Science.gov (United States)

    Zhou, Yongqiang; Jeppesen, Erik; Zhang, Yunlin; Shi, Kun; Liu, Xiaohan; Zhu, Guangwei

    2016-02-01

    Surface drinking water sources have been threatened globally and there have been few attempts to detect point-source contamination in these waters using chromophoric dissolved organic matter (CDOM) fluorescence. To determine the optimal wavelength derived from CDOM fluorescence as an indicator of point-source contamination in drinking waters, a combination of field campaigns in Lake Qiandao and a laboratory wastewater addition experiment was used. Parallel factor (PARAFAC) analysis identified six components, including three humic-like, two tryptophan-like, and one tyrosine-like component. All metrics showed strong correlation with wastewater addition (r(2) > 0.90, p CDOM fluorescence at 275/342 nm was the most responsive wavelength to the point-source contamination in the lake. Our results suggest that pollutants in Lake Qiandao had the highest concentrations in the river mouths of upstream inflow tributaries and the single wavelength at 275/342 nm may be adapted for online or in situ fluorescence measurements as an early warning of contamination events. This study demonstrates the potential utility of CDOM fluorescence to monitor water quality in surface drinking water sources. Copyright © 2015 Elsevier Ltd. All rights reserved.

  1. Portable vibro-acoustic testing system for in situ microstructure characterization and metrology

    Science.gov (United States)

    Smith, James A.; Nichol, Corrie I.; Zuck, Larry D.; Fatemi, Mostafa

    2018-04-01

    There is a need in research reactors like the one at INL to inspect irradiated materials and structures. The goal of this work is to develop a portable scanning infrastructure for a material characterization technique called vibro-acoustography (VA) that has been developed by the Idaho National laboratory for nuclear applications to characterize fuel, cladding materials, and structures. The proposed VA technology is based on ultrasound and acoustic waves; however, it provides information beyond what is available from the traditional ultrasound techniques and can expand the knowledge on nuclear material characterization and microstructure evolution. This paper will report on the development of a portable scanning system that will be set up to characterize materials and components in open water reactors and canals in situ. We will show some initial laboratory results of images generated by vibro-acoustics of surrogate fuel plates and graphite structures and discuss the design of the portable system.

  2. Industrial Photogrammetry - Accepted Metrology Tool or Exotic Niche

    Science.gov (United States)

    Bösemann, Werner

    2016-06-01

    New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]). This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly) illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM's. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  3. INDUSTRIAL PHOTOGRAMMETRY - ACCEPTED METROLOGY TOOL OR EXOTIC NICHE

    Directory of Open Access Journals (Sweden)

    W. Bösemann

    2016-06-01

    Full Text Available New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]. This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM’s. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  4. Diffraction-based overlay metrology for double patterning technologies

    Science.gov (United States)

    Dasari, Prasad; Korlahalli, Rahul; Li, Jie; Smith, Nigel; Kritsun, Oleg; Volkman, Cathy

    2009-03-01

    The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.

  5. Surface slope metrology of highly curved x-ray optics with an interferometric microscope

    Science.gov (United States)

    Gevorkyan, Gevork S.; Centers, Gary; Polonska, Kateryna S.; Nikitin, Sergey M.; Lacey, Ian; Yashchuk, Valeriy V.

    2017-09-01

    The development of deterministic polishing techniques has given rise to vendors that manufacture high quality threedimensional x-ray optics. The surface metrology on these optics remains a difficult task. For the fabrication, vendors usually use unique surface metrology tools, generally developed on site, that are not available in the optical metrology labs at x-ray facilities. At the Advanced Light Source X-Ray Optics Laboratory, we have developed a rather straightforward interferometric-microscopy-based procedure capable of sub microradian characterization of sagittal slope variation of x-ray optics for two-dimensionally focusing and collimating (such as ellipsoids, paraboloids, etc.). In the paper, we provide the mathematical foundation of the procedure and describe the related instrument calibration. We also present analytical expression describing the ideal surface shape in the sagittal direction of a spheroid specified by the conjugate parameters of the optic's beamline application. The expression is useful when analyzing data obtained with such optics. The high efficiency of the developed measurement and data analysis procedures is demonstrated in results of measurements with a number of x-ray optics with sagittal radius of curvature between 56 mm and 480 mm. We also discuss potential areas of further improvement.

  6. Study and operating conditions of HTS Josephson arrays for metrological application

    International Nuclear Information System (INIS)

    Sosso, A.; Lacquaniti, V.; Andreone, D.; Cerri, R.; Klushin, A.M.

    2006-01-01

    We report an experimental study of metrological properties of high-temperature superconductor arrays, made of shunted bicrystal YBCO Josephson junctions. The work is mainly based on a direct comparison against a low temperature array. Owing to the high sensitivity of the measurements, we observed at nanovolt level the changes in the HTS array voltage on a step. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results of low sensitivity techniques, confirming that our method is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was also applied in the derivation of the temperature dependence of the critical current, providing insights on the behavior of the HTS array

  7. In situ polarized 3He system for the Magnetism Reflectometer at the Spallation Neutron Source.

    Science.gov (United States)

    Tong, X; Jiang, C Y; Lauter, V; Ambaye, H; Brown, D; Crow, L; Gentile, T R; Goyette, R; Lee, W T; Parizzi, A; Robertson, J L

    2012-07-01

    We report on the in situ polarized (3)He neutron polarization analyzer developed for the time-of-flight Magnetism Reflectometer at the Spallation Neutron Source at Oak Ridge National Laboratory. Using the spin exchange optical pumping method, we achieved a (3)He polarization of 76% ± 1% and maintained it for the entire three-day duration of the test experiment. Based on transmission measurements with unpolarized neutrons, we show that the average analyzing efficiency of the (3)He system is 98% for the neutron wavelength band of 2-5 Å. Using a highly polarized incident neutron beam produced by a supermirror bender polarizer, we obtained a flipping ratio of >100 with a transmission of 25% for polarized neutrons, averaged over the wavelength band of 2-5 Å. After the cell was depolarized for transmission measurements, it was reproducibly polarized and this performance was maintained for three weeks. A high quality polarization analysis experiment was performed on a reference sample of Fe/Cr multilayer with strong spin-flip off-specular scattering. Using a combination of the position sensitive detector, time-of-flight method, and the excellent parameters of the (3)He cell, the polarization analysis of the two-dimensional maps of reflected, refracted, and off-specular scattered intensity above and below the horizon were obtained, simultaneously.

  8. 2008 activity report of the French metrology - Ionising radiation; Rapport d'activite 2008 de la metrologie francaise - Rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Anon

    2009-07-01

    The activities of this section are entrusted to the Henry Becquerel national laboratory (LNE-LNHB), the national laboratory of metrology of the CEA-Saclay, and to the laboratory of dose metrology (LMDN) under the management of IRSN-Cadarache. They treat of ionizing radiations metrology in the domains of activity, photons and charged particles dosimetry, and basic data. This article summarizes the 2008 works carried out at both laboratories: international actions and comparisons, instrumentation improvements, establishment of national references. (J.S.)

  9. Coherence techniques at extreme ultraviolet wavelengths

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chang [Univ. of California, Berkeley, CA (United States)

    2002-01-01

    The renaissance of Extreme Ultraviolet (EUV) and soft x-ray (SXR) optics in recent years is mainly driven by the desire of printing and observing ever smaller features, as in lithography and microscopy. This attribute is complemented by the unique opportunity for element specific identification presented by the large number of atomic resonances, essentially for all materials in this range of photon energies. Together, these have driven the need for new short-wavelength radiation sources (e.g. third generation synchrotron radiation facilities), and novel optical components, that in turn permit new research in areas that have not yet been fully explored. This dissertation is directed towards advancing this new field by contributing to the characterization of spatial coherence properties of undulator radiation and, for the first time, introducing Fourier optical elements to this short-wavelength spectral region. The first experiment in this dissertation uses the Thompson-Wolf two-pinhole method to characterize the spatial coherence properties of the undulator radiation at Beamline 12 of the Advanced Light Source. High spatial coherence EUV radiation is demonstrated with appropriate spatial filtering. The effects of small vertical source size and beamline apertures are observed. The difference in the measured horizontal and vertical coherence profile evokes further theoretical studies on coherence propagation of an EUV undulator beamline. A numerical simulation based on the Huygens-Fresnel principle is performed.

  10. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    Science.gov (United States)

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  11. Design, conception, and metrology of Extreme Ultraviolet multilayers mirrors resistant environments of space and EUV sources

    International Nuclear Information System (INIS)

    Hecquet, Ch.

    2009-03-01

    The Extreme Ultraviolet Spectrum (EUV) wavelengths, which range between 13 nm and 40 nm, have many applications in science and technology. These have been developed for example in plasma physics (high order harmonics sources, X ray lasers). The work presented is about the design, the fabrication and the metrology of periodic multilayer mirrors. The main motivation of this study is to establish a cycle of development taking into account both the optical properties of reflective coatings (reflectivity, spectral selectivity, attenuation) and their behaviour under various environments. To improve the spectral selectivity, new multilayer periodic structures have been developed. They are characterized by a bimodal reflectance profile with adjustable attenuation. The effect of environment on the stability of performance is especially critical for the optical collection. The addition of material barriers has stabilized the performance of the peak reflectivity for over 200 h at 400 C deg. and it reduces the influence of other factors of instability on the reflectance. In addition, all structures have been fabricated successfully and evaluated in severe environments. (author)

  12. In-situ metrology in multiwafer reactors during MOVPE of AIN-based UV-LEDs (Conference Presentation)

    Science.gov (United States)

    Knauer, Arne; Brunner, Frank; Kolbe, Tim; Hagedorn, Sylvia; Kueller, Viola; Weyers, Markus

    2017-02-01

    UV-LEDs are of great interest for applications like disinfection, gas sensing, and phototherapy. The cost sensitive LEDs are commonly grown by MOVPE on transparent AlN/sapphire templates. The large thermal and lattice mismatch between AlN and sapphire generates a very high dislocation density (DD) and causes big challenges in strain management. The threading dislocation density should be reduced to the order of low 108cm-2 for high internal efficiency of the AlGaN based UV-LED structures. The TDD will be reduced mainly by dislocation annihilation during the growth of thick Al(Ga)N layers, which is a challenge in terms of strain management. We present how in-situ reflectometry and curvature measurement (EpiCurveTT(at)LayTec) in commercial multiwafer growth reactors helps to optimize the growth processes concerning growth rates, surface roughening and avoidance of layer cracking on 2inch substrates and enhance the reproducibility of epitaxial growth. The growth of up to 3 μm thick planar AlN templates and up-to 10 μm thick AlN/sapphire templates by epitaxial lateral overgrowth of stripe patterned templates for UV-C LED structures will be highlighted. The implementation of different types of AlN/GaN superlattices for the subsequent growth of up to 5μm thick Al0.5Ga0.5N layer for UVB LED structures will be shown. Correlations to ex-situ measurements like X-ray diffraction and TEM analysis of defects in the LED structures will be shown. Some challenges of in-situ control through very narrow viewports as in Close Coupled Showerhead reactors will be discussed as well as the influence of silicon doping on curvature and dislocation density in Al(Ga)N layers.

  13. Measurement capabilities of the Bendix Metrology Organization

    International Nuclear Information System (INIS)

    Barnes, L.M.

    1984-01-01

    The purpose of this manual is to communicate the measurement and calibration capabilities of the Metrology Organization of the Bendix Kansas City Division. Included is a listing of the measurement types and ranges available, and the accuracies normally attainable under conditions at the Kansas City Division. Also described are currently used standards and measurement devices. The manual is divided into four major sections, each describing a broad general area of measurement: mechanical; environmental, gas, liquid; electrical; and optical and radiation

  14. CONFIRMING THE PRIMARILY SMOOTH STRUCTURE OF THE VEGA DEBRIS DISK AT MILLIMETER WAVELENGTHS

    Energy Technology Data Exchange (ETDEWEB)

    Hughes, A. Meredith; Plambeck, Richard; Chiang, Eugene [Department of Astronomy, University of California, Berkeley, CA 94720 (United States); Wilner, David J.; Andrews, Sean M. [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States); Mason, Brian [National Radio Astronomy Observatory, 520 Edgemont Road, Charlottesville, VA 22903-2475 (United States); Carpenter, John M. [California Institute of Technology, Department of Astronomy, MC 105-24, Pasadena, CA 91125 (United States); Chiang, Hsin-Fang [Institute for Astronomy, University of Hawaii, 640 North Aohoku Place, Hilo, HI 96720 (United States); Williams, Jonathan P. [Institute for Astronomy, University of Hawaii, 2680 Woodlawn Drive, Honolulu, HI 96822 (United States); Hales, Antonio [Joint ALMA Observatory, Av. El Golf 40, Piso 18, Santiago (Chile); Su, Kate [Steward Observatory, University of Arizona, 933 North Cherry Avenue, Tucson, AZ 85721 (United States); Dicker, Simon; Korngut, Phil; Devlin, Mark, E-mail: mhughes@astro.berkeley.edu [Department of Physics and Astronomy, University of Pennsylvania, 209 South 33rd Street, Philadelphia, PA 19104 (United States)

    2012-05-01

    Clumpy structure in the debris disk around Vega has been previously reported at millimeter wavelengths and attributed to concentrations of dust grains trapped in resonances with an unseen planet. However, recent imaging at similar wavelengths with higher sensitivity has disputed the observed structure. We present three new millimeter-wavelength observations that help to resolve the puzzling and contradictory observations. We have observed the Vega system with the Submillimeter Array (SMA) at a wavelength of 880 {mu}m and an angular resolution of 5''; with the Combined Array for Research in Millimeter-wave Astronomy (CARMA) at a wavelength of 1.3 mm and an angular resolution of 5''; and with the Green Bank Telescope (GBT) at a wavelength of 3.3 mm and angular resolution of 10''. Despite high sensitivity and short baselines, we do not detect the Vega debris disk in either of the interferometric data sets (SMA and CARMA), which should be sensitive at high significance to clumpy structure based on previously reported observations. We obtain a marginal (3{sigma}) detection of disk emission in the GBT data; the spatial distribution of the emission is not well constrained. We analyze the observations in the context of several different models, demonstrating that the observations are consistent with a smooth, broad, axisymmetric disk with inner radius 20-100 AU and width {approx}> 50 AU. The interferometric data require that at least half of the 860 {mu}m emission detected by previous single-dish observations with the James Clerk Maxwell Telescope be distributed axisymmetrically, ruling out strong contributions from flux concentrations on spatial scales of {approx}<100 AU. These observations support recent results from the Plateau de Bure Interferometer indicating that previous detections of clumpy structure in the Vega debris disk were spurious.

  15. CONFIRMING THE PRIMARILY SMOOTH STRUCTURE OF THE VEGA DEBRIS DISK AT MILLIMETER WAVELENGTHS

    International Nuclear Information System (INIS)

    Hughes, A. Meredith; Plambeck, Richard; Chiang, Eugene; Wilner, David J.; Andrews, Sean M.; Mason, Brian; Carpenter, John M.; Chiang, Hsin-Fang; Williams, Jonathan P.; Hales, Antonio; Su, Kate; Dicker, Simon; Korngut, Phil; Devlin, Mark

    2012-01-01

    Clumpy structure in the debris disk around Vega has been previously reported at millimeter wavelengths and attributed to concentrations of dust grains trapped in resonances with an unseen planet. However, recent imaging at similar wavelengths with higher sensitivity has disputed the observed structure. We present three new millimeter-wavelength observations that help to resolve the puzzling and contradictory observations. We have observed the Vega system with the Submillimeter Array (SMA) at a wavelength of 880 μm and an angular resolution of 5''; with the Combined Array for Research in Millimeter-wave Astronomy (CARMA) at a wavelength of 1.3 mm and an angular resolution of 5''; and with the Green Bank Telescope (GBT) at a wavelength of 3.3 mm and angular resolution of 10''. Despite high sensitivity and short baselines, we do not detect the Vega debris disk in either of the interferometric data sets (SMA and CARMA), which should be sensitive at high significance to clumpy structure based on previously reported observations. We obtain a marginal (3σ) detection of disk emission in the GBT data; the spatial distribution of the emission is not well constrained. We analyze the observations in the context of several different models, demonstrating that the observations are consistent with a smooth, broad, axisymmetric disk with inner radius 20-100 AU and width ∼> 50 AU. The interferometric data require that at least half of the 860 μm emission detected by previous single-dish observations with the James Clerk Maxwell Telescope be distributed axisymmetrically, ruling out strong contributions from flux concentrations on spatial scales of ∼<100 AU. These observations support recent results from the Plateau de Bure Interferometer indicating that previous detections of clumpy structure in the Vega debris disk were spurious.

  16. Geodesy and metrology at CERN a source of economy for the SPS programme

    International Nuclear Information System (INIS)

    Gervaise, J.

    1976-01-01

    This report gives a broad description of the major options adopted at the start of the project in order to meet the three challenges imposed on the geodesists engaged in survey work for the CERN 400 GeV proton synchrotron (SPS). The methods and means used are dealt with in a general manner. In the conclusion a critical study is made of the results obtained. From a different aspect, a description of the Survey Group's responsibilities highlights the extent of the savings that can be made by a well-trained group carrying out high-precision measurements. The physical dimensions of the project and the complexity of the work involved in building a second-generation accelerator demand high standards of precision since these condition the proper operation of the machine. Only by making extensive preliminary studies and precise survey work above and below ground-level over a number of years, was it possible to ensure that the final geodetic and metrological measurements would be completed at high speed and low cost. (Auth.)

  17. Regional metrology organisations and the JCRB

    International Nuclear Information System (INIS)

    Hetherington, Paul

    2004-01-01

    In 1999, National Metrology Institutes (NMIs) from some 39 countries signed the International Committee of Weights and Measures (CIPM) Mutual Recognition Arrangement (MRA) in Paris. The MRA, drawn up by the CIPM, under the authority given to it in the Metre Convention, was in response to requirements of Governments and Regulators to provide a sound technical foundation for trade agreements. Core objectives of the MRA are to allow for the establishment of the degree of equivalence of national measurement standards and to provide for mutual recognition of calibration certificates issued by NMIs. This presentation will detail the evolution of the MRA. Globally, NMIs are affiliated to Regional Metrology Organisations (RMOs). The key role of the RMOs in the MRA process will be discussed along with the structure and objectives of the various RMOs worldwide. The Joint Committee of the RMOs and the BIPM (JCRB) plays a central part in the effective operation of the MRA. Its tasks, membership and output will also be described

  18. Metrology requirements for the serial production of ELT primary mirror segments

    Science.gov (United States)

    Rees, Paul C. T.; Gray, Caroline

    2015-08-01

    The manufacture of the next generation of large astronomical telescopes, the extremely large telescopes (ELT), requires the rapid manufacture of greater than 500 1.44m hexagonal segments for the primary mirror of each telescope. Both leading projects, the Thirty Meter Telescope (TMT) and the European Extremely Large Telescope (E-ELT), have set highly demanding technical requirements for each fabricated segment. These technical requirements, when combined with the anticipated construction schedule for each telescope, suggest that more than one optical fabricator will be involved in the delivery of the primary mirror segments in order to meet the project schedule. For one supplier, the technical specification is challenging and requires highly consistent control of metrology in close coordination with the polishing technologies used in order to optimize production rates. For production using multiple suppliers, however the supply chain is structured, consistent control of metrology along the supply chain will be required. This requires a broader pattern of independent verification than is the case of a single supplier. This paper outlines the metrology requirements for a single supplier throughout all stages of the fabrication process. We identify and outline those areas where metrology accuracy and duration have a significant impact on production efficiency. We use the challenging ESO E-ELT technical specification as an example of our treatment, including actual process data. We further develop this model for the case of a supply chain consisting of multiple suppliers. Here, we emphasize the need to control metrology throughout the supply chain in order to optimize net production efficiency.

  19. Improving automated 3D reconstruction methods via vision metrology

    Science.gov (United States)

    Toschi, Isabella; Nocerino, Erica; Hess, Mona; Menna, Fabio; Sargeant, Ben; MacDonald, Lindsay; Remondino, Fabio; Robson, Stuart

    2015-05-01

    This paper aims to provide a procedure for improving automated 3D reconstruction methods via vision metrology. The 3D reconstruction problem is generally addressed using two different approaches. On the one hand, vision metrology (VM) systems try to accurately derive 3D coordinates of few sparse object points for industrial measurement and inspection applications; on the other, recent dense image matching (DIM) algorithms are designed to produce dense point clouds for surface representations and analyses. This paper strives to demonstrate a step towards narrowing the gap between traditional VM and DIM approaches. Efforts are therefore intended to (i) test the metric performance of the automated photogrammetric 3D reconstruction procedure, (ii) enhance the accuracy of the final results and (iii) obtain statistical indicators of the quality achieved in the orientation step. VM tools are exploited to integrate their main functionalities (centroid measurement, photogrammetric network adjustment, precision assessment, etc.) into the pipeline of 3D dense reconstruction. Finally, geometric analyses and accuracy evaluations are performed on the raw output of the matching (i.e. the point clouds) by adopting a metrological approach. The latter is based on the use of known geometric shapes and quality parameters derived from VDI/VDE guidelines. Tests are carried out by imaging the calibrated Portable Metric Test Object, designed and built at University College London (UCL), UK. It allows assessment of the performance of the image orientation and matching procedures within a typical industrial scenario, characterised by poor texture and known 3D/2D shapes.

  20. Issues of Teaching Metrology in Higher Education Institutions of Civil Engineering in Russia

    Science.gov (United States)

    Pukharenko, Yurii Vladimirovich; Norin, Veniamin Aleksandrovich

    2017-01-01

    The work analyses the training process condition in teaching the discipline "Metrology, Standardization, Certification and Quality Control." It proves that the current educational standard regarding the instruction of the discipline "Metrology, Standardization, Certification and Quality Control" does not meet the needs of the…

  1. Estimation of Snow Parameters from Dual-Wavelength Airborne Radar

    Science.gov (United States)

    Liao, Liang; Meneghini, Robert; Iguchi, Toshio; Detwiler, Andrew

    1997-01-01

    Estimation of snow characteristics from airborne radar measurements would complement In-situ measurements. While In-situ data provide more detailed information than radar, they are limited in their space-time sampling. In the absence of significant cloud water contents, dual-wavelength radar data can be used to estimate 2 parameters of a drop size distribution if the snow density is assumed. To estimate, rather than assume, a snow density is difficult, however, and represents a major limitation in the radar retrieval. There are a number of ways that this problem can be investigated: direct comparisons with in-situ measurements, examination of the large scale characteristics of the retrievals and their comparison to cloud model outputs, use of LDR measurements, and comparisons to the theoretical results of Passarelli(1978) and others. In this paper we address the first approach and, in part, the second.

  2. Metrology for ITER Assembly

    International Nuclear Information System (INIS)

    Bogusch, E.

    2006-01-01

    The overall dimensions of the ITER Tokamak and the particular assembly sequence preclude the use of conventional optical metrology, mechanical jigs and traditional dimensional control equipment, as used for the assembly of smaller, previous generation, fusion devices. This paper describes the state of the art of the capabilities of available metrology systems, with reference to the previous experience in Fusion engineering and in other industries. Two complementary procedures of transferring datum from the primary datum network on the bioshield to the secondary datum s inside the VV with the desired accuracy of about 0.1 mm is described, one method using the access directly through the ports and the other using transfer techniques, developed during the co-operation with ITER/EFDA. Another important task described is the development of a method for the rapid and easy measurement of the gaps between sectors, required for the production of the customised splice plates between them. The scope of the paper includes the evaluation of the composition and cost of the systems and team of technical staff required to meet the requirements of the assembly procedure. The results from a practical, full-scale demonstration of the methodologies used, using the proposed equipment, is described. This work has demonstrated the feasibility of achieving the necessary accuracies for the successful building of ITER. (author)

  3. Interfering Heralded Single Photons from Two Separate Silicon Nanowires Pumped at Different Wavelengths

    Directory of Open Access Journals (Sweden)

    Xiang Zhang

    2016-08-01

    Full Text Available Practical quantum photonic applications require on-demand single photon sources. As one possible solution, active temporal and wavelength multiplexing has been proposed to build an on-demand single photon source. In this scheme, heralded single photons are generated from different pump wavelengths in many temporal modes. However, the indistinguishability of these heralded single photons has not yet been experimentally confirmed. In this work, we achieve 88% ± 8% Hong–Ou–Mandel quantum interference visibility from heralded single photons generated from two separate silicon nanowires pumped at different wavelengths. This demonstrates that active temporal and wavelength multiplexing could generate indistinguishable heralded single photons.

  4. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of new light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area

  5. Dimensional metrology for process and part quality control in micro manufacturing

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Tosello, Guido; Gasparin, Stefania

    2011-01-01

    dimensions are scaled down and geometrical complexity of objects is increased, the available measurement technologies appear not sufficient. New solutions for measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration are necessary if micro......Micro manufacturing has gained interest over the last decade as the demand for micro mechanical components has increased. The need for dimensional metrology at micro scale is evident both in terms of quality assurance of components and products and in terms of process control. As critical...... manufacturing is to develop into industrial manufacturing solutions. In this paper the application of dimensional precision metrology to both component and process quality control will be demonstrated. The parts investigated are micro injection moulded polymer parts, typical for the field of micro manufacturing....

  6. Spectroscopic metrology for isotope composition measurements and transfer standards

    Science.gov (United States)

    Anyangwe Nwaboh, Javis; Balslev-Harder, David; Kääriäinen, Teemu; Richmond, Craig; Manninen, Albert; Mohn, Joachim; Kiseleva, Maria; Petersen, Jan C.; Werhahn, Olav; Ebert, Volker

    2017-04-01

    /1H ratios in CH4. Here, based on HIGHGAS project results, we present OTSs for atmospheric CO2 and CO measurements. The results delivered by the OTSs are in excellent agreement with gravimetric values of metrological "primary" static gas standards. The repeatabilities of the OTS results are matching the compatibility goals stated by WMO for atmospheric CO2 and CO measurements. In addition, we present OIRS measurement methods and procedures to demonstrate their applicability and validation. The requirements on, e.g. absorption line data quality and temperature sensitivity of isotope ratio, are discussed. Uncertainty budgets are presented and the traceability of the results is addressed. The current limitations in our measurements are discussed and steps taken to address these limitations are presented. Acknowledgement Parts of this work have been carried out within the European Metrology Research Programme (EMRP) ENV52 project-HIGHGAS. The EMRP is jointly funded by the EMRP participating countries within EURAMET and the European Union. References [1] EMRP project ENV52-HIGHGAS, available at: http://www.euramet.org/ [2] Prosenjit Ghosh, Willi A. Brand, International Journal of Mass Spectrometry 228, 1-33 (2003).

  7. Metrology of radiation protection. Pt. 1. Physical requirements and terminology

    Energy Technology Data Exchange (ETDEWEB)

    Wagner, S R

    1979-10-01

    Starting from a general consideration of the needs for radiation protection the physical requirements of a relevant metrology are developed. The expedient physical quantities are introduced and problems in the realization and dissemination of their units discussed. It is shown that owing to these difficulties, derived or operational quantities have to be developed for the construction and calibration of practical measuring instruments. Finally the relations between the metrology of radiation protection and of medical radiology are pointed out and commented. (orig.).

  8. Forum metrology 2009: control of optics, targets and optical analyzers

    International Nuclear Information System (INIS)

    Desenne, D.; Andre, R.

    2010-01-01

    The 1. 'Forum Metrologie' of the CEA/DAM has been held in the 'Institut Laser et Plasma' on the December 9, 2009, close to the 'Centre d'etudes Scientifiques et Techniques d'Aquitaine'. It has been set up by the 'Departement Lasers de Puissance'. The chosen thematic was the metrology around laser experiments, with a special focus on the metrology of the dedicated optics, targets and optical analysers. The talks have shown the progress and difficulties in each of these fields. (authors)

  9. Photoacoustic imaging at 1064nm wavelength with exogenous contrast agents

    Science.gov (United States)

    Upputuri, Paul Kumar; Jiang, Yuyan; Pu, Kanyi; Pramanik, Manojit

    2018-02-01

    Photoacoustic (PA) imaging is a promising imaging modality for both preclinical research and clinical practices. Laser wavelengths in the first near infrared window (NIR-I, 650-950 nm) have been widely used for photoacoustic imaging. As compared with NIR-I window, scattering of photons by biological tissues is largely reduced in the second NIR (NIR-II) window, leading to enhanced imaging fidelity. However, the lack of biocompatible NIR-II absorbing exogenous agents prevented the use of this window for in vivo imaging. In recent years, few studies have been reported on photoacoustic imaging in NIR-II window using exogenous contrast agents. In this work, we discuss the recent work on PA imaging using 1064 nm wavelength, the fundamental of Nd:YAG laser, as an excitation wavelength. The PA imaging at 1064 nm is advantageous because of the low and homogeneous signal from tissue background, enabling high contrast in PA imaging when NIR-II absorbing contrast agents are employed.

  10. Airborne hygrometer calibration inter-comparison against a metrological water vapour standard

    Science.gov (United States)

    Smorgon, Denis; Boese, Norbert; Ebert, Volker

    2014-05-01

    Water vapour is the most important atmospheric greenhouse gas, which causes a major feedback to warming and other changes in the climate system. Knowledge of the distribution of water vapour and its climate induced changes is especially important in the upper troposphere and lower stratosphere (UT/LS) where vapour plays a critical role in atmospheric radiative balance, cirrus cloud formation, and photochemistry. But, our understanding of water in the UT/LS is limited by significant uncertainties in current UT/LS water measurements. One of the most comprehensive inter-comparison campaigns for airborne hygrometers, termed AQUAVIT (AV1) [1], took place in 2007 at the AIDA chamber at the Karlsruhe Institute of Technology (KIT) in Germany. AV1 was a well-defined, referred, blind inter-comparison of 22 airborne field instruments from 17 international research groups. One major metrological deficit of AV1, however, was, that no traceable reference instrument participated in the inter-comparison experiments and that the calibration procedures of the participating instruments were not monitored or interrogated. Consequently a follow-up inter-comparison was organized in April 2013, which for the first time also provides a traceable link to the international humidity scale. This AQUAVIT2 (AV2) campaign (details see: http://www.imk-aaf.kit.edu/aquavit/index.php/Main_Page) was again located at KIT/AIDA and organised by an international organizing committee including KIT, PTB, FZJ and others. Generally AV2 is divided in two parallel comparisons: 1) AV2-A uses the AIDA chamber for a simultaneous comparison of all instruments (incl. sampling and in-situ instruments) over a broad range of conditions characteristic for the UT/LS; 2) AV2-B, about which this paper is reporting, is a sequential comparison of selected hygrometers and (when possible) their reference calibration infrastructures by means of a chilled mirror hygrometer traced back to the primary National humidity standard

  11. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N.

    2017-01-01

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case. PMID:28276428

  12. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians.

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N

    2017-03-09

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T 2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T 4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case.

  13. Metrological inspection of ionization chamber radioactivity meters used in nuclear medicine

    International Nuclear Information System (INIS)

    Szoerenyi, A.; Vagvoelgyi, J.

    1983-01-01

    According to the Hungarian legislation on legal metrology, any measurement involving legal effects (e.g. measurements in nuclear medicine) can only be performed by calibrated measuring instruments. The paper outlines the method and results of calibration for 125 I and 131 I radionuclide standard references used in Hungarian nuclear medical practice. The experiences proved that the radionuclide standards, similarly to radiation dosemeters, should be submitted for metrological inspection. (author)

  14. Accuracy optimization with wavelength tunability in overlay imaging technology

    Science.gov (United States)

    Lee, Honggoo; Kang, Yoonshik; Han, Sangjoon; Shim, Kyuchan; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, Dongyoung; Oh, Eungryong; Choi, Ahlin; Kim, Youngsik; Marciano, Tal; Klein, Dana; Hajaj, Eitan M.; Aharon, Sharon; Ben-Dov, Guy; Lilach, Saltoun; Serero, Dan; Golotsvan, Anna

    2018-03-01

    As semiconductor manufacturing technology progresses and the dimensions of integrated circuit elements shrink, overlay budget is accordingly being reduced. Overlay budget closely approaches the scale of measurement inaccuracies due to both optical imperfections of the measurement system and the interaction of light with geometrical asymmetries of the measured targets. Measurement inaccuracies can no longer be ignored due to their significant effect on the resulting device yield. In this paper we investigate a new approach for imaging based overlay (IBO) measurements by optimizing accuracy rather than contrast precision, including its effect over the total target performance, using wavelength tunable overlay imaging metrology. We present new accuracy metrics based on theoretical development and present their quality in identifying the measurement accuracy when compared to CD-SEM overlay measurements. The paper presents the theoretical considerations and simulation work, as well as measurement data, for which tunability combined with the new accuracy metrics is shown to improve accuracy performance.

  15. Conceptual design finalisation of the ITER In-Vessel Viewing and Metrology System (IVVS)

    Energy Technology Data Exchange (ETDEWEB)

    Dubus, Gregory, E-mail: gregory.dubus@f4e.europa.eu [Fusion for Energy, c/ Josep Pla, n°2 - Torres Diagonal Litoral - Edificio B3, 08019 Barcelona (Spain); Puiu, Adrian; Damiani, Carlo; Van Uffelen, Marco; Lo Bue, Alessandro; Izquierdo, Jesus; Semeraro, Luigi [Fusion for Energy, c/ Josep Pla, n°2 - Torres Diagonal Litoral - Edificio B3, 08019 Barcelona (Spain); Martins, Jean-Pierre; Palmer, Jim [ITER Organization, Route de Vinon sur Verdon, 13115 Saint Paul Lez Durance (France)

    2013-10-15

    The In-Vessel Viewing and Metrology System (IVVS) is a fundamental tool for the ITER machine operations, aiming at performing inspections as well as providing information related to the erosion of in-vessel components. Periodically or on request, the IVVS probes will be deployed into the Vacuum Vessel from their storage positions (still within the ITER primary confinement) in order to perform both viewing and metrology on plasma facing components (blanket, divertor, heating/diagnostic plugs, test blanket modules) and, more generically, to provide information on the status of the in-vessel components. In 2011, the IO proposed to simplify and strengthen the six IVVS port extensions situated at the divertor level. Among other important consequences, such as the relocation of the Glow Discharge Cleaning (GDC) electrodes at other levels of the machine, this major design change implied the need for a substantial redesign of the IVVS plug, which took part to an on-going effort to bring the integrated IVVS concept – including the scanning probe and its deployment system – to the level of maturity suitable for the Conceptual Design Review. This paper gives an overview of the various design and R and D activities in progress: plug design integration, probe concept validation under environmental conditions, development of a metrology strategy, the whole supported by a nuclear analysis.

  16. Metrological certification of aerosol and iodine channels in instrumentation for radiation monitoring at nuclear power plants

    International Nuclear Information System (INIS)

    Belkina, S.K.; Zalmanzon, Yu.E.; Ivanova, A.P.

    1988-01-01

    The method for converting the value of a volume activity unit of radioactive aerosols using the state special standard for operating measurement devices by means of aerosol sources is suggested. The sources are aerosol samples selected for a filter by means of the proper type of a detection unit or a radiometer and, thus, providing full coincidence of measurement geometry of aerosol sample activity during radiation monitoring. Application of aerosol samples permits to solve the problem of metrological certification and verification of aerosol and iodine channels of radiation safety monitoring systems under operating conditions without their dismantling and to establish the unity and correctness in the field of measurement of volume activity of 131 I aerosols at NPP

  17. Preface: The 5th International Workshop on X-ray Mirror Design, Fabrication, and Metrology

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, Lahsen [Argonne National Laboratory, 9700 South Cass Avenue, Lemont, Illinois 60439 (United States); Goldberg, Kenneth; Yashchuk, Valeriy V. [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, California 94720 (United States)

    2016-05-15

    Recent developments in synchrotron storage rings and free-electron laser-based x-ray sources with ever-increasing brightness and coherent flux have pushed x-ray optics requirements to new frontiers. This Special Topic gathers a set of articles derived from a subset of the key presentations of the International Workshop on X-ray Mirrors Fabrication (IWXM-2015) and Metrology held at Lawrence Berkley National Laboratory, Berkeley, California, USA, July 14–16, 2015. The workshop objective was to report on recent progress in x-ray synchrotron radiation mirrors fabrication as well as on new developments in related metrology tools and methods.

  18. Laser damage in optical components: metrology, statistical and photo-induced analysis of precursor centres

    International Nuclear Information System (INIS)

    Gallais, L.

    2002-11-01

    This thesis deals with laser damage phenomena for nanosecond pulses, in optical components such as glasses, dielectric and metallic thin films. Firstly, a work is done on the laser damage metrology, in order to obtain accurate and reliable measurement of laser-induced damage probabilities, with a rigorous control of test parameters. Then, with the use of a specific model, we find densities of laser damage precursors in the case of bulk glasses (few tens by (100μm) 3 ) and in the case of glass surfaces (one precursor by μm 3 ). Our analysis is associated to morphology studies by Atomic Force Microscope to discuss about precursor nature and damage process. Influence of wavelength (from 355 to 1064 nm) and cumulated shots is also studied. Simulations are performed to study initiation mechanisms on these inclusions. This work gives an estimation of complex index and size of the precursor, which permits to discuss about possible detection by non-destructive tools. (author)

  19. Material synthesis and evaluation of metrological characteristics of potassium fluozirconate certified reference material

    Directory of Open Access Journals (Sweden)

    D. G. Lisienko

    2016-01-01

    Full Text Available The relevance of the study. For metrological support of control methods for composition ofpotassium fluozirconate, used in the production of metallic zirconium, applied in various technical fields, including nuclear power, electronics, chemical engineering. The purpose: development of synthesis technology, and determination of metrological characteristics of certified reference material for composition ofpotassium fluozirconate (set, intended for metrological support of measuring element mass fraction: hafnium (Hf, silicon (Si, iron (Fe, aluminium (Al, chromium (Cr, tin (Sn, titanium (Ti in potassium fluozirconate. Research methods: X-ray diffraction, differential scanning colorimetry, thermogravimetric analysis, atomic-emission spectral analysis with arc excitation, mass spectral analysis, X-ray fluorescence analysis. Results. As a result of research a set of certified reference materials for composition of potassium fluozirconate is developed and produced. The CRM type is approved by Federal Agency on Technical Regulating and Metrology and registered in State Register of Approved Reference Material Types under number GSO 10593-2015.

  20. Metrology with synchrotron radiation. A short introduction; Metrologie mit Synchrotronstrahlung. Eine kurze Einfuehrung

    Energy Technology Data Exchange (ETDEWEB)

    Richter, Mathias [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Fachbereich ' Radiometrie mit Synchrotronstrahlung' ; Ulm, Gerhard

    2014-09-15

    The beam tubes and measuring places at the Metrology Light Source and BESSY II are listed together with their monochromator types, spectral ranges, spectral resolution powers, photon fluxes, beam sizes, and divergences. (HSI)

  1. Ambient Optomechanical Alignment and Pupil Metrology for the Flight Instruments Aboard the James Webb Space Telescope

    Science.gov (United States)

    Coulter, Phillip; Beaton, Alexander; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hayden, Joseph E.; Hummel, Susann; Hylan, Jason E.; Lee, David; Madison, Timothy J.; Maszkiewicz, Michael; hide

    2014-01-01

    The James Webb Space Telescope science instruments are in the final stages of being integrated into the Integrated Science Instrument Module (ISIM) element. Each instrument is tied into a common coordinate system through mechanical references that are used for optical alignment and metrology within ISIM after element-level assembly. In addition, a set of ground support equipment (GSE) consisting of large, precisely calibrated, ambient, and cryogenic structures are used as alignment references and gauges during various phases of integration and test (I&T). This GSE, the flight instruments, and ISIM structure feature different types of complimentary metrology targeting. These GSE targets are used to establish and track six degrees of freedom instrument alignment during I&T in the vehicle coordinate system (VCS). This paper describes the optomechanical metrology conducted during science instrument integration and alignment in the Spacecraft Systems Development and Integration Facility (SSDIF) cleanroom at NASA Goddard Space Flight Center (GSFC). The measurement of each instrument's ambient entrance pupil location in the telescope coordinate system is discussed. The construction of the database of target locations and the development of metrology uncertainties is also discussed.

  2. A blueprint for radioanalytical metrology CRMs, intercomparisons, and PE

    International Nuclear Information System (INIS)

    Inn, Kenneth G.W.; Kurosaki, Hiromu; Frechou, Carole; Gilligan, Chris; Jones, Robert; LaMont, Stephen; Leggitt, Jeff; Li Chunsheng; McCroan, Keith; Swatski, Ronald

    2008-01-01

    A workshop was held from 28 February to 2 March 2006 at the National Institute of Standards and Technology (NIST) to evaluate the needs for new directions for complex matrix reference materials certified for radionuclide content, interlaboratory comparisons and performance evaluation (PE) programs. The workshop identified new radioanalytical metrology thrust areas needed for environmental, radiobioassay, emergency consequence management, and nuclear forensics, attribution, nonproliferation, and safeguards

  3. Metrology Sampling Strategies for Process Monitoring Applications

    KAUST Repository

    Vincent, Tyrone L.; Stirton, James Broc; Poolla, Kameshwar

    2011-01-01

    , economic pressures prompt a reduction in metrology, for both capital and cycle-time reasons. This paper explores the use of modeling and minimum-variance prediction as a method to select the sites for measurement on each wafer. The models are developed

  4. Dynamic Length Metrology (DLM) for measurements with sub-micrometre uncertainty in a production environment

    DEFF Research Database (Denmark)

    De Chiffre, Leonardo; Hansen, Hans Nørgaard; Hattel, Jesper Henri

    2016-01-01

    Conventional length metrology for traceable accurate measurements requires costly temperature controlled facilities, long waiting time for part acclimatisation, and separate part material characterisation. This work describes a method called Dynamic Length Metrology (DLM) developed to achieve sub...

  5. Remote laboratory for phase-aided 3D microscopic imaging and metrology

    Science.gov (United States)

    Wang, Meng; Yin, Yongkai; Liu, Zeyi; He, Wenqi; Li, Boqun; Peng, Xiang

    2014-05-01

    In this paper, the establishment of a remote laboratory for phase-aided 3D microscopic imaging and metrology is presented. Proposed remote laboratory consists of three major components, including the network-based infrastructure for remote control and data management, the identity verification scheme for user authentication and management, and the local experimental system for phase-aided 3D microscopic imaging and metrology. The virtual network computer (VNC) is introduced to remotely control the 3D microscopic imaging system. Data storage and management are handled through the open source project eSciDoc. Considering the security of remote laboratory, the fingerprint is used for authentication with an optical joint transform correlation (JTC) system. The phase-aided fringe projection 3D microscope (FP-3DM), which can be remotely controlled, is employed to achieve the 3D imaging and metrology of micro objects.

  6. Laser metrology applied to the nuclear maintenance

    International Nuclear Information System (INIS)

    Garrido Garcia, J.; Sarti Fernandez, F.

    2012-01-01

    The development of this paper focuses on providing an overview of the state of the art about laser metrology. This type of equipment combines the measurement philosophy of laser scanning with the great precision of the robotic equipment of auscultation. Getting micron.

  7. Gloss evaluation from soft and hard metrologies.

    Science.gov (United States)

    Wang, Zihao; Xu, Lihao; Hu, Yu; Mirjalili, Fereshteh; Luo, Ming Ronnier

    2017-09-01

    Recent advances in bidirectional reflectance distribution function (BRDF) acquisitions have provided a novel approach for appearance measurement and analysis. In particular, since gloss appearance is dependent on the directional reflective properties of surfaces, it is reasonable to leverage the BRDF for gloss evaluation. In this paper, we investigate gloss appearance from both soft metrology and hard metrology. A psychophysical experiment was conducted for the gloss assessment of 47 neutral-color samples. In the evaluation of gloss perception from gloss meter measurements, we report several ambiguous correspondences in the medium gloss range. In order to analyze and explain this phenomenon, the BRDF was acquired and examined using a commercial BRDF measuring device. With an improved correlation-to-visual perception, we propose a two-dimensional gloss model by combining a parameter, the standard deviation of the specular lobe, from Ward's BRDF model with measured gloss values.

  8. Real cell overlay measurement through design based metrology

    Science.gov (United States)

    Yoo, Gyun; Kim, Jungchan; Park, Chanha; Lee, Taehyeong; Ji, Sunkeun; Jo, Gyoyeon; Yang, Hyunjo; Yim, Donggyu; Yamamoto, Masahiro; Maruyama, Kotaro; Park, Byungjun

    2014-04-01

    Until recent device nodes, lithography has been struggling to improve its resolution limit. Even though next generation lithography technology is now facing various difficulties, several innovative resolution enhancement technologies, based on 193nm wavelength, were introduced and implemented to keep the trend of device scaling. Scanner makers keep developing state-of-the-art exposure system which guarantees higher productivity and meets a more aggressive overlay specification. "The scaling reduction of the overlay error has been a simple matter of the capability of exposure tools. However, it is clear that the scanner contributions may no longer be the majority component in total overlay performance. The ability to control correctable overlay components is paramount to achieve the desired performance.(2)" In a manufacturing fab, the overlay error, determined by a conventional overlay measurement: by using an overlay mark based on IBO and DBO, often does not represent the physical placement error in the cell area of a memory device. The mismatch may arise from the size or pitch difference between the overlay mark and the cell pattern. Pattern distortion, caused by etching or CMP, also can be a source of the mismatch. Therefore, the requirement of a direct overlay measurement in the cell pattern gradually increases in the manufacturing field, and also in the development level. In order to overcome the mismatch between conventional overlay measurement and the real placement error of layer to layer in the cell area of a memory device, we suggest an alternative overlay measurement method utilizing by design, based metrology tool. A basic concept of this method is shown in figure1. A CD-SEM measurement of the overlay error between layer 1 and 2 could be the ideal method but it takes too long time to extract a lot of data from wafer level. An E-beam based DBM tool provides high speed to cover the whole wafer with high repeatability. It is enabled by using the design as a

  9. Metrology as part and parcel of training programmes for science and engineering

    NARCIS (Netherlands)

    Regtien, Paulus P.L.

    2007-01-01

    At many universities and training institutes education in metrology or measurement science is in strong competition with upcoming disciplines. Its importance for science and engineering remains, however, evident. Advanced instruments make measuring almost a routine activity, but it is shown that a

  10. An active pixels spectrometers for neutronic fields metrology

    International Nuclear Information System (INIS)

    Taforeau, Julien

    2013-01-01

    The fundamental metrology is responsible for the sustainability of the measurement systems and handles to supply the reference standards. Concerning the metrology of ionizing radiations and, in particular the neutron metrology, detectors standards are used to characterize reference fields, in terms of energy and fluence. The dosimeters or particle detectors are calibrated on these reference fields. This thesis presents the development of a neutron spectrometer neutron candidate to the status of primary standard for the characterization of neutron fields in the range from 5 to 20 MeV. The spectrometer uses the recoil proton telescope as detection principle; the CMOS technology, through three sensor positions, is taking advantage to realize the tracking of protons. A Si(Li) detector handles the measure of the residual proton energy. The device simulations, realized under MCNPX, allow to estimate its performances and to validate the neutron energy reconstruction. An essential step of characterization of the telescope elements and in particular of CMOS sensors is also proposed to guarantee the validity of posterior experimental measurements. The tests realized as well in mono-energy fields as in radionuclide source show the very good performances of the system. The quantification of uncertainties indicates an energy estimation with 1.5 % accuracy and a resolution of less than 6 %. The fluence measurement is performed with an uncertainty about 4 to 6%. (author)

  11. The Opportunities and Challenges of Bringing New Metrology Equipment to Market

    Science.gov (United States)

    Perloff, David S.

    2005-09-01

    This paper provides an overview of the economic and technological factors which are driving the demand for new metrology and inspection equipment, the challenges and opportunities facing new companies in bringing such equipment to market, and the funding environment in which new companies must raise capital to finance their efforts. Seven metrology companies and one inspection equipment company that have received first-time venture backing since 2000 are used to illustrate how these specialized businesses are launched and funded.

  12. Fractal Metrology for biogeosystems analysis

    Directory of Open Access Journals (Sweden)

    V. Torres-Argüelles

    2010-11-01

    Full Text Available The solid-pore distribution pattern plays an important role in soil functioning being related with the main physical, chemical and biological multiscale and multitemporal processes of this complex system. In the present research, we studied the aggregation process as self-organizing and operating near a critical point. The structural pattern is extracted from the digital images of three soils (Chernozem, Solonetz and "Chocolate" Clay and compared in terms of roughness of the gray-intensity distribution quantified by several measurement techniques. Special attention was paid to the uncertainty of each of them measured in terms of standard deviation. Some of the applied methods are known as classical in the fractal context (box-counting, rescaling-range and wavelets analyses, etc. while the others have been recently developed by our Group. The combination of these techniques, coming from Fractal Geometry, Metrology, Informatics, Probability Theory and Statistics is termed in this paper Fractal Metrology (FM. We show the usefulness of FM for complex systems analysis through a case study of the soil's physical and chemical degradation applying the selected toolbox to describe and compare the structural attributes of three porous media with contrasting structure but similar clay mineralogy dominated by montmorillonites.

  13. Application of advanced diffraction based optical metrology overlay capabilities for high-volume manufacturing

    Science.gov (United States)

    Chen, Kai-Hsiung; Huang, Guo-Tsai; Hsieh, Hung-Chih; Ni, Wei-Feng; Chuang, S. M.; Chuang, T. K.; Ke, Chih-Ming; Huang, Jacky; Rao, Shiuan-An; Cumurcu Gysen, Aysegul; d'Alfonso, Maxime; Yueh, Jenny; Izikson, Pavel; Soco, Aileen; Wu, Jon; Nooitgedagt, Tjitte; Ottens, Jeroen; Kim, Yong Ho; Ebert, Martin

    2017-03-01

    On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.

  14. Observation of magnon-phonon interaction at short wavelengths

    International Nuclear Information System (INIS)

    Dolling, G.; Cowley, R.A.

    1966-01-01

    Measurements have been made of the magnon and phonon dispersion relations in uranium dioxide at 9 o K. These measurements provide evidence of a strong interaction between the magnon and phonon excitations and enable a value to be deduced for the coupling constant. The interaction of long-wavelength magnons in ferromagnetic materials has been studied previously with ultrasonic techniques; however, inelastic scattering of slow neutrons enables both the magnon and phonon dispersion relations to be determined for short wavelengths. In those magnetic materials which have been studied by earlier workers, the magnons and phonons either interacted with one another very weakly or else their frequencies were very different. The results could then be understood without introducing any magnon-phonon interaction. In this note we report measurements of both the magnon and the phonon spectra of antiferromagnetic uranium dioxide, which lead to a magnon-phonon coupling constant of 9.6 ± 1.6 o K. Since the Neel temperature is 30.8 o K, this coupling constant is of a similar magnitude to the direct magnetic interactions. (author)

  15. CBET Experiments with Wavelength Shifting at the Nike Laser

    Science.gov (United States)

    Weaver, James; McKenty, P.; Bates, J.; Myatt, J.; Shaw, J.; Obenschain, K.; Oh, J.; Kehne, D.; Obenschain, S.; Lehmberg, R. H.; Tsung, F.; Schmitt, A. J.; Serlin, V.

    2016-10-01

    Studies conducted at NRL during 2015 searched for cross-beam energy transport (CBET) in small-scale plastic targets with strong gradients in planar, cylindrical, and spherical geometries. The targets were irradiated by two widely separated beam arrays in a geometry similar to polar direct drive. Data from these shots will be presented that show a lack of a clear CBET signature even with wavelength shifting of one set of beams. This poster will discuss the next campaign being planned, in part, with modelling codes developed at LLE. The next experiments will use a target configuration optimized to create stronger SBS growth. The primary path under consideration is to increase scale lengths 5-10x over the previous study by using exploding foils or low density foams. In addition to simulations, the presentation will also discuss improvements to the diagnostic suite and laser operations; for example, a new set of etalons will be available for the next campaign that should double the range of wavelength shifting between the two beam arrays. Work supported by DoE/NNSA.

  16. Context-based virtual metrology

    Science.gov (United States)

    Ebersbach, Peter; Urbanowicz, Adam M.; Likhachev, Dmitriy; Hartig, Carsten; Shifrin, Michael

    2018-03-01

    Hybrid and data feed forward methodologies are well established for advanced optical process control solutions in highvolume semiconductor manufacturing. Appropriate information from previous measurements, transferred into advanced optical model(s) at following step(s), provides enhanced accuracy and exactness of the measured topographic (thicknesses, critical dimensions, etc.) and material parameters. In some cases, hybrid or feed-forward data are missed or invalid for dies or for a whole wafer. We focus on approaches of virtual metrology to re-create hybrid or feed-forward data inputs in high-volume manufacturing. We discuss missing data inputs reconstruction which is based on various interpolation and extrapolation schemes and uses information about wafer's process history. Moreover, we demonstrate data reconstruction approach based on machine learning techniques utilizing optical model and measured spectra. And finally, we investigate metrics that allow one to assess error margin of virtual data input.

  17. Implantation of the metrological base for dosimetry of X-ray beams used in radiodiagnostic at Minas Gerais, Brazil

    International Nuclear Information System (INIS)

    Silva, Teogenes A. da; Oliveira, Paulo Marcio C. de; Baptista Neto, Annibal T.; Soares, Carlos Manoel de A.; Nogueira, Maria do S.; Pereira, Elton G.; Squair, Peterson L.; Alonso, Thessa C.

    2009-01-01

    Viewing the reliability on the X, beta and gamma radiations, the Center for Development of Nuclear Technology (CDTN) has invested in the implantation of a metrological infrastructure to attend the necessities of the radiodiagnostic area with X-rays. This work describes the strategy and the more relevant technical and scientific results

  18. Implementation of a metrology national network for radionuclides used in nuclear medicine

    International Nuclear Information System (INIS)

    Santos, J.A. dos; Lopes, R.T.; Iwahara, A.; Tauhata, L.; Nicoli, I.G.; Dias, C.M.

    2003-01-01

    The Brazilian Laboratory for Metrology of Ionizing Radiation (LNMRI), of the Radioprotection and Dosimetry Institute, owned by the National Commission of Nuclear Energy (IRD/CNEN-RJ) has conducting since 1998, a comparison program for measurements of radiopharmaceuticals activities applied to patients at Nuclear Medicine sector aiming to the assessment the quality of those measurements. In the Rio de Janeiro state this program is successfully performed existing however the necessity to implement such program all over the country. This problem is being solved through the implementation of a reference laboratories network at several points in the brazilian territory. For the establishment and good working of the network the following factors must be observed: the radionuclide calibrators at the reference laboratories must be connected to the LNMRI; the operators must be trained by the staff or the LNMRI, and the quality guarantee must be assured through a comparison program. Presently, the network point placed in Brasilia is running and covering all the center-west region. The results obtained at this region show that the implementation of the metrology network is viable, important and achievable

  19. Quantum metrology foundation of units and measurements

    CERN Document Server

    Goebel, Ernst O

    2015-01-01

    The International System of Units (SI) is the world's most widely used system of measurement, used every day in commerce and science, and is the modern form of the metric system. It currently comprises the meter (m), the kilogram (kg), the second (s), the ampere (A), the kelvin (K), the candela (cd) and the mole (mol)). The system is changing though, units and unit definitions are modified through international agreements as the technology of measurement progresses, and as the precision of measurements improves. The SI is now being redefined based on constants of nature and their realization by quantum standards. Therefore, the underlying physics and technologies will receive increasing interest, and not only in the metrology community but in all fields of science. This book introduces and explains the applications of modern physics concepts to metrology, the science and the applications of measurements. A special focus is made on the use of quantum standards for the realization of the forthcoming new SI (the...

  20. A miniature TDCR system dedicated to in-situ activity assay

    International Nuclear Information System (INIS)

    Johansson, Lena; Bakhshandeiar, Eleanor; Pearce, Andy; Collins, Sean; Orlandini, Pascal; Sephton, John

    2014-01-01

    In the framework of the European Metrology Research Programme (EMRP), the Joint Research Project MetroFission has a dedicated work package for the development of a portable Triple-to-Double-Coincidence-Ratio (TDCR) system dedicated to in-situ activity measurements of low-energy beta emitters arising from the operation of the next generation of nuclear power plants. In the design phase of the NPL version of the mini-TDCR, a wide range of metrological aspects and detector types was considered. This paper summarizes these aspects of design, in the light of previous experience with the primary TDCR system at NPL. For example, in this miniature version of the TDCR, the optical chamber was simplified and cylindrical geometry was deemed sufficient. The reflectivity of the surface was increased by painted layers of Spectraflect ® , a specially formulated barium sulphate coating with high reflectivity across a wide range of wave lengths including UV. This option was chosen rather than the high performing and more expensive Spectralon ® material used for the primary NPL TDCR. The miniature TDCR system is intended for on-site monitoring and will not require as high a performance as the primary system. Other factors that were considered included sample changing, light tightness, type of photo detector, method for varying the detection efficiency, shielding and the possible addition of an internal gamma-ray source for determination of the quench parameter of the source. In this version, the sample changing is performed using a piston and an automatic shutter. Significant design effort has been applied to ensure minimal ingress of light from the piston. Efficiency variation is accomplished by increasing the vertical displacement of the vial. Provision has been made to automate this at a later stage. Maximum light transmission to the photo-multiplier tubes is obtained at the “zero” reference height. Validation measurements were successfully performed using four different

  1. Tests of operating conditions for metrological application of HTS Josephson arrays

    International Nuclear Information System (INIS)

    Sosso, A; Lacquaniti, V; Andreone, D; Cerri, R; Klushin, A M

    2006-01-01

    We report on an experimental study of metrological properties of High Temperature Superconductor arrays, made of shunted bicrystal YBCO Josephson junctions, to assess their accuracy. A detailed analysis of measurement errors is presented, mainly based on a direct comparison of an HTS array against a low temperature array. Owing to the high sensitivity of the comparison, we were able to measure the changes in the HTS array voltage on a step at nanovolt level. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results provided by the usual, low sensitivity, techniques, confirming that the method we adopted is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was applied in the derivation of the temperature dependence of the critical current as well, providing some insights on the behaviour of the HTS array

  2. Albuquerque Regional Training: The Third Seminar on Surface Metrology for the Americas May 12-13 2014

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Sophie M [Florida State Univ., Tallahassee, FL (United States); Tran, Hy D. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2014-07-01

    The Third Seminar on Surface Metrology for the Americas (SSMA) took place in Albuquerque, New Mexico May 12-13, 2014. The conference was at the Marriott Hotel, in the heart of Albuquerque Uptown, within walking distance of many fantastic restaurants. Why surface metrology? Ask Professor Chris Brown of Worcester Polytechnic Institute (WPI), the chair of the first two SSMAs in 2011 and 2012 and the chair of the ASME B46 committee on classification and designation of surface qualities, and Professor Brown responds: “Because surfaces cover everything.”

  3. Solar Prominence Modelling and Plasma Diagnostics at ALMA Wavelengths

    Science.gov (United States)

    Rodger, Andrew; Labrosse, Nicolas

    2017-09-01

    Our aim is to test potential solar prominence plasma diagnostics as obtained with the new solar capability of the Atacama Large Millimeter/submillimeter Array (ALMA). We investigate the thermal and plasma diagnostic potential of ALMA for solar prominences through the computation of brightness temperatures at ALMA wavelengths. The brightness temperature, for a chosen line of sight, is calculated using the densities of electrons, hydrogen, and helium obtained from a radiative transfer code under non-local thermodynamic equilibrium (non-LTE) conditions, as well as the input internal parameters of the prominence model in consideration. Two distinct sets of prominence models were used: isothermal-isobaric fine-structure threads, and large-scale structures with radially increasing temperature distributions representing the prominence-to-corona transition region. We compute brightness temperatures over the range of wavelengths in which ALMA is capable of observing (0.32 - 9.6 mm), however, we particularly focus on the bands available to solar observers in ALMA cycles 4 and 5, namely 2.6 - 3.6 mm (Band 3) and 1.1 - 1.4 mm (Band 6). We show how the computed brightness temperatures and optical thicknesses in our models vary with the plasma parameters (temperature and pressure) and the wavelength of observation. We then study how ALMA observables such as the ratio of brightness temperatures at two frequencies can be used to estimate the optical thickness and the emission measure for isothermal and non-isothermal prominences. From this study we conclude that for both sets of models, ALMA presents a strong thermal diagnostic capability, provided that the interpretation of observations is supported by the use of non-LTE simulation results.

  4. Advanced applications of scatterometry based optical metrology

    Science.gov (United States)

    Dixit, Dhairya; Keller, Nick; Kagalwala, Taher; Recchia, Fiona; Lifshitz, Yevgeny; Elia, Alexander; Todi, Vinit; Fronheiser, Jody; Vaid, Alok

    2017-03-01

    The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements

  5. Enhanced vacuum laser-impulse coupling by volume absorption at infrared wavelengths

    Science.gov (United States)

    Phipps, C. R., Jr.; Harrison, R. F.; Shimada, T.; York, G. W.; Turner, R. F.

    1990-03-01

    This paper reports measurements of vacuum laser impulse coupling coefficients as large as 90 dyne/W, obtained with single microsec-duration CO2 laser pulses incident on a volume-absorbing, cellulose-nitrate-based plastic. This result is the largest coupling coefficient yet reported at any wavelength for a simple, planar target in vacuum, and partly results from expenditure of internal chemical energy in this material. Enhanced coupling was also observed in several other target materials that are chemically passive, but absorb light in depth at 10- and 3-micron wavelengths. The physical distinctions are discussed between this important case and that of simple, planar surface absorbers (such as metals) which were studied in the same experimental series, in light of the predictions of a simple theoretical model.

  6. National Defense Center of Excellence for Industrial Metrology and 3D Imaging

    Science.gov (United States)

    2012-10-18

    Appendices) W911NF-08-2-0057 - Metrology - FinalReport 100 m to 200 m. For volume determination (e.g., mining , excavations) and topographic mapping...infrastructure, rail systems, mining ), mobile mapping, and security applications. Lockheed Martin has selected the HDL-64E as the main perception...Appendices) W911NF-08-2-0057 - Metrology - FinalReport The Obscurant Penetrating Autosynchronous Lidar ( OPAL ) has been developed as a variant ofNeptec ’s

  7. Optics for Processes, Products and Metrology

    Science.gov (United States)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  8. Study of laser-induced damage on the exit surface of silica components in the nanosecond regime in a multiple wavelengths configuration

    International Nuclear Information System (INIS)

    Chambonneau, Maxime

    2014-01-01

    In this thesis, laser-induced damage phenomenon on the surface of fused silica components is investigated in the nanosecond regime. This phenomenon consists in an irreversible modification of the material. In the nanosecond regime, laser damage is tightly correlated to the presence of non-detectable precursor defects which are a consequence of the synthesis and the polishing of the components. In this thesis, we investigate laser damage in a multiple wavelengths configuration. In order to better understand this phenomenon in these conditions of irradiation, three studies are conducted. The first one focuses on damage initiation. The results obtained in the single wavelength configurations highlight a coupling in the multiple wavelengths one. A comparison between the experiments and a model developed during this thesis enables us to improve the knowledge of the fundamental processes involved during this damage phase. Then, we show that post mortem characterizations of damage morphology coupled to an accurate metrology allow us to understand both the nature and also the chronology of the physical mechanisms involved during damage formation. The proposed theoretical scenario is confirmed through various experiments. Finally, we study damage growth in both the single and the multiple wavelengths cases. Once again, this last configuration highlights a coupling between the wavelengths. We show the necessity to account for the spatial characteristics of the laser beams during a growth session. (author) [fr

  9. Solar Observations at Submillimeter Wavelengths

    Science.gov (United States)

    Kaufmann, P.

    We review earlier to recent observational evidences and theoretical motivations leading to a renewed interest to observe flares in the submillimeter (submm) - infrared (IR) range of wavelengths. We describe the new solar dedicated submillimeter wave telescope which began operations at El Leoncito in the Argentina Andes: the SST project. It consists of focal plane arrays of two 405 GHz and four 212 GHz radiometers placed in a 1.5-m radome-enclosed Cassegrain antenna, operating simultaneously with one millisecond time resolution. The first solar events analyzed exhibited the onset of rapid submm-wave spikes (100-300 ms), well associated to other flare manifestations, especially at X-rays. The spikes positions were found scattered over the flaring source by tens of arcseconds. For one event an excellent association was found between the gamma-ray emission time profile and the rate of occurrence of submm-wave rapid spikes. The preliminary results favour the idea that bulk burst emissions are a response to numerous fast energetic injections, discrete in time, produced at different spatial positions over the flaring region. Coronal mass ejections were associated to the events studied. Their trajectories extrapolated to the solar surface appear to correspond to the onset time of the submm-wave spikes, which might represent an early signature of the CME's initial acceleration process.

  10. Gratings for synchrotron and FEL beamlines: a project for the manufacture of ultra-precise gratings at Helmholtz Zentrum Berlin.

    Science.gov (United States)

    Siewert, F; Löchel, B; Buchheim, J; Eggenstein, F; Firsov, A; Gwalt, G; Kutz, O; Lemke, St; Nelles, B; Rudolph, I; Schäfers, F; Seliger, T; Senf, F; Sokolov, A; Waberski, Ch; Wolf, J; Zeschke, T; Zizak, I; Follath, R; Arnold, T; Frost, F; Pietag, F; Erko, A

    2018-01-01

    Blazed gratings are of dedicated interest for the monochromatization of synchrotron radiation when a high photon flux is required, such as, for example, in resonant inelastic X-ray scattering experiments or when the use of laminar gratings is excluded due to too high flux densities and expected damage, for example at free-electron laser beamlines. Their availability became a bottleneck since the decommissioning of the grating manufacture facility at Carl Zeiss in Oberkochen. To resolve this situation a new technological laboratory was established at the Helmholtz Zentrum Berlin, including instrumentation from Carl Zeiss. Besides the upgraded ZEISS equipment, an advanced grating production line has been developed, including a new ultra-precise ruling machine, ion etching technology as well as laser interference lithography. While the old ZEISS ruling machine GTM-6 allows ruling for a grating length up to 170 mm, the new GTM-24 will have the capacity for 600 mm (24 inch) gratings with groove densities between 50 lines mm -1 and 1200 lines mm -1 . A new ion etching machine with a scanning radiofrequency excited ion beam (HF) source allows gratings to be etched into substrates of up to 500 mm length. For a final at-wavelength characterization, a new reflectometer at a new Optics beamline at the BESSY-II storage ring is under operation. This paper reports on the status of the grating fabrication, the measured quality of fabricated items by ex situ and in situ metrology, and future development goals.

  11. Calibration of radioprotection equipment gamma radiation at the Laboratory of Ionizing Radiation Metrology - DEN/UFPE

    International Nuclear Information System (INIS)

    Nazario, Macilene; Khoury, Helen; Hazin, Clovis

    2003-01-01

    This work presents aspects of the radioprotection equipment calibration service of the Laboratory for Metrology of Ionizing Radiations (LMRI) of the DEN/UFPE related to the calibration procedures, characteristics of the radiation beam and the evaluation of equipment calibrated in the period of 2001-2002. The LMRI-DEN/UFPE is one of the four laboratories in Brazil licensed by the Brazilian Nuclear Energy Commission for the execution of calibration services on area, surface contamination and personal monitors used by industries, hospitals, universities and research institutes using radioactive sources

  12. Continuous-wave Optically Pumped Lasing of Hybrid Perovskite VCSEL at Green Wavelength

    KAUST Repository

    Alias, Mohd Sharizal

    2017-05-08

    We demonstrate the lasing of a perovskite vertical-cavity surface-emitting laser at green wavelengths, which operates under continuous-wave optical pumping at room-temperature by embedding hybrid perovskite between dielectric mirrors deposited at low-temperature.

  13. Continuous-wave Optically Pumped Lasing of Hybrid Perovskite VCSEL at Green Wavelength

    KAUST Repository

    Alias, Mohd Sharizal; Liu, Zhixiong; Alatawi, Abdullah; Ng, Tien Khee; Wu, Tao; Ooi, Boon S.

    2017-01-01

    We demonstrate the lasing of a perovskite vertical-cavity surface-emitting laser at green wavelengths, which operates under continuous-wave optical pumping at room-temperature by embedding hybrid perovskite between dielectric mirrors deposited at low-temperature.

  14. Unconditional violation of the shot-noise limit in photonic quantum metrology

    Science.gov (United States)

    Slussarenko, Sergei; Weston, Morgan M.; Chrzanowski, Helen M.; Shalm, Lynden K.; Verma, Varun B.; Nam, Sae Woo; Pryde, Geoff J.

    2017-11-01

    Interferometric phase measurement is widely used to precisely determine quantities such as length, speed and material properties1-3. Without quantum correlations, the best phase sensitivity Δ ϕ achievable using n photons is the shot-noise limit, Δ ϕ =1 /√{n }. Quantum-enhanced metrology promises better sensitivity, but, despite theoretical proposals stretching back decades3,4, no measurement using photonic (that is, definite photon number) quantum states has truly surpassed the shot-noise limit. Instead, all such demonstrations, by discounting photon loss, detector inefficiency or other imperfections, have considered only a subset of the photons used. Here, we use an ultrahigh-efficiency photon source and detectors to perform unconditional entanglement-enhanced photonic interferometry. Sampling a birefringent phase shift, we demonstrate precision beyond the shot-noise limit without artificially correcting our results for loss and imperfections. Our results enable quantum-enhanced phase measurements at low photon flux and open the door to the next generation of optical quantum metrology advances.

  15. A survey on coordinate metrology using dimensional X-ray CT

    International Nuclear Information System (INIS)

    Matsuzaki, Kazuya

    2016-01-01

    X-ray computed tomography (X-ray CT) has been occupying indispensable position in geometrical and dimensional measurements in industry, which is capable of measuring both external and internal dimensions of industrial products. Since dimensional X-ray CT has problems about ensuring traceability and estimating uncertainty, requirement of developing measurement standard for dimensional X-ray CT is increasing. Some of national metrology institutes (NMIs) including NMIJ have been working on developing measurement standard. In this report, the background of coordinate metrology using dimensional X-ray CT is reviewed. Then, measurement error sources are discussed. Finally, the plan to develop high accuracy dimensional X-ray CT is presented. (author)

  16. Transformation optics and metamaterials at infrared wavelength: engineering of permittivity and permeability

    Science.gov (United States)

    Ghasemi, Rasta; Degiron, Aloyse; Leroux, Xavier; Lupu, Anatole; de Lustrac, André

    2013-05-01

    The transformation optics was introduced by J. Pendry and U. Leonhardt in 2006 [1,2]. In this method an initial space is transformed into a new space and this transformed space can be materialized by a material, which the electromagnetic parameters can be deduced from the metric of the transformed space. In the general case the electromagnetic parameters are anisotropic tensors. At microwave frequencies these materials can be realized using classical metamaterials like SRR form J. Pendry or ELC from D. Smith [3]. At infrared wavelengths this realization is a challenge because the dimensions of the metamaterials are much smaller than the wavelength and become nanometric. Then the design of these metamaterials must be simplified and original methods must be developed to allow the realization of these metamaterials with controlled electromagnetic properties. In this paper we describe the realization of a multilayer metamaterial working at infrared wavelength, which the permittivity and the permeability can be adjusted separately. We give some examples of realized multilayer materials operating around 150THz, with a comparison between the results of full wave simulations of these materials and their characterizations using a Fourier Transform Infrared Spectrometer.

  17. Nanoscale resonant-cavity-enhanced germanium photodetectors with lithographically defined spectral response for improved performance at telecommunications wavelengths.

    Science.gov (United States)

    Balram, Krishna C; Audet, Ross M; Miller, David A B

    2013-04-22

    We demonstrate the use of a subwavelength planar metal-dielectric resonant cavity to enhance the absorption of germanium photodetectors at wavelengths beyond the material's direct absorption edge, enabling high responsivity across the entire telecommunications C and L bands. The resonant wavelength of the detectors can be tuned linearly by varying the width of the Ge fin, allowing multiple detectors, each resonant at a different wavelength, to be fabricated in a single-step process. This approach is promising for the development of CMOS-compatible devices suitable for integrated, high-speed, and energy-efficient photodetection at telecommunications wavelengths.

  18. Advanced in-situ control for III-nitride RF power device epitaxy

    Science.gov (United States)

    Brunner, F.; Zettler, J.-T.; Weyers, M.

    2018-04-01

    In this contribution, the latest improvements regarding wafer temperature measurement on 4H-SiC substrates and, based on this, of film thickness and composition control of GaN and AlGaN layers in power electronic device structures are presented. Simultaneous pyrometry at different wavelengths (950 nm and 405 nm) reveal the advantages and limits of the different temperature measurement approaches. Near-UV pyrometry gives a very stable wafer temperature signal without oscillations during GaN growth since the semi-insulating 4H-SiC substrate material becomes opaque at temperatures above 550 °C at the wavelength of 405 nm. A flat wafer temperature profile across the 100 mm substrate diameter is demonstrated despite a convex wafer shape at AlGaN growth conditions. Based on the precise assignment of wafer temperature during MOVPE we were able to improve the accuracy of the high-temperature n-k database for the materials involved. Consequently, the measurement accuracy of all film thicknesses grown under fixed temperature conditions improved. Comparison of in situ and ex situ determined layer thicknessess indicate an unintended etching of the topmost layer during cool-down. The details and limitations of real-time composition analysis for lower Al-content AlGaN barrier layers during transistor device epitaxy are shown.

  19. Implementation of machine learning for high-volume manufacturing metrology challenges (Conference Presentation)

    Science.gov (United States)

    Timoney, Padraig; Kagalwala, Taher; Reis, Edward; Lazkani, Houssam; Hurley, Jonathan; Liu, Haibo; Kang, Charles; Isbester, Paul; Yellai, Naren; Shifrin, Michael; Etzioni, Yoav

    2018-03-01

    across 3 products. In the case of product C, it is found that the predicted Rs correlation to the e-test value is significantly improved utilizing spectra acquired at the e-test structure. This paper will explore the considerations required to enable use of machine learning derived metrology output to enable improved process monitoring and control. Further results from the FEOL and BEOL sectors will be presented, together with further discussion on future proliferation of machine learning based metrology solutions in high volume manufacturing.

  20. Addressing FinFET metrology challenges in 1X node using tilt-beam CD-SEM

    Science.gov (United States)

    Zhang, Xiaoxiao; Zhou, Hua; Ge, Zhenhua; Vaid, Alok; Konduparthi, Deepasree; Osorio, Carmen; Ventola, Stefano; Meir, Roi; Shoval, Ori; Kris, Roman; Adan, Ofer; Bar-Zvi, Maayan

    2014-04-01

    At 1X node, 3D FinFETS raise a number of new metrology challenges. Gate height and fin height are two of the most important parameters for process control. At present there is a metrology gap in inline in-die measurement of these parameters. In order to fill this metrology gap, in-column beam tilt has been developed and implemented on Applied Materials V4i+ top-down CD-SEM for height measurement. A low tilt (5°) beam and a high tilt (14°) beam have been calibrated to obtain two sets of images providing measurement of sidewall edge width to calculate height in the host. Evaluations are done with applications in both gate height and fin height. TEM correlation with R2 being 0.89 and precision of 0.81nm have been achieved on various in-die features in gate height application. Fin height measurement shows less accuracy (R2 being 0.77) and precision (1.49 nm) due to challenges brought by fin geometry, yet still promising as first attempt. Sensitivity to DOE offset, die-to-die and in-die variation is demonstrated in both gate height and fin height. Process defect is successfully captured from inline wafers with gate height measurement implemented in production. This is the first successful demonstration of inline in-die gate height measurement for 14nm FinFET process control.

  1. Metrological assurance and traceability for Industry 4.0 and additive manufacturing in Ukraine

    Science.gov (United States)

    Skliarov, Volodymyr; Neyezhmakov, Pavel; Prokopov, Alexander

    2018-03-01

    The national measurement standards from the point of view of traceability of the results of measurement in additive manufacturing in Ukraine are considered in the paper. The metrological characteristics of the national primary measurement standards in the field of geometric, temperature, optical-physical and time-frequency measurements, which took part in international comparisons within COOMET projects, are presented. The accurate geometric, temperature, optical-physical and time-frequency measurements are the key ones in controlling the quality of additive manufacturing. The use of advanced CAD/CAE/CAM systems allows to simulate the process of additive manufacturing at each stage. In accordance with the areas of the technology of additive manufacturing, the ways of improving the national measurement standards of Ukraine for the growing needs of metrology of additive manufacturing are considered.

  2. Metrological and operational performance of measuring systems used in vehicle compressed natural gas filling stations

    Energy Technology Data Exchange (ETDEWEB)

    Velosa, Jhonn F.; Abril, Henry; Garcia, Luis E. [CDT de GAS (Venezuela). Gas Technological Development Center Corporation

    2008-07-01

    Corporation CDT GAS financially supported by the Colombian government through COLCIENCIAS, carried out a study aimed at designing, developing and implementing in Colombia a calibration and metrological verification 'specialized service' for gas meters installed at dispensers of filling stations using compressed natural gas. The results permitted the identification of improving opportunities (in measuring systems, equipment and devices used to deliver natural gas) which are focused on achieving the highest security and reliability of trading processes of CNG for vehicles. In the development of the first stage of the project, metrological type variables were initially considered, but given the importance of the measuring system and its interaction with the various elements involving gas supply to the filling station, the scope of the work done included aspects related to the operational performance, that is, those influencing the security of the users and the metrological performance of the measuring system. The development of the second stage counted on the collaboration of national companies from the sector of CNG for vehicles, which permitted the carrying out of multiple calibrations to the measuring systems installed in the CNG dispensers, thus achieving, in a concrete way, valid and reliable technological information of the implemented procedures. (author)

  3. Nonlinear Quantum Metrology of Many-Body Open Systems

    Science.gov (United States)

    Beau, M.; del Campo, A.

    2017-07-01

    We introduce general bounds for the parameter estimation error in nonlinear quantum metrology of many-body open systems in the Markovian limit. Given a k -body Hamiltonian and p -body Lindblad operators, the estimation error of a Hamiltonian parameter using a Greenberger-Horne-Zeilinger state as a probe is shown to scale as N-[k -(p /2 )], surpassing the shot-noise limit for 2 k >p +1 . Metrology equivalence between initial product states and maximally entangled states is established for p ≥1 . We further show that one can estimate the system-environment coupling parameter with precision N-(p /2 ), while many-body decoherence enhances the precision to N-k in the noise-amplitude estimation of a fluctuating k -body Hamiltonian. For the long-range Ising model, we show that the precision of this parameter beats the shot-noise limit when the range of interactions is below a threshold value.

  4. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    International Nuclear Information System (INIS)

    Kulich, V.; Studeny, J.

    1995-01-01

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany

  5. Importance of education and competence maintenance in metrology field (measurement science)

    International Nuclear Information System (INIS)

    Dobiliene, J; Meskuotiene, A

    2015-01-01

    For certain tasks in metrology field trained employers might be necessary to fulfill specific requirements. It is important to pay attention that metrologists are responsible for fluent work of devices that belong to huge variety of vide spectrum of measurements. People who perform measurements (that are related to our safety, security or everyday life) with reliable measuring instruments must be sure for trueness of their results or conclusions. So with the purpose to reach the harmony between the ordinary man and his used means it is very important to ensure competence of specialists that are responsible for mentioned harmony implementation. Usually these specialists have a university degree and perform highly specified tasks in science, industry or laboratories. Their task is quite narrow. For example, type approval of measuring instrument or calibration and verification. Due to the fact that the number of such employers and their tasks is relatively small in the field of legal metrology, this paper focuses on the significance of training and qualification of legal metrology officers

  6. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    Energy Technology Data Exchange (ETDEWEB)

    Kulich, V; Studeny, J [NPP Dukovany (Czech Republic)

    1996-12-31

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany.

  7. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1993-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  8. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1992-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  9. Metrological challenges introduced by new tolerancing standards

    International Nuclear Information System (INIS)

    Morse, Edward; Peng, Yue; Srinivasan, Vijay; Shakarji, Craig

    2014-01-01

    The recent release of ISO 14405-1 has provided designers with a richer set of specification tools for the size of part features, so that various functional requirements can be captured with greater fidelity. However, these tools also bring new challenges and pitfalls to an inspector using a coordinate metrology system. A sampling strategy that might have worked well in the past could lead to erroneous results that go undetected when used to evaluate these new specifications. In this paper we investigate how measurement strategies for sampled coordinate metrology systems influence different algorithms for the evaluation of these new specifications. Of particular interest are those specifications where the order statistics of feature cross-sections are required. Here the inspector must decide not only how many points are required for an individual cross-section, but the number and spacing of cross-sections measured on the feature. The results of these decisions are compared with an analytic estimate of the ‘true value’ of the measurand specified using this new standard. (paper)

  10. Metrology measurements for large-aperture VPH gratings

    Science.gov (United States)

    Zheng, Jessica R.; Gers, Luke; Heijmans, Jeroen

    2013-09-01

    The High Efficiency and Resolution Multi Element Spectrograph (HERMES) for the Australian Astronomical Observatory (AAO) uses four large aperture, high angle of incidence volume phase holographic gratings (VPHG) for high resolution `Galactic archaeology' spectroscopy. The large clear aperture, the high diffraction efficiency, the line frequency homogeneity, and mosaic alignment made manufacturing and testing challenging. We developed new metrology systems at the AAO to verify the performance of these VPH gratings. The measured diffraction efficiencies and line frequency of the VPH gratings received so far meet the vendor's provided data. The wavefront quality for the Blue VPH grating is good but the Green and Red VPH gratings need to be post polishing.

  11. Metrological management evaluation based on ISO10012: an empirical study in ISO-14001-certified Spanish companies

    International Nuclear Information System (INIS)

    Beltran, Jaime; Rivas, Miguel; Munuzuri, Jesus; Gonzalez, Cristina

    2010-01-01

    Environmental management systems based on the ISO 14001 standard rely strongly on metrological measurement and confirmation processes to certify the extent to which organizations monitor and improve their environmental behavior. Nevertheless, the literature lacks in studies that assess the influence of these metrological processes on the performance of environmental management in organizations, even now that the international standard ISO 10012 is already available to establish requisites and guidelines for the development of a metrological management system that is compatible with any other standardized management system. This work seeks to assess that influence through the development of an evaluation model for metrological management, which is then validated through an experimental analysis of the results obtained from the application of an audit process in 11 Spanish companies, all ISO-14001-certified and operating in different industrial sectors. (author)

  12. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    Science.gov (United States)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-07-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes.

  13. Sub-atomic dimensional metrology: developments in the control of x-ray interferometers

    International Nuclear Information System (INIS)

    Yacoot, Andrew; Kuetgens, Ulrich

    2012-01-01

    Within the European Metrology Research Programme funded project NANOTRACE, the nonlinearity of the next generation of optical interferometers has been measured using x-ray interferometry. The x-ray interferometer can be regarded as a ruler or translation stage whose graduations or displacement steps are based on the lattice spacing of the crystallographic planes from which the x-rays are diffracted: in this case the graduations are every 192 pm corresponding to the spacing between the (2 2 0) planes in silicon. Precise displacement of the x-ray interferometer's monolithic translation stage in steps corresponding to discrete numbers of x-ray fringes requires servo positioning capability at the picometre level. To achieve this very fine control, a digital control system has been developed which has opened up the potential for advances in metrology using x-ray interferometry that include quadrature counting of x-ray fringes. (paper)

  14. Clean focus, dose and CD metrology for CD uniformity improvement

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Kim, Nakyoon; Robinson, John C.; Mengel, Markus; Pablo, Rovira; Yoo, Sungchul; Getin, Raphael; Choi, Dongsub; Jeon, Sanghuck

    2018-03-01

    Lithography process control solutions require more exacting capabilities as the semiconductor industry goes forward to the 1x nm node DRAM device manufacturing. In order to continue scaling down the device feature sizes, critical dimension (CD) uniformity requires continuous improvement to meet the required CD error budget. In this study we investigate using optical measurement technology to improve over CD-SEM methods in focus, dose, and CD. One of the key challenges is measuring scanner focus of device patterns. There are focus measurement methods based on specially designed marks on scribe-line, however, one issue of this approach is that it will report focus of scribe line which is potentially different from that of the real device pattern. In addition, scribe-line marks require additional design and troubleshooting steps that add complexity. In this study, we investigated focus measurement directly on the device pattern. Dose control is typically based on using the linear correlation behavior between dose and CD. The noise of CD measurement, based on CD-SEM for example, will not only impact the accuracy, but also will make it difficult to monitor dose signature on product wafers. In this study we will report the direct dose metrology result using an optical metrology system which especially enhances the DUV spectral coverage to improve the signal to noise ratio. CD-SEM is often used to measure CD after the lithography step. This measurement approach has the advantage of easy recipe setup as well as the flexibility to measure critical feature dimensions, however, we observe that CD-SEM metrology has limitations. In this study, we demonstrate within-field CD uniformity improvement through the extraction of clean scanner slit and scan CD behavior by using optical metrology.

  15. The "+" for CRIRES: enabling better science at infrared wavelength and high spectral resolution at the ESO VLT

    Science.gov (United States)

    Dorn, Reinhold J.; Follert, Roman; Bristow, Paul; Cumani, Claudio; Eschbaumer, Siegfried; Grunhut, Jason; Haimerl, Andreas; Hatzes, Artie; Heiter, Ulrike; Hinterschuster, Renate; Ives, Derek J.; Jung, Yves; Kerber, Florian; Klein, Barbara; Lavaila, Alexis; Lizon, Jean Louis; Löwinger, Tom; Molina-Conde, Ignacio; Nicholson, Belinda; Marquart, Thomas; Oliva, Ernesto; Origlia, Livia; Pasquini, Luca; Paufique, Jérôme; Piskunov, Nikolai; Reiners, Ansgar; Seemann, Ulf; Stegmeier, Jörg; Stempels, Eric; Tordo, Sebastien

    2016-08-01

    The adaptive optics (AO) assisted CRIRES instrument is an IR (0.92 - 5.2 μm) high-resolution spectrograph was in operation from 2006 to 2014 at the Very Large Telescope (VLT) observatory. CRIRES was a unique instrument, accessing a parameter space (wavelength range and spectral resolution) up to now largely uncharted. It consisted of a single-order spectrograph providing long-slit (40 arcsecond) spectroscopy with a resolving power up to R=100 000. However the setup was limited to a narrow, single-shot, spectral range of about 1/70 of the central wavelength, resulting in low observing efficiency for many scientific programmes requiring a broad spectral coverage. The CRIRES upgrade project, CRIRES+, transforms this VLT instrument into a cross-dispersed spectrograph to increase the simultaneously covered wavelength range by a factor of ten. A new and larger detector focal plane array of three Hawaii 2RG detectors with 5.3 μm cut-off wavelength will replace the existing detectors. For advanced wavelength calibration, custom-made absorption gas cells and an etalon system will be added. A spectro-polarimetric unit will allow the recording of circular and linear polarized spectra. This upgrade will be supported by dedicated data reduction software allowing the community to take full advantage of the new capabilities offered by CRIRES+. CRIRES+ has now entered its assembly and integration phase and will return with all new capabilities by the beginning of 2018 to the Very Large Telescope in Chile. This article will provide the reader with an update of the current status of the instrument as well as the remaining steps until final installation at the Paranal Observatory.

  16. Compact titanium dioxide waveguides with high nonlinearity at telecommunication wavelengths

    DEFF Research Database (Denmark)

    Guan, Xiaowei; Hu, Hao; Oxenløwe, Leif Katsuo

    2018-01-01

    .43 mu m(2)) and a low loss (5.4 +/- 1 dB/cm) at telecommunication wavelengths around 1550 nm have been fabricated and measured. A microring resonator having a 50 mu m radius has been measured to have a loaded quality factor of 53500. Four-wave mixing experiments reveal a nonlinear parameter...

  17. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  18. Substitution determination of Fmoc‐substituted resins at different wavelengths

    Science.gov (United States)

    Kley, Markus; Bächle, Dirk; Loidl, Günther; Meier, Thomas; Samson, Daniel

    2017-01-01

    In solid‐phase peptide synthesis, the nominal batch size is calculated using the starting resin substitution and the mass of the starting resin. The starting resin substitution constitutes the basis for the calculation of a whole set of important process parameters, such as the number of amino acid derivative equivalents. For Fmoc‐substituted resins, substitution determination is often performed by suspending the Fmoc‐protected starting resin in 20% (v/v) piperidine in DMF to generate the dibenzofulvene–piperidine adduct that is quantified by ultraviolet–visible spectroscopy. The spectrometric measurement is performed at the maximum absorption wavelength of the dibenzofulvene–piperidine adduct, that is, at 301.0 nm. The recorded absorption value, the resin weight and the volume are entered into an equation derived from Lambert–Beer's law, together with the substance‐specific molar absorption coefficient at 301.0 nm, in order to calculate the nominal substitution. To our knowledge, molar absorption coefficients between 7100 l mol−1 cm−1 and 8100 l mol−1 cm−1 have been reported for the dibenzofulvene–piperidine adduct at 301.0 nm. Depending on the applied value, the nominal batch size may differ up to 14%. In this publication, a determination of the molar absorption coefficients at 301.0 and 289.8 nm is reported. Furthermore, proof is given that by measuring the absorption at 289.8 nm the impact of wavelength accuracy is reduced. © 2017 The Authors Journal of Peptide Science published by European Peptide Society and John Wiley & Sons Ltd. PMID:28635051

  19. What metrology can do to improve the quality of your atmospheric ammonia measurements

    Science.gov (United States)

    Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Guillevic, Myriam; Ackermann, Andreas; Ferracci, Valerio; Cassidy, Nathan; Hook, Josh; Battersby, Ross M.; Tang, Yuk S.; Stevens, Amy C. M.; Jones, Matthew R.; Braban, Christine F.; Gates, Linda; Hangartner, Markus; Sacco, Paolo; Pagani, Diego; Hoffnagle, John A.; Niederhauser, Bernhard

    2017-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation to ensure reliable ammonia measurements, namely in applicable analytical technology, maximum allowed uncertainty, quality assurance and quality control (QC/QA) procedures, as well as in the infrastructure to attain metrological traceability, i.e. that the results of measurements are traceable to SI-units through an unbroken chain of calibrations. In the framework of the European Metrology Research Programme (EMRP) project on the topic "Metrology for Ammonia in Ambient Air" (MetNH3), European national metrology institutes (NMI's) have joined to tackle the issue of generating SI-traceable reference material, i.e. generate reference gas mixtures containing known amount fractions of NH3.This requires special infrastructure and analytical techniques: Measurements of ambient ammonia are commonly carried out with diffusive samplers or by active sampling with denuders, but such techniques have not yet been extensively validated. Improvements in the metrological traceability may be achieved through the determination of NH3 diffusive sampling rates using ammonia Primary Standard Gas Mixtures (PSMs), developed by gravimetry at the National Physical Laboratory NPL and a controlled atmosphere test facility in combination with on-line monitoring with a cavity ring-down spectrometer. The Federal Institute of Metrology METAS has developed an infrastructure to generate SI-traceable NH3 reference gas mixtures dynamically in the amount fraction range 0.5-500 nmol/mol (atmospheric concentrations) and with uncertainties UNH3 mobile device for full flexibility for calibrations in the laboratory and in the field. Both devices apply the method of temperature and pressure

  20. Radiation protection metrology in Austria: status and needs in a European perspective

    International Nuclear Information System (INIS)

    Maringer, F. J.; Leitner, A.; Tschurlovits, M.

    2005-01-01

    A global harmonised system of radiation protection and radiation dosimetry metrology is required to assure quality and accuracy in exchange of ideas, science, technologies and products. Accurate and high-grade measurements of ionising radiation are required in a wide range of industrial and medical applications where they are critical for human health and safety. This paper presents current work of international and Austrian metrological institutions in the field of ionising radiation and briefly discusses the future need and perspectives in the European context.(author)

  1. Wavelength-stepped, actively mode-locked fiber laser based on wavelength-division-multiplexed optical delay lines

    Science.gov (United States)

    Lee, Eunjoo; Kim, Byoung Yoon

    2017-12-01

    We propose a new scheme for an actively mode-locked wavelength-swept fiber laser that produces a train of discretely wavelength-stepped pulses from a short fiber cavity. Pulses with different wavelengths are split and combined by standard wavelength division multiplexers with fiber delay lines. As a proof of concept, we demonstrate a laser using an erbium doped fiber amplifier and commercially available wavelength-division multiplexers with wavelength spacing of 0.8 nm. The results show simultaneous mode-locking at three different wavelengths. Laser output parameters in time domain, optical and radio frequency spectral domain, and the noise characteristics are presented. Suggestions for the improved design are discussed.

  2. Information system planning work on maintenance metrological equipment

    Directory of Open Access Journals (Sweden)

    Dmitry V. Shtoller

    2011-05-01

    Full Text Available Computerization has entered into all human activities. Important role in the work now is a workstation, which increases productivity. Did not remain without attention and work of the metrological services of enterprises. Electronic records can help solve many problems for the organization of data.

  3. [Fundus autofluorescence in patients with inherited retinal diseases : Patterns of fluorescence at two different wavelengths.

    NARCIS (Netherlands)

    Theelen, T.; Boon, C.J.F.; Klevering, B.J.; Hoyng, C.B.

    2008-01-01

    BACKGROUND: Fundus autofluorescence (FAF) may be excited and measured at different wavelengths. In the present study we compared short wavelength and near-infrared FAF patterns of retinal dystrophies. METHODS: We analysed both eyes of 108 patients with diverse retinal dystrophies. Besides colour

  4. High-resolution magnetic-domain imaging by Fourier transform holography at 21 nm wavelength

    International Nuclear Information System (INIS)

    Schaffert, Stefan; Pfau, Bastian; Günther, Christian M; Schneider, Michael; Korff Schmising, Clemens von; Eisebitt, Stefan; Geilhufe, Jan

    2013-01-01

    Exploiting x-ray magnetic circular dichroism at the L-edges of 3d transition metals, Fourier transform holography has become a standard technique to investigate magnetic samples with sub-100 nm spatial resolution. Here, magnetic imaging in the 21 nm wavelength regime using M-edge circular dichroism is demonstrated. Ultrafast pulses in this wavelength regime are increasingly available from both laser- and accelerator-driven soft x-ray sources. We explain the adaptations concerning sample preparation and data evaluation compared to conventional holography in the 1 nm wavelength range. We find the correction of the Fourier transform hologram to in-plane Fourier components to be critical for high-quality reconstruction and demonstrate 70 nm spatial resolution in magnetization imaging with this approach. (paper)

  5. Triangular metal wedges for subwavelength plasmon-polariton guiding at telecom wavelengths

    DEFF Research Database (Denmark)

    Boltasseva, Alexandra; Volkov, V.S.; Nielsen, Rasmus Bundgaard

    2008-01-01

    We report on subwavelength plasmon-polariton guiding by triangular metal wedges at telecom wavelengths. A high-quality fabrication procedure for making gold wedge waveguides, which is also mass- production compatible offering large-scale parallel fabrication of plasmonic components, is developed...

  6. Tools intented to nuclear metrology

    International Nuclear Information System (INIS)

    Munayco Tasayco, A.F.

    1980-08-01

    The study undertaken in the metrological laboratory of the C.E.N. Saclay Electronics Services is intended to improve the measurement methods in two fields concerning nuclear instrumentation: the current's measurement in the range 1pA to 0,01 pA and the study of a measurement's system for the linear circuits used in spectrometer gamma ray with semiconductor. Two systems are now working. Its permit an improvement of precision measurement, an automation of the measurement process and many possibilities in the choice of parameters and the laying-out of results [fr

  7. Primary calibration in acoustics metrology

    International Nuclear Information System (INIS)

    Milhomem, T A Bacelar; Soares, Z M Defilippo

    2015-01-01

    SI unit in acoustics is realized by the reciprocity calibrations of laboratory standard microphones in pressure field, free field and diffuse field. Calibrations in pressure field and in free field are already consolidated and the Inmetro already done them. Calibration in diffuse field is not yet consolidated, however, some national metrology institutes, including Inmetro, are conducting researches on this subject. This paper presents the reciprocity calibration, the results of Inmetro in recent key comparisons and the research that is being developed for the implementation of reciprocity calibration in diffuse field

  8. A focal plane metrology system and PSF centroiding experiment

    Science.gov (United States)

    Li, Haitao; Li, Baoquan; Cao, Yang; Li, Ligang

    2016-10-01

    In this paper, we present an overview of a detector array equipment metrology testbed and a micro-pixel centroiding experiment currently under development at the National Space Science Center, Chinese Academy of Sciences. We discuss on-going development efforts aimed at calibrating the intra-/inter-pixel quantum efficiency and pixel positions for scientific grade CMOS detector, and review significant progress in achieving higher precision differential centroiding for pseudo star images in large area back-illuminated CMOS detector. Without calibration of pixel positions and intrapixel response, we have demonstrated that the standard deviation of differential centroiding is below 2.0e-3 pixels.

  9. Elements for successful sensor-based process control {Integrated Metrology}

    International Nuclear Information System (INIS)

    Butler, Stephanie Watts

    1998-01-01

    Current productivity needs have stimulated development of alternative metrology, control, and equipment maintenance methods. Specifically, sensor applications provide the opportunity to increase productivity, tighten control, reduce scrap, and improve maintenance schedules and procedures. Past experience indicates a complete integrated solution must be provided for sensor-based control to be used successfully in production. In this paper, Integrated Metrology is proposed as the term for an integrated solution that will result in a successful application of sensors for process control. This paper defines and explores the perceived four elements of successful sensor applications: business needs, integration, components, and form. Based upon analysis of existing successful commercially available controllers, the necessary business factors have been determined to be strong, measurable industry-wide business needs whose solution is profitable and feasible. This paper examines why the key aspect of integration is the decision making process. A detailed discussion is provided of the components of most importance to sensor based control: decision-making methods, the 3R's of sensors, and connectivity. A metric for one of the R's (resolution) is proposed to allow focus on this important aspect of measurement. A form for these integrated components which synergistically partitions various aspects of control at the equipment and MES levels to efficiently achieve desired benefits is recommended

  10. Elements for successful sensor-based process control {Integrated Metrology}

    Science.gov (United States)

    Butler, Stephanie Watts

    1998-11-01

    Current productivity needs have stimulated development of alternative metrology, control, and equipment maintenance methods. Specifically, sensor applications provide the opportunity to increase productivity, tighten control, reduce scrap, and improve maintenance schedules and procedures. Past experience indicates a complete integrated solution must be provided for sensor-based control to be used successfully in production. In this paper, Integrated Metrology is proposed as the term for an integrated solution that will result in a successful application of sensors for process control. This paper defines and explores the perceived four elements of successful sensor applications: business needs, integration, components, and form. Based upon analysis of existing successful commercially available controllers, the necessary business factors have been determined to be strong, measurable industry-wide business needs whose solution is profitable and feasible. This paper examines why the key aspect of integration is the decision making process. A detailed discussion is provided of the components of most importance to sensor based control: decision-making methods, the 3R's of sensors, and connectivity. A metric for one of the R's (resolution) is proposed to allow focus on this important aspect of measurement. A form for these integrated components which synergistically partitions various aspects of control at the equipment and MES levels to efficiently achieve desired benefits is recommended.

  11. X-ray metrology of an array of active edge pixel sensors for use at synchrotron light sources

    Science.gov (United States)

    Plackett, R.; Arndt, K.; Bortoletto, D.; Horswell, I.; Lockwood, G.; Shipsey, I.; Tartoni, N.; Williams, S.

    2018-01-01

    We report on the production and testing of an array of active edge silicon sensors as a prototype of a large array. Four Medipix3RX.1 chips were bump bonded to four single chip sized Advacam active edge n-on-n sensors. These detectors were then mounted into a 2 by 2 array and tested on B16 at Diamond Light Source with an x-ray beam spot of 2um. The results from these tests, compared with optical metrology demonstrate that this type of sensor is sensitive to the physical edge of the silicon, with only a modest loss of efficiency in the final two rows of pixels. We present the efficiency maps recorded with the microfocus beam and a sample powder diffraction measurement. These results give confidence that this sensor technology can be used effectively in larger arrays of detectors at synchrotron light sources.

  12. Intra-Day Variability of Sagittarius A* at Multi-Wavelengths Z. Q. ...

    Indian Academy of Sciences (India)

    detect the weak counterpart of Sgr A* at NIR/IR wavelength. Genzel et al. ... of QPO activity is still hotly debated mainly because of the low signal-noise ratio ... 7 mm light curves could be fitted simultaneously with the expanding plasmon model.

  13. Reflectance Tuning at Extreme Ultraviolet (EUV) Wavelengths with Active Multilayer Mirrors

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Lee, Christopher James; van Goor, F.A.; Koster, Gertjan; Rijnders, Augustinus J.H.M.; Bijkerk, Frederik

    2011-01-01

    At extreme ultraviolet (EUV) wavelengths the refractive power of transmission type optical components is limited, therefore reflective components are used. Reflective optics (multilayer mirrors) usually consist of many bilayers and each bilayer is composed of a high and a low refractive index

  14. Infrared presensitization photography at deuterium fluoride laser wavelengths

    International Nuclear Information System (INIS)

    Geary, J.M.; Ross, K.; Suter, K.

    1989-01-01

    Near-field irradiance distributions of a deuterium flouride laser system are obtained using infrared presensitization photography. This represents the shortest wavelength region to employ this technique thus far

  15. Phase-locking to a free-space terahertz comb for metrological-grade terahertz lasers.

    Science.gov (United States)

    Consolino, L; Taschin, A; Bartolini, P; Bartalini, S; Cancio, P; Tredicucci, A; Beere, H E; Ritchie, D A; Torre, R; Vitiello, M S; De Natale, P

    2012-01-01

    Optical frequency comb synthesizers have represented a revolutionary approach to frequency metrology, providing a grid of frequency references for any laser emitting within their spectral coverage. Extending the metrological features of optical frequency comb synthesizers to the terahertz domain would be a major breakthrough, due to the widespread range of accessible strategic applications and the availability of stable, high-power and widely tunable sources such as quantum cascade lasers. Here we demonstrate phase-locking of a 2.5 THz quantum cascade laser to a free-space comb, generated in a LiNbO(3) waveguide and covering the 0.1-6 THz frequency range. We show that even a small fraction (quantum cascade laser is sufficient to generate a beat note suitable for phase-locking to the comb, paving the way to novel metrological-grade terahertz applications, including high-resolution spectroscopy, manipulation of cold molecules, astronomy and telecommunications.

  16. Substitution determination of Fmoc-substituted resins at different wavelengths.

    Science.gov (United States)

    Eissler, Stefan; Kley, Markus; Bächle, Dirk; Loidl, Günther; Meier, Thomas; Samson, Daniel

    2017-10-01

    In solid-phase peptide synthesis, the nominal batch size is calculated using the starting resin substitution and the mass of the starting resin. The starting resin substitution constitutes the basis for the calculation of a whole set of important process parameters, such as the number of amino acid derivative equivalents. For Fmoc-substituted resins, substitution determination is often performed by suspending the Fmoc-protected starting resin in 20% (v/v) piperidine in DMF to generate the dibenzofulvene-piperidine adduct that is quantified by ultraviolet-visible spectroscopy. The spectrometric measurement is performed at the maximum absorption wavelength of the dibenzofulvene-piperidine adduct, that is, at 301.0 nm. The recorded absorption value, the resin weight and the volume are entered into an equation derived from Lambert-Beer's law, together with the substance-specific molar absorption coefficient at 301.0 nm, in order to calculate the nominal substitution. To our knowledge, molar absorption coefficients between 7100 l mol -1  cm -1 and 8100 l mol -1  cm -1 have been reported for the dibenzofulvene-piperidine adduct at 301.0 nm. Depending on the applied value, the nominal batch size may differ up to 14%. In this publication, a determination of the molar absorption coefficients at 301.0 and 289.8 nm is reported. Furthermore, proof is given that by measuring the absorption at 289.8 nm the impact of wavelength accuracy is reduced. © 2017 The Authors Journal of Peptide Science published by European Peptide Society and John Wiley & Sons Ltd. © 2017 The Authors Journal of Peptide Science published by European Peptide Society and John Wiley & Sons Ltd.

  17. Quantifying Human Response: Linking metrological and psychometric characterisations of Man as a Measurement Instrument

    International Nuclear Information System (INIS)

    Pendrill, L R; Fisher, William P Jr

    2013-01-01

    A better understanding of how to characterise human response is essential to improved person-centred care and other situations where human factors are crucial. Challenges to introducing classical metrological concepts such as measurement uncertainty and traceability when characterising Man as a Measurement Instrument include the failure of many statistical tools when applied to ordinal measurement scales and a lack of metrological references in, for instance, healthcare. The present work attempts to link metrological and psychometric (Rasch) characterisation of Man as a Measurement Instrument in a study of elementary tasks, such as counting dots, where one knows independently the expected value because the measurement object (collection of dots) is prepared in advance. The analysis is compared and contrasted with recent approaches to this problem by others, for instance using signal error fidelity

  18. Spin-wave wavelength down-conversion at thickness steps

    Science.gov (United States)

    Stigloher, Johannes; Taniguchi, Takuya; Madami, Marco; Decker, Martin; Körner, Helmut S.; Moriyama, Takahiro; Gubbiotti, Gianluca; Ono, Teruo; Back, Christian H.

    2018-05-01

    We report a systematic experimental study on the refraction and reflection of magnetostatic spin-waves at a thickness step between two Permalloy films of different thickness. The transmitted spin-waves for the transition from a thick film to a thin film have a higher wave vector compared to the incoming waves. Consequently, such systems may find use as passive wavelength transformers in magnonic networks. We investigate the spin-wave transmission behavior by studying the influence of the external magnetic field, incident angle, and thickness ratio of the films using time-resolved scanning Kerr microscopy and micro-focused Brillouin light scattering.

  19. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad

    Energy Technology Data Exchange (ETDEWEB)

    Alcock, Simon G., E-mail: simon.alcock@diamond.ac.uk; Nistea, Ioana; Sawhney, Kawal [Diamond Light Source Ltd., Harwell Science and Innovation Campus, Didcot, Oxfordshire OX11 0DE (United Kingdom)

    2016-05-15

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM’s autocollimator adds into the overall measured value of the mirror’s slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.

  20. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad

    International Nuclear Information System (INIS)

    Alcock, Simon G.; Nistea, Ioana; Sawhney, Kawal

    2016-01-01

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM’s autocollimator adds into the overall measured value of the mirror’s slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.

  1. Nano-metrology: The art of measuring X-ray mirrors with slope errors <100 nrad.

    Science.gov (United States)

    Alcock, Simon G; Nistea, Ioana; Sawhney, Kawal

    2016-05-01

    We present a comprehensive investigation of the systematic and random errors of the nano-metrology instruments used to characterize synchrotron X-ray optics at Diamond Light Source. With experimental skill and careful analysis, we show that these instruments used in combination are capable of measuring state-of-the-art X-ray mirrors. Examples are provided of how Diamond metrology data have helped to achieve slope errors of <100 nrad for optical systems installed on synchrotron beamlines, including: iterative correction of substrates using ion beam figuring and optimal clamping of monochromator grating blanks in their holders. Simulations demonstrate how random noise from the Diamond-NOM's autocollimator adds into the overall measured value of the mirror's slope error, and thus predict how many averaged scans are required to accurately characterize different grades of mirror.

  2. EMRP JRP MetNH3: Towards a Consistent Metrological Infrastructure for Ammonia Measurements in Ambient Air

    Science.gov (United States)

    Leuenberger, Daiana; Balslev-Harder, David; Braban, Christine F.; Ebert, Volker; Ferracci, Valerio; Gieseking, Bjoern; Hieta, Tuomas; Martin, Nicholas A.; Pascale, Céline; Pogány, Andrea; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. In addition to its acidifying effect on natural waters and soils and to the additional nitrogen input to ecosystems, ammonia is an important precursor for secondary aerosol formation in the atmosphere. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation regarding certified reference material (CRM), applicable analytical methods, measurement uncertainty, quality assurance and quality control (QC/QA) procedures as well as in the infrastructure to attain metrological traceability. As shown in a key comparison in 2007, there are even discrepancies between reference materials provided by European National Metrology Institutes (NMIs) at amount fraction levels up to three orders of magnitude higher than ambient air levels. MetNH3 (Metrology for ammonia in ambient air), a three-year project that started in June 2014 in the framework of the European Metrology Research Programme (EMRP), aims to reduce the gap between requirements set by the European emission regulations and state-of-the-art of analytical methods and reference materials. The overarching objective of the JRP is to achieve metrological traceability for ammonia measurements in ambient air from primary certified reference material CRM and instrumental standards to the field level. This requires the successful completion of the three main goals, which have been assigned to three technical work packages: To develop improved reference gas mixtures by static and dynamic gravimetric generation methods Realisation and characterisation of traceable preparative calibration standards (in pressurised cylinders as well as mobile generators) of ammonia amount fractions similar to those in ambient air based on existing methods for other reactive analytes. The

  3. Metrological data and risk assessment in France during the Chernobyl accident (26 april 1986)

    International Nuclear Information System (INIS)

    Galle, P.; Paulin, R.; Coursaget, J.

    2005-01-01

    Three world famous radio biologists have presented in june 2003 a communication entitled ' metrological data and risk assessment in France during the Chernobyl accident. Historical statement'. This text is published at the tome 326, fsc. 8, page 699-715 at the 'Comptes Rendus de Biologie de l'Academie'. The digest is presented here. (N.C.)

  4. A metrology solution for the orthopaedic industry

    International Nuclear Information System (INIS)

    Bills, P; Brown, L; Jiang, X; Blunt, L

    2005-01-01

    Total joint replacement is one of the most common elective surgical procedures performed worldwide, with an estimate of 1.5 million operations performed annually. Currently joint replacements are expected to function for 10-15 years, however, with an increase in life expectancy, and a greater call for knee replacement due to increased activity levels, there is a requirement to improve their function to offer longer term improved quality of life for patients. The amount of wear that a joint incurs is seen as a good indicator of performance, with higher wear rates typically leading to reduced function and premature failure. New technologies and materials are pushing traditional wear assessment methods to their limits, and novel metrology solutions are required to assess wear of joints following in vivo and in vitro use. This paper presents one such measurement technique; a scanning co-ordinate metrology machine for geometrical assessment. A case study is presented to show the application of this technology to a real orthopaedic measurement problem: the wear of components in total knee replacement. This technique shows good results and provides a basis for further developing techniques for geometrical wear assessment of total joint replacements

  5. ATOMIC DATA FOR ABSORPTION-LINES FROM THE GROUND-LEVEL AT WAVELENGTHS GREATER-THAN-228-ANGSTROM

    NARCIS (Netherlands)

    VERNER, DA; BARTHEL, PD; TYTLER, D

    1994-01-01

    We list wavelengths, statistical weigths and oscillator strengths for 2249 spectral lines arising from the ground states of atoms and ions. The compilation covers all wavelengths longward of the HeII Lyman limit at 227.838 Angstrom and all the ion states of all elements from hydrogen to bismuth (Z =

  6. Overview of laser experimental program at Centre d'Etudes de Limeil-Valenton

    International Nuclear Information System (INIS)

    Decroisette, M.

    1985-11-01

    In the last four years a great deal of the efforts developed at CEL-V on the laser program concerned the improvement of the existing tools, and the construction of a new high power facility named Phebus. In the field of diagnostics, effort has been concentrated on X-ray metrology, and several actions have been undertaken to develop soft X-ray optics, high space-time resolution cameras and electronic digital readout systems. The advantages of short laser wavelengths have been emphasized for a long time, but it is lately that high efficiency conversion of infrared light was made possible at important energy level with a good reliability. Experiments have been performed at CEL-V at 0.35 μm wavelength mainly devoted to hydrodynamics and energy transport studies. On another hand, X-ray emission have been widely investigated for a better understanding of both plasma energetics and atomic physics

  7. Improvement of optical damage in specialty fiber at 266 nm wavelength

    Science.gov (United States)

    Tobisch, T.; Ohlmeyer, H.; Zimmermann, H.; Prein, S.; Kirchhof, J.; Unger, S.; Belz, M.; Klein, K.-F.

    2014-02-01

    Improved multimode UV-fibers with core diameters ranging from 70 to 600 μm diameter have been manufactured based on novel preform modifications and fiber processing techniques. Only E'-centers at 214 nm and NBOHC at 260 nm are generated in these fibers. A new generation of inexpensive laser-systems have entered the market and generated a multitude of new and attractive applications in the bio-life science, chemical and material processing field. However, for example pulsed 355 nm Nd:YAG lasers generate significant UV-damages in commercially available fibers. For lower wavelengths, no results on suitable multi-mode or low-mode fibers with high UV resistance at 266 nm wavelength (pulsed 4th harmonic Nd:YAG laser) have been published. In this report, double-clad fibers with 70 μm or 100 μm core diameter and a large claddingto- core ratio will be recommended. Laser-induced UV-damages will be compared between these new fiber type and traditional UV fibers with similar core sizes. Finally, experimental results will be cross compared against broadband cw deuterium lamp damage standards.

  8. Implementation of the Brazilian radiation metrology network

    International Nuclear Information System (INIS)

    Ramos, Manoel M.O.; Araujo, Margareth M. de

    1998-01-01

    The ever increasing need for calibration of survey, personal, and contamination meters in Brazil are not completely satisfied by the two operating laboratories. To overcome this deficiency a radiation metrology network is being implemented with the support of IAEA. In a near future this network will count other three calibration laboratories which are being installed in different regions of the country, and accredited through INMETRO. (author)

  9. High-efficiency and low-loss gallium nitride dielectric metasurfaces for nanophotonics at visible wavelengths

    Science.gov (United States)

    Emani, Naresh Kumar; Khaidarov, Egor; Paniagua-Domínguez, Ramón; Fu, Yuan Hsing; Valuckas, Vytautas; Lu, Shunpeng; Zhang, Xueliang; Tan, Swee Tiam; Demir, Hilmi Volkan; Kuznetsov, Arseniy I.

    2017-11-01

    The dielectric nanophotonics research community is currently exploring transparent material platforms (e.g., TiO2, Si3N4, and GaP) to realize compact high efficiency optical devices at visible wavelengths. Efficient visible-light operation is key to integrating atomic quantum systems for future quantum computing. Gallium nitride (GaN), a III-V semiconductor which is highly transparent at visible wavelengths, is a promising material choice for active, nonlinear, and quantum nanophotonic applications. Here, we present the design and experimental realization of high efficiency beam deflecting and polarization beam splitting metasurfaces consisting of GaN nanostructures etched on the GaN epitaxial substrate itself. We demonstrate a polarization insensitive beam deflecting metasurface with 64% and 90% absolute and relative efficiencies. Further, a polarization beam splitter with an extinction ratio of 8.6/1 (6.2/1) and a transmission of 73% (67%) for p-polarization (s-polarization) is implemented to demonstrate the broad functionality that can be realized on this platform. The metasurfaces in our work exhibit a broadband response in the blue wavelength range of 430-470 nm. This nanophotonic platform of GaN shows the way to off- and on-chip nonlinear and quantum photonic devices working efficiently at blue emission wavelengths common to many atomic quantum emitters such as Ca+ and Sr+ ions.

  10. The Dynamic Radio Sky: Future Directions at cm/m-Wavelengths

    Science.gov (United States)

    Bower, Geoffrey C.; Cordes, J.; Croft, S.; Lazio, J.; Lorimer, D.; McLaughlin, M.

    2009-01-01

    The time domain of the radio wavelength sky has been only sparsely explored. Nevertheless, recent discoveries from limited surveys and serendipitous discoveries indicate that there is much to be found on timescales from nanoseconds to years and at wavelengths from meters to millimeters. These observations have revealed unexpected phenonmena such as rotating radio transients and coherent pulses from brown dwarfs. Additionally, archival studies have revealed an unknown class of radio transients without radio, optical, or high-energy hosts. The current generation of new meter- and centimeter-wave radio telescopes such as the MWA, LWA, PAPER, and ATA will exploit wide fields of view and flexible digital signal processing to systematically explore radio transient parameter space, as well as lay the scientific and technical foundation for the SKA. Known unknowns that will be the target of future transient surveys include orphan gamma-ray burst afterglows, radio supernovae, tidally-disrupted stars, flare stars, and magnetars.

  11. Sub-wavelength imaging at radio frequency

    International Nuclear Information System (INIS)

    Wiltshire, M C K; Pendry, J B; Hajnal, J V

    2006-01-01

    A slab of material with a negative permeability can act as a super-lens for magnetic fields and generate images with a sub-wavelength resolution. We have constructed an effective medium using a metamaterial with negative permeability in the region of 24 MHz, and used this to form images in free space of radio frequency magnetic sources. Measurements of these images show that a resolution of approximately λ/64 has been achieved, consistent with both analytical and numerical predictions. (letter to the editor)

  12. CONFERENCE NOTE: European Optical Society, Topical Meeting Optical Metrology and Nanotechnology, Engelberg, Switzerland, 27 30 March 1994

    Science.gov (United States)

    1993-01-01

    This meeting, organized by the Paul Scherrer Institute's Department of Applied Solid State Physics, will be held from 27 30 March 1994 at the Hotel Regina-Titlis, Engelberg, Switzerland. The aim is to bring together scientists from two important fields of current research and increasing industrial relevance. Optical metrology is a traditional discipline of applied optics which reached the nanometre scale a long time ago. Nanotechnology is setting new limits and represents a major challenge to metrology, as well as offering new opportunities to optics. The meeting is intended to help define a common future for optical metrology and nanotechnology. Topics to be covered include: nanometre position control and measuring techniques ultrahigh precision interferometry scanning probe microscopy (AFM, SNOM, etc.) surface modification by scanning probe methods precision surface fabrication and characterization nanolithography micro-optics, diffractive optics components, including systems and applications subwavelength optical structures synthetic optical materials structures and technologies for X-ray optics. For further information please contact: Jens Gobrecht (Secretary), Paul Scherrer Institute, CH-5232 Villigen-PSI, Switzerland.Tel. (41)56992529; Fax (41) 5698 2635.

  13. Breakthrough In Current In Plane Metrology For Monitoring Large Scale MRAM Production

    DEFF Research Database (Denmark)

    Cagliani, Alberto; Østerberg, Frederik Westergaard; Hansen, Ole

    2017-01-01

    The current-in-plane tunneling technique (CIPT) has been a crucial tool in the development of magnetic tunnel junction stacks suitable for Magnetic Random Access Memories (MRAM) for more than a decade. The MRAM development has now reached the maturity to make the transition from R&D to large...... of the Resistance Area product (RA) and the Tunnel Magnetoresistance (TMR) measurements, compared to state of the art CIPT metrology tools dedicated to R&D. On two test wafers, the repeatability of RA and MR was improved up to 350% and the measurement reproducibility up to 1700%. We believe that CIPT metrology now...

  14. Metrology of human-based and other qualitative measurements

    Science.gov (United States)

    Pendrill, Leslie; Petersson, Niclas

    2016-09-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  15. Metrology of human-based and other qualitative measurements

    International Nuclear Information System (INIS)

    Pendrill, Leslie; Petersson, Niclas

    2016-01-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  16. Passively synchronized dual-wavelength Q-switched lasers

    DEFF Research Database (Denmark)

    Janousek, Jiri; Tidemand-Lichtenberg, Peter; Mortensen, Jesper Liltorp

    We present a simple and efficient way of generating synchronized Q-switched pulses at wavelengths hundreds of nanometers apart. This principle can result in new pulsed all-solid-state light sources at new wavelengths based on SFG.......We present a simple and efficient way of generating synchronized Q-switched pulses at wavelengths hundreds of nanometers apart. This principle can result in new pulsed all-solid-state light sources at new wavelengths based on SFG....

  17. Metrology for environment and climate; Metrologie fuer Umwelt und Klima

    Energy Technology Data Exchange (ETDEWEB)

    Sommer, Klaus-Dieter [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Abt. ' Chemische Physik und Explosionsschutz' ; Spitzer, Petra [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Arbeitsgruppe ' Elektrochemie'

    2012-12-15

    The author describes the observation and monitoring systems developed by the EU and the Federal Republic of Germany. In this connection the metrological aims are described in connection with the activities of the PTB. (HSI)

  18. INNOVATIVE NON-CONTACT METROLOGY SOLUTIONS FOR LARGE OPTICAL TELESCOPES, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  19. High Performance Computing-Accelerated Metrology for Large Optical Telescopes, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  20. Sub-50 nm metrology on extreme ultra violet chemically amplified resist—A systematic assessment

    International Nuclear Information System (INIS)

    Maas, D. J.; Herfst, R.; Veldhoven, E. van; Fliervoet, T.; Meessen, J.; Vaenkatesan, V.; Sadeghian, H.

    2015-01-01

    With lithographic patterning dimensions decreasing well below 50 nm, it is of high importance to understand metrology at such small scales. This paper presents results obtained from dense arrays of contact holes (CHs) with various Critical Dimension (CD) between 15 and 50 nm, as patterned in a chemically amplified resist using an ASML EUV scanner and measured at ASML and TNO. To determine the differences between various (local) CD metrology techniques, we conducted an experiment using optical scatterometry, CD-Scanning Electron Microscopy (CD-SEM), Helium ion Microscopy (HIM), and Atomic Force Microscopy (AFM). CD-SEM requires advanced beam scan strategies to mitigate sample charging; the other tools did not need that. We discuss the observed main similarities and differences between the various techniques. To this end, we assessed the spatial frequency content in the raw images for SEM, HIM, and AFM. HIM and AFM resolve the highest spatial frequencies, which are attributed to the more localized probe-sample interaction for these techniques. Furthermore, the SEM, HIM, and AFM waveforms are analyzed in detail. All techniques show good mutual correlation, albeit the reported CD values systematically differ significantly. HIM systematically reports a 25% higher CD uniformity number than CD-SEM for the same arrays of CHs, probably because HIM has a higher resolution than the CD-SEM used in this assessment. A significant speed boost for HIM and AFM is required before these techniques are to serve the demanding industrial metrology applications like optical critical dimension and CD-SEM do nowadays

  1. Establishment of a computer-controlled retroreflection measurement system at the National Metrology Institute of Turkey (UME)

    International Nuclear Information System (INIS)

    Samedov, Farhad; Celikel, Oguz; Bazkir, Ozcan

    2005-01-01

    In order to characterize photometric properties of retroreflectors, a fully automated retroreflector measurement system is designed in National Metrology Institute of Turkey (UME). The system is composed of a lighting projector, a goniometer, filter radiometers, 100 dB transimpedance amplifiers, and 24-bit resolution analog-digital converter card with a special software. The established system provides a new calibration capability to determine the luminous intensity and retroreflection coefficients of the retroreflective materials with the expanded uncertainties of 1.07% and 1.13% (k=2), respectively. The traceability in retroreflection measurements was linked to the detector-based photometric scale of UME

  2. MIT wavelength tables. Volume 2. Wavelengths by element

    International Nuclear Information System (INIS)

    Phelps, F.M. III.

    1982-01-01

    This volume is the first stage of a project to expand and update the MIT wavelength tables first compiled in the 1930's. For 109,325 atomic emission lines, arranged by element, it presents wavelength in air, wavelength in vacuum, wave number and intensity. All data are stored on computer-readable magnetic tape

  3. Comparison of Molecular Iodine Spectral Properties at 514.7 and 532 nm Wavelengths

    Czech Academy of Sciences Publication Activity Database

    Hrabina, Jan; Acef, O.; Du Burck, F.; Chiodo, N.; Candela, Y.; Šarbort, Martin; Holá, Miroslava; Lazar, Josef

    2014-01-01

    Roč. 14, č. 4 (2014), s. 213-218 ISSN 1335-8871 R&D Projects: GA ČR GPP102/11/P820; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01; GA MŠk EE2.4.31.0016; GA TA ČR TA02010711; GA TA ČR TA01010995; GA TA ČR TE01020233 Institutional support: RVO:68081731 Keywords : laser spectroscopy * metrology * molecular iodine * absorption cells * frequency doubling * nterferometry Subject RIV: BH - Optics, Masers, Lasers Impact factor: 0.989, year: 2014

  4. The need for LWR metrology standardization: the imec roughness protocol

    Science.gov (United States)

    Lorusso, Gian Francesco; Sutani, Takumichi; Rutigliani, Vito; van Roey, Frieda; Moussa, Alain; Charley, Anne-Laure; Mack, Chris; Naulleau, Patrick; Constantoudis, Vassilios; Ikota, Masami; Ishimoto, Toru; Koshihara, Shunsuke

    2018-03-01

    As semiconductor technology keeps moving forward, undeterred by the many challenges ahead, one specific deliverable is capturing the attention of many experts in the field: Line Width Roughness (LWR) specifications are expected to be less than 2nm in the near term, and to drop below 1nm in just a few years. This is a daunting challenge and engineers throughout the industry are trying to meet these targets using every means at their disposal. However, although current efforts are surely admirable, we believe they are not enough. The fact is that a specification has a meaning only if there is an agreed methodology to verify if the criterion is met or not. Such a standardization is critical in any field of science and technology and the question that we need to ask ourselves today is whether we have a standardized LWR metrology or not. In other words, if a single reference sample were provided, would everyone measuring it get reasonably comparable results? We came to realize that this is not the case and that the observed spread in the results throughout the industry is quite large. In our opinion, this makes the comparison of LWR data among institutions, or to a specification, very difficult. In this paper, we report the spread of measured LWR data across the semiconductor industry. We investigate the impact of image acquisition, measurement algorithm, and frequency analysis parameters on LWR metrology. We review critically some of the International Technology Roadmap for Semiconductors (ITRS) metrology guidelines (such as measurement box length larger than 2μm and the need to correct for SEM noise). We compare the SEM roughness results to AFM measurements. Finally, we propose a standardized LWR measurement protocol - the imec Roughness Protocol (iRP) - intended to ensure that every time LWR measurements are compared (from various sources or to specifications), the comparison is sensible and sound. We deeply believe that the industry is at a point where it is

  5. Enabling Quantitative Optical Imaging for In-die-capable Critical Dimension Targets

    Science.gov (United States)

    Barnes, B.M.; Henn, M.-A.; Sohn, M. Y.; Zhou, H.; Silver, R. M.

    2017-01-01

    Dimensional scaling trends will eventually bring semiconductor critical dimensions (CDs) down to only a few atoms in width. New optical techniques are required to address the measurement and variability for these CDs using sufficiently small in-die metrology targets. Recently, Qin et al. [Light Sci Appl, 5, e16038 (2016)] demonstrated quantitative model-based measurements of finite sets of lines with features as small as 16 nm using 450 nm wavelength light. This paper uses simulation studies, augmented with experiments at 193 nm wavelength, to adapt and optimize the finite sets of features that work as in-die-capable metrology targets with minimal increases in parametric uncertainty. A finite element based solver for time-harmonic Maxwell's equations yields two- and three-dimensional simulations of the electromagnetic scattering for optimizing the design of such targets as functions of reduced line lengths, fewer number of lines, fewer focal positions, smaller critical dimensions, and shorter illumination wavelength. Metrology targets that exceeded performance requirements are as short as 3 μm for 193 nm light, feature as few as eight lines, and are extensible to sub-10 nm CDs. Target areas measured at 193 nm can be fifteen times smaller in area than current state-of-the-art scatterometry targets described in the literature. This new methodology is demonstrated to be a promising alternative for optical model-based in-die CD metrology. PMID:28757674

  6. Wavelength converter technology

    DEFF Research Database (Denmark)

    Kloch, Allan; Hansen, Peter Bukhave; Poulsen, Henrik Nørskov

    1999-01-01

    Wavelength conversion is important since it ensures full flexibility of the WDM network layer. Progress in optical wavelength converter technology is reviewed with emphasis on all-optical wavelength converter types based on semiconductor optical amplifiers.......Wavelength conversion is important since it ensures full flexibility of the WDM network layer. Progress in optical wavelength converter technology is reviewed with emphasis on all-optical wavelength converter types based on semiconductor optical amplifiers....

  7. Development of the metrology and imaging of cellulose nanocrystals

    International Nuclear Information System (INIS)

    Postek, Michael T; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J; Sabo, Ronald; Wegner, Theodore H; Beecher, James

    2011-01-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs

  8. Development of the metrology and imaging of cellulose nanocrystals

    Science.gov (United States)

    Postek, Michael T.; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J.; Sabo, Ronald; Wegner, Theodore H.; Beecher, James

    2011-02-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs.

  9. High-Sensitivity AGN Polarimetry at Sub-Millimeter Wavelengths

    Directory of Open Access Journals (Sweden)

    Ivan Martí-Vidal

    2017-10-01

    Full Text Available The innermost regions of radio loud Active Galactic Nuclei (AGN jets are heavily affected by synchrotron self-absorption, due to the strong magnetic fields and high particle densities in these extreme zones. The only way to overcome this absorption is to observe at sub-millimeter wavelengths, although polarimetric observations at such frequencies have so far been limited by sensitivity and calibration accuracy. However, new generation instruments such as the Atacama Large mm/sub-mm Array (ALMA overcome these limitations and are starting to deliver revolutionary results in the observational studies of AGN polarimetry. Here we present an overview of our state-of-the-art interferometric mm/sub-mm polarization observations of AGN jets with ALMA (in particular, the gravitationally-lensed sources PKS 1830−211 and B0218+359, which allow us to probe the magneto-ionic conditions at the regions closest to the central black holes.

  10. Negative refraction by a planar Ag/SiO2 multilayer at ultraviolet wavelength to the limit of silver

    Directory of Open Access Journals (Sweden)

    J. Zhao

    2014-04-01

    Full Text Available For planar structured hyperbolic metamaterial, the shortest wavelength achievable for negative refraction is often limited by dielectric layers, which are usually wide band gap semiconductors that absorb light strongly at wavelength shorter than their absorption edge. Here we proposed that using SiO2 may break such limitation based on effective medium theory. Through calculation and simulation we demonstrated broad angle negative refraction by a planar Ag/SiO2 layered structure at wavelength down to 326 nm. Its imaging and focusing abilities were also presented. The lower limit of wavelength here is defined by the property of silver, whose permittivity turns positive below 324 nm.

  11. Comparison of Molecular Iodine Spectral Properties at 514.7 and 532 nm Wavelengths

    Directory of Open Access Journals (Sweden)

    Hrabina J.

    2014-08-01

    Full Text Available We present results of investigation and comparison of spectral properties of molecular iodine transitions in the spectral region of 514.7 nm that are suitable for laser frequency stabilization and metrology of length. Eight Doppler-broadened transitions that were not studied in detail before were investigated with the help of frequency doubled Yb-doped fiber laser, and three of the most promising lines were studied in detail with prospect of using them in frequency stabilization of new laser standards. The spectral properties of hyperfine components (linewidths, signal-to-noise ratio were compared with transitions that are well known and traditionally used for stabilization of frequency doubled Nd:YAG laser at the 532 nm region with the same molecular iodine absorption. The external frequency doubling arrangement with waveguide crystal and the Yb-doped fiber laser is also briefly described together with the observed effect of laser aging.

  12. Effects of in situ plasma treatment on optical and electrical properties of index-matched transparent conducting oxide layer

    International Nuclear Information System (INIS)

    Lim, Yong Hwan; Yoo, Hana; Choi, Bum Ho; Kim, Young Baek; Lee, Jong Ho; Shin, Dong Chan

    2010-01-01

    We investigated the effects of in situ plasma-treatment on optical and electrical properties of index-matched indium tin oxide (IMITO) thin film. To render the IMITO-coated surface hydrophilic and study the optical and electrical characteristics, we performed in situ oxygen plasma post-treatment without breaking vacuum. The 94.6% transmittance in the visible wavelength range (400-700 nm) increased on average to 96.4% and the maximum transmittance reached 98% over a broad wavelength range. The surface roughness and sheet resistance improved from 0.9 nm and 200 Ω/sq to 0.0905 nm and 100 Ω/sq, respectively, by in situ plasma post-treatment. We confirmed by contact angle measurement that the hydrophobic IMITO surface was altered to hydrophilic. The improved optical and electrical characteristics of in situ plasma-treated IMITO makes it adequate for high-resolution liquid crystal on silicon displays.

  13. Tropospheric aerosol backscatter background mode at CO2 wavelengths

    Science.gov (United States)

    Rothermel, Jeffry; Bowdle, David A.; Menzies, Robert T.; Post, Madison J.; Vaughan, J. Michael

    1989-01-01

    A comparison is made between three climatologies of backscatter measurements in the troposphere and lower stratosphere at CO2 wavelengths. These were obtained from several locations using ground-based and airborne lidar systems. All three measurement sets show similar features, specifically, a high frequency of occurrence of low backscatter over a limited range of values in the middle and upper atmosphere (the 'background mode'). This background mode is important for the design and performance simulation of the prospective satellite sensors that rely on atmospheric aerosols as scattering targets.

  14. Cost effectiveness of in situ bioremediation at Savannah River

    International Nuclear Information System (INIS)

    Saaty, R.P.; Showalter, W.E.; Booth, S.R.

    1995-01-01

    In situ bioremediation (ISBR) is an innovative new remediation technology for the removal of chlorinated solvents from contaminated soils and groundwater. The principal contaminant at the Savannah River Integrated Demonstration is tricloroethylene (TCE) a volatile organic compound (VOC). A 384-day test run at Savannah River, sponsored by the US Department of Energy (DOE), Office of Technology Development (EM-50), furnished information about the performance and applications of ISBR. In situ bioremediation, as tested, is based on two distinct processes occurring simultaneously; the physical process of in situ air stripping and the biological process of bioremediation. Both processes have the potential to remediate some amount of contamination. A quantity of VOCs, directly measured from the extracted airstream, was removed from the test area by the physical process of air stripping. The biological process is difficult to examine. However, the results of several tests performed at the SRID and independent numerical modeling determined that the biological process remediated an additional 40% above the physical process. Given these data, the cost effectiveness of this new technology can be evaluated

  15. A method for standardizing the metrological unit of α-track

    International Nuclear Information System (INIS)

    Liang Xingzhong; Li Qingyang; Li Dianshu

    1989-01-01

    The conversion from the specialized unit of α-track into the legal metrological unit is described. A circulative method for measuring the transform coefficient is discussed. An experiment about the transform coefficient on a uranium deposit has made

  16. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    International Nuclear Information System (INIS)

    Acero, R; Pueo, M; Santolaria, J; Aguilar, J J; Brau, A

    2015-01-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures. (paper)

  17. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    Science.gov (United States)

    Acero, R.; Santolaria, J.; Pueo, M.; Aguilar, J. J.; Brau, A.

    2015-11-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures.

  18. In-situ reactive of x-ray optics by glow discharge

    International Nuclear Information System (INIS)

    Johnson, E.D.; Garrett, R.F.

    1987-01-01

    We have developed a method of in-situ reactive glow discharge cleaning of x-ray optical surfaces which is capable of complete removal of carbon contamination. Our work is the first to successfully clean an entire optical system in-situ and characterize its performance at short wavelengths (as low as 10 /angstrom/). The apparatus required is quite simple and can easily be fitted to most existing UHV (ultra high vacuum) mirror boxes of monochromators. The advantages of this technique over previously available methods include dramatic improvements in instrument performance and reductions in down time since the whole process typically takes a few days. This paper will briefly describe our results and detail the experimental considerations for application of the technique on different monochromator geometries. Possible improvements and extensions of the technique are also discussed

  19. Metrology-based control and profitability in the semiconductor industry

    Science.gov (United States)

    Weber, Charles

    2001-06-01

    This paper summarizes three studies of the semiconductor industry conducted at SEMATECH and MIT's Sloan School of Management. In conjunction they lead to the conclusion that rapid problem solving is an essential component of profitability in the semiconductor industry, and that metrology-based control is instrumental to rapid problem solving. The studies also identify the need for defect attribution. Once a source of a defect has been identified, the appropriate resources--human and technological--need to be brought into the physically optimal location for corrective action. The Internet is likely to enable effective defect attribution by inducing collaboration between different companies.

  20. Multi-wavelength Characterization of Brown and Black Carbon from Filter Samples

    Science.gov (United States)

    Johnson, M. M.; Yatavelli, R. L. N.; Chen, L. W. A. A.; Gyawali, M. S.; Arnott, W. P.; Wang, X.; Chakrabarty, R. K.; Moosmüller, H.; Watson, J. G.; Chow, J. C.

    2014-12-01

    Particulate matter (PM) scatters and absorbs solar radiation and thereby affects visibility, the Earth's radiation balance, and properties and lifetimes of clouds. Understanding the radiative forcing (RF) of PM is essential to reducing the uncertainty in total anthropogenic and natural RF. Many instruments that measure light absorption coefficients (βabs [λ], Mm-1) of PM have used light at near-infrared (NIR; e.g., 880 nm) or red (e.g., 633 nm) wavelengths. Measuring βabs over a wider wavelength range, especially including the ultraviolet (UV) and visible, allows for contributions from black carbon (BC), brown carbon (BrC), and mineral dust (MD) to be differentiated. This will help to determine PM RF and its emission sources. In this study, source and ambient samples collected on Teflon-membrane and quartz-fiber filters are used to characterize and develop a multi-wavelength (250 - 1000 nm) filter-based measurement method of PM light absorption. A commercially available UV-visible spectrometer coupled with an integrating sphere is used for quantifying diffuse reflectance and transmittance of filter samples, from which βabs and absorption Ǻngström exponents (AAE) of the PM deposits are determined. The filter-based light absorption measurements of laboratory generated soot and biomass burning aerosol are compared to 3-wavelength photoacoustic absorption measurements to evaluate filter media and loading effects. Calibration factors are developed to account for differences between filter types (Teflon-membrane vs. quartz-fiber), and between filters and in situ photoacoustic absorption values. Application of multi-spectral absorption measurements to existing archived filters, including specific source samples (e.g. diesel and gasoline engines, biomass burning, dust), will also be discussed.

  1. Two-photon interference at telecom wavelengths for time-bin-encoded single photons from quantum-dot spin qubits.

    Science.gov (United States)

    Yu, Leo; Natarajan, Chandra M; Horikiri, Tomoyuki; Langrock, Carsten; Pelc, Jason S; Tanner, Michael G; Abe, Eisuke; Maier, Sebastian; Schneider, Christian; Höfling, Sven; Kamp, Martin; Hadfield, Robert H; Fejer, Martin M; Yamamoto, Yoshihisa

    2015-11-24

    Practical quantum communication between remote quantum memories rely on single photons at telecom wavelengths. Although spin-photon entanglement has been demonstrated in atomic and solid-state qubit systems, the produced single photons at short wavelengths and with polarization encoding are not suitable for long-distance communication, because they suffer from high propagation loss and depolarization in optical fibres. Establishing entanglement between remote quantum nodes would further require the photons generated from separate nodes to be indistinguishable. Here, we report the observation of correlations between a quantum-dot spin and a telecom single photon across a 2-km fibre channel based on time-bin encoding and background-free frequency downconversion. The downconverted photon at telecom wavelengths exhibits two-photon interference with another photon from an independent source, achieving a mean wavepacket overlap of greater than 0.89 despite their original wavelength mismatch (900 and 911 nm). The quantum-networking operations that we demonstrate will enable practical communication between solid-state spin qubits across long distances.

  2. Thermoluminescence dependence on the wavelength of monochromatic UV-radiation in Cu-doped KCl and KBr at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Perez R, A.; Piters, T.; Aceves, R.; Rodriguez M, R.; Perez S, R., E-mail: rperez@cifus.uson.mx [Universidad de Sonora, Departamento de Investigaciones en Fisica, Apdo. Postal 5-088, 83190 Hermosillo, Sonora (Mexico)

    2014-08-15

    Thermoluminescence (Tl) dependence on the UV irradiation wavelengths from 200 to 500 nm in Cu-doped KCl and KBr crystals with different thermal treatment has been analyzed. Spectrum of the Tl intensity of each material show lower intensity at wavelengths longer than 420 nm. The Tl intensity depends on the irradiation wavelength. Structure of the Tl intensity spectrum of each sample is very similar to the structure of its optical absorption spectrum, indicating that at each wavelength, monochromatic radiation is absorbed to produce electronic transitions and electron hole pairs. Thermoluminescence of materials with thermal treatment at high temperature shows electron-hole trapping with less efficiency. The results show that Cu-doped alkali-halide materials are good detectors of a wide range of UV monochromatic radiations and could be used to measure UV radiation doses. (Author)

  3. Thermoluminescence dependence on the wavelength of monochromatic UV-radiation in Cu-doped KCl and KBr at room temperature

    International Nuclear Information System (INIS)

    Perez R, A.; Piters, T.; Aceves, R.; Rodriguez M, R.; Perez S, R.

    2014-08-01

    Thermoluminescence (Tl) dependence on the UV irradiation wavelengths from 200 to 500 nm in Cu-doped KCl and KBr crystals with different thermal treatment has been analyzed. Spectrum of the Tl intensity of each material show lower intensity at wavelengths longer than 420 nm. The Tl intensity depends on the irradiation wavelength. Structure of the Tl intensity spectrum of each sample is very similar to the structure of its optical absorption spectrum, indicating that at each wavelength, monochromatic radiation is absorbed to produce electronic transitions and electron hole pairs. Thermoluminescence of materials with thermal treatment at high temperature shows electron-hole trapping with less efficiency. The results show that Cu-doped alkali-halide materials are good detectors of a wide range of UV monochromatic radiations and could be used to measure UV radiation doses. (Author)

  4. Three-lambda metrology

    Science.gov (United States)

    Pfoertner, Andreas; Schwider, Johannes

    2002-06-01

    The state-of-the-art technique for measuring discontinuous surface profiles, e.g. diffractive optical elements (DOE) is white-light interferometry. Compared to single wavelength phase-shifting interferometry conventional white-light-interferometry is rather slow, because the number of frames to be evaluated is about ten times greater than in phase-shifting-interferometry. Therefore white-light-interferometry needs more memory capacity and computer time. Single wavelength phase-shifting interferometry cannot be used for the mentioned task since the order of the interference fringes cannot be determined. But if three wavelengths, e.g. a red, a green, and a blue one are used which preferably have no common factor it is possible to determine the interference order of the fringes or the absolute optical path difference (OPD) of the interferometer. The interference patterns are simultaneously recorded by a color CCD-camera having 3 separate chips. The OPD is calculated for each pixel from the three phase values mod 2π . The algorithms used and experimental results will be presented.

  5. Methodology for implementation of a national metrology net of radionuclides used in nuclear medicine

    International Nuclear Information System (INIS)

    Santos, Joyra Amaral dos

    2004-01-01

    The National Laboratory for Ionizing Radiation Metrology, of the Institute of Radiation Protection and Dosimetry, of the National Commission on Nuclear Energy (IRD/CNEN), comes leading a comparison program for activity measurements of radiopharmaceuticals administered to patients in the Nuclear Medicine Services (NMS) with the purpose to promote the quality control. This work presents a quality assurance program for the performance of such measurements, evaluated in the comparison runs between hospitals and LNMRI, under the statistic point of view and the compliment of regulatory authority norms. The performance of the radionuclides 67 Ga, 123 I, 131 I, 99m Tc and 210 Tl were evaluated and 201 TI have been standardized by absolute methods. Besides, it was established the traceability of the radioactivity standards used in nuclear medicine and a methodology for implementation of a national metrology net of radionuclides. The comparison results prove that the implementation of a radionuclide metrology net is viable, important and feasible. (author)

  6. Estudio sobre la metrología de la ceca romana de Augusta Emerita

    Directory of Open Access Journals (Sweden)

    Cebrián Sánchez, Miguel Ángel

    2006-12-01

    Full Text Available Metrology analysis of the Roman currency in Augusta Emerita has allowed to distinguish two different metrological patterns. The former is a Roman imperial; the latter is a Hispanic one, this being used until the end of the Augustan reign. From B.C. 2 on, and throughout the Tiberian reign, coinage is only carried out following the imperial pattern. This happens at a time of high coinage production in Augusta Emerita, which might probably explain the city’s urban development and embellishment heyday.Se estudia la metrología en la ceca romana –imperial y provincial- de Augusta Emerita. Dividimos el trabajo metrológico en dos grandes apartados, el primero analiza los pesos de las doce emisiones de la Mérida romana y el segundo pretende hacer una comparación con las otras cecas hispanas que, por su emisiones, están más próximas a las emeritenses. A esos dos apartados se añade una pequeña sección dedicada a la metalografía. A través de todo ello se demuestra que hubo dos sistemas metrológicos conviviendo, el republicano y el augústeo, emitiendose en Emerita y que el periodo de mayor auge de las emisiones incluye la etapa final del reinado de Augusto, post 2 a.C., y todo el reinado de Tiberio, lo que podría muy bien señalar el momento de apogeo y embellecimiento de la ciudad.

  7. Metallic nano-cavity lasers at near infrared wavelengths

    NARCIS (Netherlands)

    Hill, M.T.; Stockman, M.I.

    2009-01-01

    There has been considerable interest in nano-cavity lasers, both from a scientific perspective for investigating fundamental properties of lasers and cavities, and also to produce smaller and better lasers for low-power applications. Light confinement on a wavelength scale has been reported in

  8. Short-wavelength attenuated polychromatic white light during work at night : Limited melatonin suppression without substantial decline of alertness

    NARCIS (Netherlands)

    van de Werken, Maan; Giménez, Marina C; de Vries, Bonnie; Beersma, Domien G M; Gordijn, Marijke C M

    Exposure to light at night increases alertness, but light at night (especially short-wavelength light) also disrupts nocturnal physiology. Such disruption is thought to underlie medical problems for which shiftworkers have increased risk. In 33 male subjects we investigated whether short-wavelength

  9. In-situ bioremediation at the French Limited Site

    International Nuclear Information System (INIS)

    Woodward, R.; Ramsden, D.

    1990-01-01

    In situ biodegradation of petrochemical wastes at the French Limited Superfund Site was stimulated by providing the appropriate pH, essential nutrients, oxygen, and substrate availability. Fourteen wastewater treatment parameters, plus toxicity, were monitored to document the program of bioremediation. Periodic, organic priority pollutant analysis of mixed liquor, settled sludges and subsoils provided data for kinetics interpretation and half life calculation. The half lives of thirteen PAH compounds ranged from 27 to 46 days, in contrast to the degradation rate, in months, reported for these compounds in LTUs. An ambitious air monitoring program measured fugitive emissions at lagoon side, fenceline, and from the lagoon surface by floating flux chamber. The amount of volatiles lost never exceeded 1/2 of the OSHA 8 hr TLV and it could be readily managed by adjusting the intensity and frequency of mixing and aeration. The demonstration confirmed the feasibility of in situ bioremediation and led to one of the first US EPA Record of Decisions to use bioremediation for cleanup of a large Superfund site. A consent Decree outlining the site remedial action program was signed by the PRP task group and published in the Federal Register. This represents a landmark project for in situ bioremediation and has established precedence for use of this technology at CERCLA and RCRA sites nationwide

  10. Precision metrology of NSTX surfaces using coherent laser radar ranging

    International Nuclear Information System (INIS)

    Kugel, H.W.; Loesser, D.; Roquemore, A. L.; Menon, M. M.; Barry, R. E.

    2000-01-01

    A frequency modulated Coherent Laser Radar ranging diagnostic is being used on the National Spherical Torus Experiment (NSTX) for precision metrology. The distance (range) between the 1.5 microm laser source and the target is measured by the shift in frequency of the linearly modulated beam reflected off the target. The range can be measured to a precision of < 100microm at distances of up to 22 meters. A description is given of the geometry and procedure for measuring NSTX interior and exterior surfaces during open vessel conditions, and the results of measurements are elaborated

  11. Scientific language and metrology; El lenguaje cientificio y la metrologia

    Energy Technology Data Exchange (ETDEWEB)

    Campo Maldonado, D. del; Martin Blasco, B.; Prieto Esteban, E.

    2011-07-01

    The International System of Units (SI) reflects all the decisions and recommendations regarding units of measurement issued by the General Conference on Weights and Measures, including rules for writing the names and symbols of measurement units and for expressing the values of quantities. Even though the SI is internationally accepted and is the declared legal system whose use is obligatory in Spain, the Spanish Metrology Centre has been detecting an incorrect use of the units of measurement both in textbooks at all levels and in scientific articles. (Author) 5 refs.

  12. High-Resolution Detector for At-Wavelength Metrology of X-Ray Optics, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Since the launch of the first X-ray focusing telescope in 1963, the development of grazing incidence X-ray optics has been crucial to the development of the field of...

  13. High-Resolution Detector for At-Wavelength Metrology of X-Ray Optics, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Since the launch of the first X-ray focusing telescope in 1963, the development of grazing incidence X-ray optics has been crucial to the development of the field of...

  14. In Situ Study of Thermal Stability of Copper Oxide Nanowires at Anaerobic Environment

    Directory of Open Access Journals (Sweden)

    Lihui Zhang

    2014-01-01

    Full Text Available Many metal oxides with promising electrochemical properties were developed recently. Before those metal oxides realize the use as an anode in lithium ion batteries, their thermal stability at anaerobic environment inside batteries should be clearly understood for safety. In this study, copper oxide nanowires were investigated as an example. Several kinds of in situ experiment methods including in situ optical microscopy, in situ Raman spectrum, and in situ transmission electron microscopy were adopted to fully investigate their thermal stability at anaerobic environment. Copper oxide nanowires begin to transform as copper(I oxide at about 250°C and finish at about 400°C. The phase transformation proceeds with a homogeneous nucleation.

  15. Adhesive Bonding for Optical Metrology Systems in Space Applications

    International Nuclear Information System (INIS)

    Gohlke, Martin; Schuldt, Thilo; Braxmaier, Claus; Döringshoff, Klaus; Peters, Achim; Johann, Ulrich; Weise, Dennis

    2015-01-01

    Laser based metrology systems become more and more attractive for space applications and are the core elements of planned missions such as LISA (NGO, eLISA) or NGGM where laser interferometry is used for distance measurements between satellites. The GRACE-FO mission will for the first time demonstrate a Laser Ranging Instrument (LRI) in space, starting 2017. Laser based metrology also includes optical clocks/references, either as ultra-stable light source for high sensitivity interferometry or as scientific payload e.g. proposed in fundamental physics missions such as mSTAR (mini SpaceTime Asymmetry Research), a mission dedicated to perform a Kennedy-Thorndike experiment on a satellite in a low-Earth orbit. To enable the use of existing optical laboratory setups, optimization with respect to power consumption, weight and dimensions is necessary. At the same time the thermal and structural stability must be increased. Over the last few years we investigated adhesive bonding of optical components to thermally highly stable glass ceramics as an easy-to-handle assembly integration technology. Several setups were implemented and tested for potential later use in space applications. We realized a heterodyne LISA related interferometer with demonstrated noise levels in the pm-range for translation measurement and nano-radiant-range for tilt measurements and two iodine frequency references on Elegant Breadboard (EBB) and Engineering Model (EM) level with frequency stabilities in the 10 -15 range for longer integration times. The EM setup was thermally cycled and vibration tested. (paper)

  16. On-line monitoring of fermentation processes using multi-wavelength fluorescence

    DEFF Research Database (Denmark)

    Odman, Peter; Petersen, Nanna; Johansen, Claus Lindvald

    2007-01-01

    . The model system considered in this work is the antibiotic production by Streptomyces coelicolor, a filamentous bacterium. In addition to predicting concentrations of biomass in the fermentation broth, the data allowed detection of different physiological states, i.e. growth phase and phosphate limitation......Fermentation processes often suffer from a lack of real-time methods for on-line determination of variables like the concentrations of nutrients and products. This work aims at investigating the possibilities of implementing an on-line fermentation monitoring system based on multi......-wavelength fluorescence (MWF). This type of sensor has previously showed promising accuracy and selectivity for in situ monitoring of cell mass and certain metabolites in bioreactors (Lantz et al., 2006). The sensor generates multivariate data outputs, which necessitate chemometric modeling for signal interpretation...

  17. Wavelength dependence of pyrimidine dimer formation in DNA of human skin irradiated in situ with ultraviolet light

    International Nuclear Information System (INIS)

    Freeman, S.E.; Hacham, H.; Gange, R.W.; Maytum, D.J.; Sutherland, J.C.; Sutherland, B.M.

    1989-01-01

    The UV components of sunlight are believed to be a major cause of human skin caner, and DNA is though to be the principal molecular target. Alterations of the intensity and wavelength distribution of solar UV radiation reaching the surface of the earth, for example by depletion of stratospheric ozone, will change the effectiveness of solar radiation in damaging DNA in human skin. Evaluation of the magnitude of such effects requires knowledge of the altered sunlight spectrum and of the action spectrum for damaging DNA in human skin. The authors have determined an action spectrum for the frequency of pyrimidine dimer formation induced in the DNA of human skin per unit dose of UV incident on the skin surface. The peak of this action spectrum is near 300 nm and decreases rapidly at both longer and shorter wavelengths. The decrease in the action spectrum for wavelengths <300 nm is attributed to the absorption of the upper layers of the skin. Convolution of the dimer action spectrum with the solar spectra corresponding to a solar angle of 40 degree under current levels of stratospheric ozone and those for 50% ozone depletion, indicate about a 2.5-fold increase in dimer formation. If the action spectrum for DNA damage that results in skin cancer resembles that for dimer induction in skin, these results suggest that a 50% decrease in stratospheric ozone would increase the incidence of nonmelanoma skin cancers among white males in Seattle, Washington, by 7.5- to 8-fold, to a higher incidence than is presently seen in the corresponding population of Albuquerque, New Mexico

  18. Absolute, pressure-dependent validation of a calibration-free, airborne laser hygrometer transfer standard (SEALDH-II from 5 to 1200 ppmv using a metrological humidity generator

    Directory of Open Access Journals (Sweden)

    B. Buchholz

    2018-01-01

    Full Text Available Highly accurate water vapor measurements are indispensable for understanding a variety of scientific questions as well as industrial processes. While in metrology water vapor concentrations can be defined, generated, and measured with relative uncertainties in the single percentage range, field-deployable airborne instruments deviate even under quasistatic laboratory conditions up to 10–20 %. The novel SEALDH-II hygrometer, a calibration-free, tuneable diode laser spectrometer, bridges this gap by implementing a new holistic concept to achieve higher accuracy levels in the field. We present in this paper the absolute validation of SEALDH-II at a traceable humidity generator during 23 days of permanent operation at 15 different H2O mole fraction levels between 5 and 1200 ppmv. At each mole fraction level, we studied the pressure dependence at six different gas pressures between 65 and 950 hPa. Further, we describe the setup for this metrological validation, the challenges to overcome when assessing water vapor measurements on a high accuracy level, and the comparison results. With this validation, SEALDH-II is the first airborne, metrologically validated humidity transfer standard which links several scientific airborne and laboratory measurement campaigns to the international metrological water vapor scale.

  19. Metrology in the Bolivia-Brazil Pipeline; Medicao no gasoduro Bolivia-Brasil

    Energy Technology Data Exchange (ETDEWEB)

    Palhares, Julio C.C.M.; Nunes, Ildemar Pinto [TBG - Transportadora Brasileira Gasoduto Bolivia Brasil S.A., Rio de Janeiro, RJ (Brazil)

    2003-07-01

    measurement guideline of TBG seeks to be always assisting to the customer's needs and aligned with the changes of the market of natural gas. In five years of existence, TBG attended the forming regulatory legislation and the establishment of the contract fiscal, important marks of the evolution of the market. This work presents the definitions that orientated the metrological issues of TBG, making use of efficient tools in the answers to each demand and seeking to satisfy its own needs, its customers' needs and all the new regulatory demands. This paper approaches, the calibration procedures, the qualification of suppliers, maintenance of the metrological reliability, the daily confirmation of the delivered volumes, the fail treatment, and the unaccounted gas monitoring in rigorous limits practiced in world class companies in foreigner countries. (author)

  20. National Needs for Appearance Metrology

    Science.gov (United States)

    Nadal, Maria E.

    2003-04-01

    Appearance greatly influences a customer's judgement of the quality and acceptability of manufactured products, as yearly there is approximately $700 billion worth of shipped goods for which overall appearance is critical to their sale. For example, appearance is reported to be a major factor in about half of automobile purchases. The appearance of an object is the result of a complex interaction of the light field incident upon the object, the scattering and absorption properties of the object, and human perception. The measurable attributes of appearance are divided into color (hue, saturation, and lightness) and geometry (gloss, haze). The nature of the global economy has increased international competition and the need to improve the quality of many manufactured products. Since the manufacturing and marketing of these products is international in scope, the lack of national appearance standard artifacts and measurement protocols results in a direct loss to the supplier. One of the primary missions of the National Institute of Standards and Technology (NIST) is to strengthen the U.S. economy by working with industry to develop and apply technology, measurements and standards. The NIST Physics Laboratory has established an appearance metrology laboratory. This new laboratory provides calibration services for 0^o/45^o color standards and 20^o°, 60^o°, and 85^o° specular gloss, and research in the colorimetric characterization of gonioapparent including a new Standard Reference Material for metallic coatings (SRM 2017) and measurement protocols for pearlescent coatings. These services are NIST's first appearance metrology efforts in many years; a response to needs articulated by industry. These services are designed to meet demands for improved measurements and standards to enhance the acceptability of final products since appearance often plays a major role in their acceptability.

  1. Measurement and modeling of low-wavelength losses in silica fibers and their impact at communication Wavelengths

    DEFF Research Database (Denmark)

    Pedersen, Anders Tegtmeier; Grüner-Nielsen, Lars; Rottwitt, Karsten

    2009-01-01

    Using the cutback technique, the attenuation of four different silica step-index fibers is measured in the very wide wavelength range of 190-1700 nm. The measured spectra are deconvolved into components describing Rayleigh scattering, infrared losses, Urbach edge, anomalous loss, and different...

  2. Metrological Array of Cyber-Physical Systems. Part 10. Foundations of Objective Qualimetry

    Directory of Open Access Journals (Sweden)

    Svyatoslav YATSYSHYN

    2015-07-01

    Full Text Available Contemporary trend of Cyber-Physical Systems evolution considers as promising line the metrology science development ability for estimation the quality of final or intermediate product. The reliability and perfection of smart and flexible operation of mentioned systems could be permanently improved if determination of critical characteristics would be performed correctly, and particular coordinated assessment would be non-correlatively fulfilled. Last is guaranteed at applying thermodynamic principles of coordinates’ choice.

  3. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Polle, A.N.; Voorbraak, W.P.

    1991-11-01

    The experiment R-139-416 for testing the stainless steel type 316L(N) has been irradiated in the HFR Petten. This report presents the final metrology results obtained from activation monitors near the CT-specimen (Compact Tension). Data about the helium production as well as the number of displacements per atom are also included. The irradiation conditions for this experiment, carried out in a REFA-170 type capsule in the HFR position H8, are as close as possible to the conditions of the EFR (European Fast Reactor) above-core structures. The main results of the thermal and fast neutron fluence measurements are presented in table 1 and in figure 1. (author). 10 refs.; 2 figs.; 11 tabs

  4. Fast and accurate: high-speed metrological large-range AFM for surface and nanometrology

    Science.gov (United States)

    Dai, Gaoliang; Koenders, Ludger; Fluegge, Jens; Hemmleb, Matthias

    2018-05-01

    Low measurement speed remains a major shortcoming of the scanning probe microscopic technique. It not only leads to a low measurement throughput, but a significant measurement drift over the long measurement time needed (up to hours or even days). To overcome this challenge, PTB, the national metrology institute of Germany, has developed a high-speed metrological large-range atomic force microscope (HS Met. LR-AFM) capable of measuring speeds up to 1 mm s‑1. This paper has introduced the design concept in detail. After modelling scanning probe microscopic measurements, our results suggest that the signal spectrum of the surface to be measured is the spatial spectrum of the surface scaled by the scanning speed. The higher the scanning speed , the broader the spectrum to be measured. To realise an accurate HS Met. LR-AFM, our solution is to combine different stages/sensors synchronously in measurements, which provide a much larger spectrum area for high-speed measurement capability. Two application examples have been demonstrated. The first is a new concept called reference areal surface metrology. Using the developed HS Met. LR-AFM, surfaces are measured accurately and traceably at a speed of 500 µm s‑1 and the results are applied as a reference 3D data map of the surfaces. By correlating the reference 3D data sets and 3D data sets of tools under calibration, which are measured at the same surface, it has the potential to comprehensively characterise the tools, for instance, the spectrum properties of the tools. The investigation results of two commercial confocal microscopes are demonstrated, indicating very promising results. The second example is the calibration of a kind of 3D nano standard, which has spatially distributed landmarks, i.e. special unique features defined by 3D-coordinates. Experimental investigations confirmed that the calibration accuracy is maintained at a measurement speed of 100 µm s‑1, which improves the calibration efficiency by a

  5. Development of laser materials processing and laser metrology techniques

    International Nuclear Information System (INIS)

    Kim, Cheol Jung; Chung, Chin Man; Kim, Jeong Mook; Kim, Min Suk; Kim, Kwang Suk; Baik, Sung Hoon; Kim, Seong Ouk; Park, Seung Kyu

    1997-09-01

    The applications of remote laser materials processing and metrology have been investigated in nuclear industry from the beginning of laser invention because they can reduce the risks of workers in the hostile environment by remote operation. The objective of this project is the development of laser material processing and metrology techniques for repairing and inspection to improve the safety of nuclear power plants. As to repairing, we developed our own laser sleeve welding head and innovative optical laser weld monitoring techniques to control the sleeve welding process. Furthermore, we designed and fabricated a 800 W Nd:YAG and a 150 W Excimer laser systems for high power laser materials processing in nuclear industry such as cladding and decontamination. As to inspection, we developed an ESPI and a laser triangulation 3-D profile measurement system for defect detection which can complement ECT and UT inspections. We also developed a scanning laser vibrometer for remote vibration measurement of large structures and tested its performance. (author). 58 refs., 16 tabs., 137 figs

  6. Gamma-ray detection with an UV-enhanced photodiode and scintillation crystals emitting at short wavelengths

    International Nuclear Information System (INIS)

    Johansen, G.A.

    1997-01-01

    A low-noise ion implanted photodiode with high spectral response in the deep blue/UV region has been tested as read-out device for scintillation crystals with matching emission spectra (YAP(Ce), GSO(Ce), BGO and CsI(Tl)). This gamma-ray detector concept is attractive in many industrial applications where compactness, reliability and ambient temperature operation are important. The results show that the amount of detected scintillation light energy falls rapidly off as the wavelength of the scintillation light decreases. It is concluded that the dynamic spectral response of the photodiode, due to increasing carrier collection times, is considerably less than the DC response at short wavelengths. The diode is not useful in pulse mode operation with scintillation crystals emitting at wavelengths below about 400 nm. For read-out of CsI(Tl) with 661.6 keV gamma-radiation, however, the photodiode concept shows better energy resolution (7.1%) than other detectors. (orig.)

  7. Frequency Standards and Metrology

    Science.gov (United States)

    Maleki, Lute

    2009-04-01

    Preface / Lute Maleki -- Symposium history / Jacques Vanier -- Symposium photos -- pt. I. Fundamental physics. Variation of fundamental constants from the big bang to atomic clocks: theory and observations (Invited) / V. V. Flambaum and J. C. Berengut. Alpha-dot or not: comparison of two single atom optical clocks (Invited) / T. Rosenband ... [et al.]. Variation of the fine-structure constant and laser cooling of atomic dysprosium (Invited) / N. A. Leefer ... [et al.]. Measurement of short range forces using cold atoms (Invited) / F. Pereira Dos Santos ... [et al.]. Atom interferometry experiments in fundamental physics (Invited) / S. W. Chiow ... [et al.]. Space science applications of frequency standards and metrology (Invited) / M. Tinto -- pt. II. Frequency & metrology. Quantum metrology with lattice-confined ultracold Sr atoms (Invited) / A. D. Ludlow ... [et al.]. LNE-SYRTE clock ensemble: new [symbol]Rb hyperfine frequency measurement - spectroscopy of [symbol]Hg optical clock transition (Invited) / M. Petersen ... [et al.]. Precise measurements of S-wave scattering phase shifts with a juggling atomic clock (Invited) / S. Gensemer ... [et al.]. Absolute frequency measurement of the [symbol] clock transition (Invited) / M. Chwalla ... [et al.]. The semiclassical stochastic-field/atom interaction problem (Invited) / J. Camparo. Phase and frequency noise metrology (Invited) / E. Rubiola ... [et al.]. Optical spectroscopy of atomic hydrogen for an improved determination of the Rydberg constant / J. L. Flowers ... [et al.] -- pt. III. Clock applications in space. Recent progress on the ACES mission (Invited) / L. Cacciapuoti and C. Salomon. The SAGAS mission (Invited) / P. Wolf. Small mercury microwave ion clock for navigation and radioScience (Invited) / J. D. Prestage ... [et al.]. Astro-comb: revolutionizing precision spectroscopy in astrophysics (Invited) / C. E. Kramer ... [et al.]. High frequency very long baseline interferometry: frequency standards and

  8. Aquifer restoration at uranium in situ leach sites

    International Nuclear Information System (INIS)

    Anastasi, F.S.; Williams, R.E.

    1985-01-01

    In situ mining of uranium involves injection of a leaching solution (lixiviant) into an ore-bearing aquifer. Frequently, the ground water in the mined aquifer is a domestic or livestock water supply. As the lixiviant migrates through the ore body, uranium and various associated elements such as arsenic, selenium, molybdenum, vanadium and radium-226 are mobilized in the ground water. Aquifer restoration after in situ mining is not fully understood. Several methods have been developed to restore mined aquifers to pre-mining (baseline) quality. Commonly used methods include ground water sweeping, clean water injection, and treatment by ion exchange and reverse osmosis technologies. Ammonium carbonate lixiviant was used at one RandD in situ mine. Attempts were made to restore the aquifer using a variety of methods. Efforts were successful in reducing concentrations of the majority of contaminants to baseline levels. Concentrations of certain parameters, however, remained at levels above baseline six months after restoration ceased. Relatively large quantities of ground water were processed in the restoration attempt considering the small size of the project (1.25 acre). More thorough characterization of the hydrogeology of the site may have enhanced the effectiveness of restoration and reduced potential environmental impacts associated with the project. This paper presents some of the findings of a research project conducted by the Mineral Resources Waste Management Team at the University of Idaho in Moscow, Idaho. Views contained herein do not reflect U.S. Nuclear Regulatory Commission policy

  9. Holistic metrology qualification extension and its application to characterize overlay targets with asymmetric effects

    Science.gov (United States)

    Dos Santos Ferreira, Olavio; Sadat Gousheh, Reza; Visser, Bart; Lie, Kenrick; Teuwen, Rachel; Izikson, Pavel; Grzela, Grzegorz; Mokaberi, Babak; Zhou, Steve; Smith, Justin; Husain, Danish; Mandoy, Ram S.; Olvera, Raul

    2018-03-01

    Ever increasing need for tighter on-product overlay (OPO), as well as enhanced accuracy in overlay metrology and methodology, is driving semiconductor industry's technologists to innovate new approaches to OPO measurements. In case of High Volume Manufacturing (HVM) fabs, it is often critical to strive for both accuracy and robustness. Robustness, in particular, can be challenging in metrology since overlay targets can be impacted by proximity of other structures next to the overlay target (asymmetric effects), as well as symmetric stack changes such as photoresist height variations. Both symmetric and asymmetric contributors have impact on robustness. Furthermore, tweaking or optimizing wafer processing parameters for maximum yield may have an adverse effect on physical target integrity. As a result, measuring and monitoring physical changes or process abnormalities/artefacts in terms of new Key Performance Indicators (KPIs) is crucial for the end goal of minimizing true in-die overlay of the integrated circuits (ICs). IC manufacturing fabs often relied on CD-SEM in the past to capture true in-die overlay. Due to destructive and intrusive nature of CD-SEMs on certain materials, it's desirable to characterize asymmetry effects for overlay targets via inline KPIs utilizing YieldStar (YS) metrology tools. These KPIs can also be integrated as part of (μDBO) target evaluation and selection for final recipe flow. In this publication, the Holistic Metrology Qualification (HMQ) flow was extended to account for process induced (asymmetric) effects such as Grating Imbalance (GI) and Bottom Grating Asymmetry (BGA). Local GI typically contributes to the intrafield OPO whereas BGA typically impacts the interfield OPO, predominantly at the wafer edge. Stack height variations highly impact overlay metrology accuracy, in particular in case of multi-layer LithoEtch Litho-Etch (LELE) overlay control scheme. Introducing a GI impact on overlay (in nm) KPI check quantifies the

  10. Digital holography for MEMS and microsystem metrology

    CERN Document Server

    Asundi, Anand

    2011-01-01

    Approaching the topic of digital holography from the practical perspective of industrial inspection, Digital Holography for MEMS and Microsystem Metrology describes the process of digital holography and its growing applications for MEMS characterization, residual stress measurement, design and evaluation, and device testing and inspection. Asundi also provides a thorough theoretical grounding that enables the reader to understand basic concepts and thus identify areas where this technique can be adopted. This combination of both practical and theoretical approach will ensure the

  11. Traceability and uncertainty estimation in coordinate metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Savio, Enrico; De Chiffre, Leonardo

    2001-01-01

    National and international standards have defined performance verification procedures for coordinate measuring machines (CMMs) that typically involve their ability to measure calibrated lengths and to a certain extent form. It is recognised that, without further analysis or testing, these results...... are required. Depending on the requirements for uncertainty level, different approaches may be adopted to achieve traceability. Especially in the case of complex measurement situations and workpieces the procedures are not trivial. This paper discusses the establishment of traceability in coordinate metrology...

  12. The faint radio source population at 15.7 GHz - II. Multi-wavelength properties

    Science.gov (United States)

    Whittam, I. H.; Riley, J. M.; Green, D. A.; Jarvis, M. J.; Vaccari, M.

    2015-11-01

    A complete, flux density limited sample of 96 faint (>0.5 mJy) radio sources is selected from the 10C survey at 15.7 GHz in the Lockman Hole. We have matched this sample to a range of multi-wavelength catalogues, including Spitzer Extragalactic Representative Volume Survey, Spitzer Wide-area Infrared Extragalactic survey, United Kingdom Infrared Telescope Infrared Deep Sky Survey and optical data; multi-wavelength counterparts are found for 80 of the 96 sources and spectroscopic redshifts are available for 24 sources. Photometric redshifts are estimated for the sources with multi-wavelength data available; the median redshift of the sample is 0.91 with an interquartile range of 0.84. Radio-to-optical ratios show that at least 94 per cent of the sample are radio loud, indicating that the 10C sample is dominated by radio galaxies. This is in contrast to samples selected at lower frequencies, where radio-quiet AGN and star-forming galaxies are present in significant numbers at these flux density levels. All six radio-quiet sources have rising radio spectra, suggesting that they are dominated by AGN emission. These results confirm the conclusions of Paper I that the faint, flat-spectrum sources which are found to dominate the 10C sample below ˜1 mJy are the cores of radio galaxies. The properties of the 10C sample are compared to the Square Kilometre Array Design Studies Simulated Skies; a population of low-redshift star-forming galaxies predicted by the simulation is not found in the observed sample.

  13. Deploying in situ bioremediation at the Hanford Site

    International Nuclear Information System (INIS)

    Truex, M.J.; Johnson, C.D.; Newcomer, D.R.; Doremus, L.A.; Hooker, B.S.; Peyton, B.M.; Skeen, R.S.; Chilakapati, A.

    1994-11-01

    An innovative in-situ bioremediation technology was developed by Pacific Northwest Laboratory (PNL) to destroy nitrate and carbon tetrachloride (CC1 4 ) in the Hanford ground water. The goal of this in-situ treatment process is to stimulate native microorganisms to degrade nitrate and CCl 4 . Nutrient solutions are distributed in the contaminated aquifer to create a biological treatment zone. This technology is being demonstrated at the US Department of Energy's Hanford Site to provide the design, operating, and cost information needed to assess its effectiveness in contaminated ground water. The process design and field operations for demonstration of this technology are influenced by the physical, chemical, and microbiological properties observed at the site. A description of the technology is presented including the well network design, nutrient injection equipment, and means for controlling the hydraulics and microbial reactions of the treatment process

  14. Aerosol metrology: aerodynamic and electrostatic techniques

    International Nuclear Information System (INIS)

    Prodi, V.

    1988-01-01

    Aerosols play an ever increasing role in science, engineering and especially in industrial and environmental hygiene. They are being studied since a long time, but only recently the progress in aerosol instrumentation has made it possible to pose of aerosol metrology, especially the problem of absolute measurements, as based directly on measurements of fundamental quantities. On the basis of absolute measurements, the hierarchy of standards can be prepared and adequately disseminated. In the aerosol field, the quantities to be measured are mainly size, charge, density, and shape. In this paper a possible standardisation framework for aerosols is proposed, for the main physical quantities

  15. Metrology Sampling Strategies for Process Monitoring Applications

    KAUST Repository

    Vincent, Tyrone L.

    2011-11-01

    Shrinking process windows in very large scale integration semiconductor manufacturing have already necessitated the development of control systems capable of addressing sub-lot-level variation. Within-wafer control is the next milestone in the evolution of advanced process control from lot-based and wafer-based control. In order to adequately comprehend and control within-wafer spatial variation, inline measurements must be performed at multiple locations across the wafer. At the same time, economic pressures prompt a reduction in metrology, for both capital and cycle-time reasons. This paper explores the use of modeling and minimum-variance prediction as a method to select the sites for measurement on each wafer. The models are developed using the standard statistical tools of principle component analysis and canonical correlation analysis. The proposed selection method is validated using real manufacturing data, and results indicate that it is possible to significantly reduce the number of measurements with little loss in the information obtained for the process control systems. © 2011 IEEE.

  16. Calibration of an interfacial force microscope for MEMS metrology : FY08-09 activities.

    Energy Technology Data Exchange (ETDEWEB)

    Houston, Jack E.; Baker, Michael Sean; Crowson, Douglas A.; Mitchell, John Anthony; Moore, Nathan W.

    2009-10-01

    Progress in MEMS fabrication has enabled a wide variety of force and displacement sensing devices to be constructed. One device under intense development at Sandia is a passive shock switch, described elsewhere (Mitchell 2008). A goal of all MEMS devices, including the shock switch, is to achieve a high degree of reliability. This, in turn, requires systematic methods for validating device performance during each iteration of design. Once a design is finalized, suitable tools are needed to provide quality assurance for manufactured devices. To ensure device performance, measurements on these devices must be traceable to NIST standards. In addition, accurate metrology of MEMS components is needed to validate mechanical models that are used to design devices to accelerate development and meet emerging needs. Progress towards a NIST-traceable calibration method is described for a next-generation, 2D Interfacial Force Microscope (IFM) for applications in MEMS metrology and qualification. Discussed are the results of screening several suitable calibration methods and the known sources of uncertainty in each method.

  17. A Laser Metrology/Viewing System for ITER In-Vessel Inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.; Slotwinski, A.

    1997-10-01

    This paper identifies the requirements for a remotely operated precision laser ranging system for the International Thermonuclear Experimental Reactor. The inspection system is used for metrology and viewing, and must be capable of achieving submillimeter accuracy and operation in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field levels. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser-optic module linked through fiberoptics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic mast. Gamma irradiation up to 10 7 Gy was conducted on critical sensor components with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway

  18. Theory-based metrological traceability in education: A reading measurement network.

    Science.gov (United States)

    Fisher, William P; Stenner, A Jackson

    2016-10-01

    Huge resources are invested in metrology and standards in the natural sciences, engineering, and across a wide range of commercial technologies. Significant positive returns of human, social, environmental, and economic value on these investments have been sustained for decades. Proven methods for calibrating test and survey instruments in linear units are readily available, as are data- and theory-based methods for equating those instruments to a shared unit. Using these methods, metrological traceability is obtained in a variety of commercially available elementary and secondary English and Spanish language reading education programs in the U.S., Canada, Mexico, and Australia. Given established historical patterns, widespread routine reproduction of predicted text-based and instructional effects expressed in a common language and shared frame of reference may lead to significant developments in theory and practice. Opportunities for systematic implementations of teacher-driven lean thinking and continuous quality improvement methods may be of particular interest and value.

  19. Coherent Laser Radar Metrology System for Large Scale Optical Systems, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — A new type of laser radar metrology inspection system is proposed that incorporates a novel, dual laser coherent detection scheme capable of eliminating both...

  20. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    International Nuclear Information System (INIS)

    Brau-Avila, A; Valenzuela-Galvan, M; Herrera-Jimenez, V M; Santolaria, J; Aguilar, J J; Acero, R

    2017-01-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs. (paper)

  1. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    Science.gov (United States)

    Brau-Avila, A.; Santolaria, J.; Acero, R.; Valenzuela-Galvan, M.; Herrera-Jimenez, V. M.; Aguilar, J. J.

    2017-03-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs.

  2. Short wavelength FELs using the SLAC linac

    International Nuclear Information System (INIS)

    Winick, H.; Bane, K.; Boyce, R.

    1993-08-01

    Recent technological developments have opened the possibility to construct a device which we call a Linac Coherent Light Source (LCLS); a fourth generation light source, with brightness, coherence, and peak power far exceeding other sources. Operating on the principle of the free electron laser (FEL), the LCLS would extend the range of FEL operation to much aborter wavelength than the 240 mn that has so far been reached. We report the results of studies of the use of the SLAC linac to drive an LCLS at wavelengths from about 3-100 nm initially and possibly even shorter wavelengths in the future. Lasing would be achieved in a single pass of a low emittance, high peak current, high energy electron beam through a long undulator. Most present FELs use an optical cavity to build up the intensity of the light to achieve lasing action in a low gain oscillator configuration. By eliminating the optical cavity, which is difficult to make at short wavelengths, laser action can be extended to shorter wavelengths by Self-Amplified-Spontaneous-Emission (SASE), or by harmonic generation from a longer wavelength seed laser. Short wavelength, single pass lasers have been extensively studied at several laboratories and at recent workshops

  3. Remote Metrology, Mapping, and Motion Sensing of Plasma Facing Components Using FM Coherent Laser Radar

    International Nuclear Information System (INIS)

    Menon, M.M.; Barry, R.E.; Slotwinsky, A.; Kugel, H.W.; Skinner, C.H.

    2000-01-01

    Metrology inside a D/T burning fusion reactor must necessarily be conducted remotely since the in-vessel environment would be highly radioactive due to neutron activation of the torus walls. A technique based on frequency modulated coherent laser radar (FM CLR) for such remote metrology is described. Since the FM CLR relies on frequency shift to measure distances, the results are largely insensitive to surface reflectance characteristics. Results of measurements in TFTR and NSTX fusion devices using a prototype FM CLR unit, capable of remotely measuring distances (range) up to 22 m with better than 0.1-mm precision, are provided. These results illustrate that the FM CLR can be used for precision remote metrology as well as viewing. It is also shown that by conducting Doppler corrected range measurements using the CLR, the motion of objects can be tracked. Thus, the FM CLR has the potential to remotely measure the motion of plasma facing components (PFCs) during plasma disruptions

  4. Perspectives in absorbed dose metrology with regard to the technical evolutions of external beam radiotherapy; Perspectives en metrologie de la dose face aux evolutions techniques de la radiotherapie externe

    Energy Technology Data Exchange (ETDEWEB)

    Chauvenet, B.; Bordy, J.M. [CEA Saclay, Lab. National Henri Becquerel (LNE-LNHB), 91 - Gif-sur-Yvette (France); Barthe, J. [CEA Saclay (LIST), 91 - Gif-sur-Yvette (France)

    2009-07-01

    This paper presents several R and D axes in absorbed close metrology to meet the needs resulting from the technical evolutions of external beam radiotherapy. The facilities in operation in France have considerably evolved under the impulse of the plan Cancer launched in 2003: replacements and increase of the number of accelerators, substitution of accelerators for telecobalt almost completed and acquisition of innovative facilities for tomo-therapy and stereotaxy. The increasing versatility of facilities makes possible the rapid evolution of treatment modalities, allowing to better delimit irradiation to tumoral tissues and spare surrounding healthy tissues and organs at risk. This leads to a better treatment efficacy through dose escalation. National metrology laboratories must offer responses adapted to the new need, i.e. not restrict themselves to the establishment of references under conventional conditions defined at international level, contribute to the improvement of uncertainties at all levels of reference transfer to practitioners: primary measurements under conditions as close as possible to those of treatment, characterization of transfer and treatment control dosimeters., metrological validation of treatment planning tools... Those axes have been identified as priorities for the next years in ionizing radiation metrology at the European level and included in the European. Metrology Research Programme. A project dealing with some of those topics has been selected in the frame of the Eranet+ Call EMRP 2007 and is now starting. The LNE-LAM is strongly engaged in it. (authors)

  5. Observations on the Performance of X-Ray Computed Tomography for Dimensional Metrology

    Science.gov (United States)

    Corcoran, H. C.; Brown, S. B.; Robson, S.; Speller, R. D.; McCarthy, M. B.

    2016-06-01

    X-ray computed tomography (XCT) is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  6. OBSERVATIONS ON THE PERFORMANCE OF X-RAY COMPUTED TOMOGRAPHY FOR DIMENSIONAL METROLOGY

    Directory of Open Access Journals (Sweden)

    H. C. Corcoran

    2016-06-01

    Full Text Available X-ray computed tomography (XCT is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  7. Experimental characterization of dielectric-loaded plasmonic waveguide-racetrack resonators at near-infrared wavelengths

    DEFF Research Database (Denmark)

    Garcia, Cesar; Coello, Victor; Han, Zhanghua

    2012-01-01

    Dielectric-loaded plasmonic waveguide-racetrack resonators (WRTRs) were designed and fabricated for operating at near-infrared wavelengths (750–850 nm) and characterized using leakage-radiation microscopy. The transmission spectra of the WRTRs are found experimentally and compared to the calculat...

  8. Arbitrarily high super-resolving phase measurements at telecommunication wavelengths

    International Nuclear Information System (INIS)

    Kothe, Christian; Bjoerk, Gunnar; Bourennane, Mohamed

    2010-01-01

    We present two experiments that achieve phase super-resolution at telecommunication wavelengths. One of the experiments is realized in the space domain and the other is realized in the time domain. Both experiments show high visibility and are performed with standard lasers and single-photon detectors. The first experiment uses six-photon coincidences, whereas the latter experiment needs no coincidence measurements, is easy to perform, and achieves, in principle, arbitrarily high phase super-resolution. Here, we demonstrate a 30-fold increase of the resolution. We stress that neither entanglement nor joint detection is needed in these experiments, which demonstrates that neither is necessary to achieve phase super-resolution.

  9. A miniature TDCR system dedicated to in-situ activity assay.

    Science.gov (United States)

    Johansson, Lena; Bakhshandeiar, Eleanor; Pearce, Andy; Collins, Sean; Orlandini, Pascal; Sephton, John

    2014-05-01

    In the framework of the European Metrology Research Programme (EMRP), the Joint Research Project MetroFission has a dedicated work package for the development of a portable Triple-to-Double-Coincidence-Ratio (TDCR) system dedicated to in-situ activity measurements of low-energy beta emitters arising from the operation of the next generation of nuclear power plants. In the design phase of the NPL version of the mini-TDCR, a wide range of metrological aspects and detector types was considered. This paper summarizes these aspects of design, in the light of previous experience with the primary TDCR system at NPL. For example, in this miniature version of the TDCR, the optical chamber was simplified and cylindrical geometry was deemed sufficient. The reflectivity of the surface was increased by painted layers of Spectraflect(®), a specially formulated barium sulphate coating with high reflectivity across a wide range of wave lengths including UV. This option was chosen rather than the high performing and more expensive Spectralon(®) material used for the primary NPL TDCR. The miniature TDCR system is intended for on-site monitoring and will not require as high a performance as the primary system. Other factors that were considered included sample changing, light tightness, type of photo detector, method for varying the detection efficiency, shielding and the possible addition of an internal gamma-ray source for determination of the quench parameter of the source. In this version, the sample changing is performed using a piston and an automatic shutter. Significant design effort has been applied to ensure minimal ingress of light from the piston. Efficiency variation is accomplished by increasing the vertical displacement of the vial. Provision has been made to automate this at a later stage. Maximum light transmission to the photo-multiplier tubes is obtained at the "zero" reference height. Validation measurements were successfully performed using four different

  10. 64nm pitch metal1 double patterning metrology: CD and OVL control by SEMCD, image based overlay and diffraction based overlay

    Science.gov (United States)

    Ducoté, Julien; Dettoni, Florent; Bouyssou, Régis; Le-Gratiet, Bertrand; Carau, Damien; Dezauzier, Christophe

    2015-03-01

    Patterning process control of advanced nodes has required major changes over the last few years. Process control needs of critical patterning levels since 28nm technology node is extremely aggressive showing that metrology accuracy/sensitivity must be finely tuned. The introduction of pitch splitting (Litho-Etch-Litho-Etch) at 14FDSOInm node requires the development of specific metrologies to adopt advanced process control (for CD, overlay and focus corrections). The pitch splitting process leads to final line CD uniformities that are a combination of the CD uniformities of the two exposures, while the space CD uniformities are depending on both CD and OVL variability. In this paper, investigations of CD and OVL process control of 64nm minimum pitch at Metal1 level of 14FDSOI technology, within the double patterning process flow (Litho, hard mask etch, line etch) are presented. Various measurements with SEMCD tools (Hitachi), and overlay tools (KT for Image Based Overlay - IBO, and ASML for Diffraction Based Overlay - DBO) are compared. Metrology targets are embedded within a block instanced several times within the field to perform intra-field process variations characterizations. Specific SEMCD targets were designed for independent measurement of both line CD (A and B) and space CD (A to B and B to A) for each exposure within a single measurement during the DP flow. Based on those measurements correlation between overlay determined with SEMCD and with standard overlay tools can be evaluated. Such correlation at different steps through the DP flow is investigated regarding the metrology type. Process correction models are evaluated with respect to the measurement type and the intra-field sampling.

  11. Absorption cross section measurements of oxygen in the wavelength region 195-241 nm of the Herzberg continuum

    International Nuclear Information System (INIS)

    Cheung, A.S.C.; Yoshino, K.; Parkinson, W.H.; Freeman, D.E.

    1985-01-01

    The continuum cross section of oxygen at 296-300 K has been measured with a resolution of 0.13 nm throughout the wavelength region 205-241 nm with oxygen pressures from 5 to 760 torr and optical lengths from 13.3 to 133 m. The three processes contributing to the observed cross section are absorption into two continua, viz., the Herzberg continuum of O 2 and a pressure-dependent continuum involving two molecules of O 2 , and Rayleigh scattering. Comparison between different laboratory measurements and in situ stratospheric studies will also be presented. 1 reference

  12. The origins of the metrology of ionizing radiation

    Energy Technology Data Exchange (ETDEWEB)

    Paschoa, Anselmo S. [Pontificia Univ. Catolica do Rio de Janeiro, RJ (Brazil). Dept. de Fisica]. E-mail: aspas@itaipu.vdg.fis.puc-rio.br

    2000-07-01

    Metrology of ionizing radiation started soon after the discovery of radioactivity. However, the modern metrology of ionizing radiation can be considered a by product of the Manhattan Project. When this mammoth effort to produce the first nuclear weapons was initiated, little was known about some of the properties of natural elements, though the phenomenon of natural radioactivity was already known for almost half a century. Less was known about the radioactive materials involved in that project. The amount of those materials which had to be handled were higher than any amount of {sup 226} Ra and {sup 228} Ra ever used thus far. The first atomic piles produced concentration levels of radioactivity much higher than any level known before. There was then a threat not only for the health of hundred of technicians and scientists, but also for thousands of workers. The secrecy involving that project would not allow much to be told about the radioactive hazards. There was, however, the need to protect workers and the public in General against unnecessary exposures to ionizing radiation. The origin of the standards used in radiological protection from pre-world war II and their remarkable evolution during and immediately after this war will be discussed in the paper. (author)

  13. The origins of the metrology of ionizing radiation

    International Nuclear Information System (INIS)

    Paschoa, Anselmo S.

    2000-01-01

    Metrology of ionizing radiation started soon after the discovery of radioactivity. However, the modern metrology of ionizing radiation can be considered a by product of the Manhattan Project. When this mammoth effort to produce the first nuclear weapons was initiated, little was known about some of the properties of natural elements, though the phenomenon of natural radioactivity was already known for almost half a century. Less was known about the radioactive materials involved in that project. The amount of those materials which had to be handled were higher than any amount of 226 Ra and 228 Ra ever used thus far. The first atomic piles produced concentration levels of radioactivity much higher than any level known before. There was then a threat not only for the health of hundred of technicians and scientists, but also for thousands of workers. The secrecy involving that project would not allow much to be told about the radioactive hazards. There was, however, the need to protect workers and the public in General against unnecessary exposures to ionizing radiation. The origin of the standards used in radiological protection from pre-world war II and their remarkable evolution during and immediately after this war will be discussed in the paper. (author)

  14. A three-fingered, touch-sensitive, metrological micro-robotic assembly tool

    International Nuclear Information System (INIS)

    Torralba, Marta; Hastings, D J; Thousand, Jeffery D; Nowakowski, Bartosz K; Smith, Stuart T

    2015-01-01

    This article describes a metrological, robotic hand to manipulate and measure micrometer size objects. The presented work demonstrates not only assembly operations, but also positioning control and metrology capability. Sample motion is achieved by a commercial positioning stage, which provides XYZ-displacements for assembly of components. A designed and manufactured gripper tool that incorporates 21 degrees-of-freedom for independent alignment of actuators, sensors, and the three fingers of this hand is presented. These fingers can be opened and closed by piezoelectric actuators through levered flexures providing an 80 μm displacement range measured with calibrated opto-interrupter based, knife-edge sensors. The operational ends of the fingers comprise of a quartz tuning fork with a 7 μm diameter 3.2 mm long carbon fiber extending from the end of one tuning fork tine. Finger-tip force-sensing is achieved by the monitoring of individual finger resonances typically at around 32 kHz. Experimental results included are focused on probe performance analysis. Pick and place operation using the three fingers is demonstrated with all fingers being continuously oscillated, a capability not possible with the previous single or two finger tweezer type designs. By monitoring electrical feedback during pick and place operations, changes in the response of the three probes demonstrate the ability to identify both grab and release operations. Component metrology has been assessed by contacting different micro-spheres of diameters 50(±7.5) μm, 135(±20) μm, and 140(±20) μm. These were measured by the micro robot to have diameters of 67, 133, and 126 μm respectively with corresponding deviations of 4.2, 4.9, and 4.3 μm. This deviation in the measured results was primarily due to the manual, joystick-based, contacting of the fingers, difficulties associated with centering the components to the axis of the hand, and lower contact sensitivity for the smallest sphere

  15. Magnetic properties comparison of mass standards among seventeen national metrology institutes

    CSIR Research Space (South Africa)

    Becerra, LO

    2006-09-01

    Full Text Available ), 1, Rue Gaston Boissier, 75015 Paris, France 13 Centro Espa?nol de Metrologia (CEM), c/ del Alfar, 2 ? Apartado 37, 28760 Tres Cantos (Madrid), Spain 14 National Metrology Institute of Japan, National Institute of Advanced Industrial Science...

  16. Stresses and Temperature Stability of Dense Wavelength Division Multiplexing Filters Prepared by Reactive Ion-Assisted E-Gun Evaporation

    Science.gov (United States)

    Wei, Chao-Tsang; Shieh, Han-Ping D.

    2005-10-01

    In this paper, we report the in situ measurement of the temperature stability of narrow-band-pass filters on different types of substrate, for dense wavelength division multiplexing (DWDM) filters in optical-fiber transmission systems. The DWDM filters were designed as all-dielectric Fabry-Perot filters and fabricated by reactive ion-assisted deposition. Ta2O5 and SiO2 were used as high- and low-refractive-index layers, respectively, for constructing the DWDM filters. The accuracy and stability of the coating process were evaluated for fabricating the DWDM filters for the temperature stability of the center wavelength. The center wavelength shift was determined to be greatly dependent on the coefficient of thermal expansion of the substrate on which the filter is deposited.

  17. In-situ failure test in the research tunnel at Olkiluoto

    Energy Technology Data Exchange (ETDEWEB)

    Autio, J.; Johansson, E.; Kirkkomaeki, T. [Saanio and Riekkola Consulting Engineers, Helsinki (Finland); Hakala, M. [Gridpoint Finland Oy (Finland); Heikkilae, E. [Helsinki Univ. of Technology, Otaniemi (Finland). Lab. of Rock Engineering

    2000-05-01

    A failure test suitable for execution in the Research Tunnel at Olkiluoto has been planned to study the failure of rock in-situ. The objectives of the in-situ failure test is to assess the applicability of numerical modelling codes and methods to the study of rock failure and associated crack propagation and to develop a novel technique to be used to determine the strength of rock in-situ. The objective of this study was to make a preliminary design of the failure test, assess the technical feasibility of the test and to give input information for further numerical modelling of the test. The design of the failure test is reported and results of preliminary modelling are given. The input information for future modelling includes a study of rock properties, fracture propagation in rock, in-situ stresses and the development of techniques for using the expanding agent to produce artificial stress field. The study showed that mechanical properties such as strength of gneissic tonalite, the main rock type in the Research Tunnel, depends highly on the orientation of schistocity. The in-situ failure test was shown to be technically feasible and a state of stress high enough to cause failure can be created artificially by using a proper expansive agent and design. (orig.)

  18. High-quality asynchronous heralded single-photon source at telecom wavelength

    International Nuclear Information System (INIS)

    Fasel, Sylvain; Alibart, Olivier; Tanzilli, Sebastien; Baldi, Pascal; Beveratos, Alexios; Gisin, Nicolas; Zbinden, Hugo

    2004-01-01

    We report on the experimental realization and characterization of an asynchronous heralded single-photon source based on spontaneous parametric down-conversion. Photons at 1550 nm are heralded as being inside a single-mode fibre with more than 60% probability, and the multi-photon emission probability is reduced by a factor of up to more than 500 compared to Poissonian light sources. These figures of merit, together with the choice of telecom wavelength for the heralded photons, are compatible with practical applications needing very efficient and robust single-photon sources

  19. In situ scanning probe spectroscopy at nanoscale solid/liquid interfaces

    International Nuclear Information System (INIS)

    Schindler, W.; Hugelmann, M.; Hugelmann, Ph.

    2005-01-01

    Electrochemistry provides unique features for the preparation of low-dimensional structures, but in situ spectroscopy with atomic/molecular resolution at such structures is at present not well established yet. This paper shows that in situ scanning probe spectroscopy at solid/liquid interfaces can be utilized to study electronic properties at nanoscale, if appropriate conditions are applied. Tunneling spectroscopy provides information about tunneling barrier heights and electronic states in the tunneling gap, as shown on Au(1 1 1) substrates, contact spectroscopy allows for transport measurements at single nanostructures, as shown at Au/n-Si(1 1 1) nanodiodes. The influence of the electrolytic environment on spectroscopic investigations is not a principal limitation, but offers additional degrees of freedom, which allow, for example, spectroscopic studies of potential dependent surface phenomena at solid/liquid interfaces

  20. In situ beamline analysis and correction of active optics.

    Science.gov (United States)

    Sutter, John; Alcock, Simon; Sawhney, Kawal

    2012-11-01

    At the Diamond Light Source, pencil-beam measurements have enabled long-wavelength slope errors on X-ray mirror surfaces to be examined under ultra-high vacuum and beamline mounting without the need to remove the mirror from the beamline. For an active mirror an automated procedure has been implemented to calculate the actuator settings that optimize its figure. More recently, this in situ pencil-beam method has been applied to additional uses for which ex situ measurements would be inconvenient or simply impossible. First, it has been used to check the stability of the slope errors of several bimorph mirrors at intervals of several weeks or months. Then, it also proved useful for the adjustment of bender and sag compensation actuators on mechanically bent mirrors. Fits to the bending of ideal beams have been performed on the slope errors of a mechanically bent mirror in order to distinguish curvatures introduced by the bending actuators from gravitational distortion. Application of the optimization procedure to another mechanically bent mirror led to an improvement of its sag compensation mechanism.

  1. Metrology of reflection optics for synchrotron radiation

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1985-09-01

    Recent years have seen an almost explosive growth in the number of beam lines on new and existing synchrotron radiation facilities throughout the world. The need for optical components to utilize the unique characteristics of synchrotron radiation has increased accordingly. Unfortunately, the technology to manufacture and measure the large, smooth, exotic optical surfaces required to focus and steer the synchrotron radiation beam has not progressed as rapidly as the operational demands on these components. Most companies do not wish to become involved with a project that requires producing a single, very expensive, aspheric optic with surface roughness and figure tolerances that are beyond their capabilities to measure. This paper will review some of the experiences of the National Synchrotron Light Source in procuring grazing incidence optical components over the past several years. We will review the specification process - how it is related to the function of the optic, and how it relates to the metrology available during the manufacturing process and after delivery to the user's laboratory. We will also discuss practical aspects of our experience with new technologies, such as single point diamond turning of metal mirrors and the use of SiC as a mirror material. Recent advances in metrology instrumentation have the potential to move the measurement of surface figure and finish from the research laboratory into the optical shop, which should stimulate growth and interest in the manufacturing of optics to meet the needs of the synchrotron radiation user community

  2. Photon antibunching in single-walled carbon nanotubes at telecommunication wavelengths and room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Endo, Takumi, E-mail: endou@az.appi.keio.ac.jp; Ishi-Hayase, Junko; Maki, Hideyuki, E-mail: maki@appi.keio.ac.jp [Department of Applied Physics and Physico-Informatics, Keio University, Yokohama 223-8522 (Japan)

    2015-03-16

    We investigated the photoluminescence of individual air-suspended single-walled carbon nanotubes (SWNTs) from 6 to 300 K. Time-resolved and antibunching measurements over the telecommunication wavelength range were performed using a superconducting single-photon detector. We detected moderate temperature independent antibunching behavior over the whole temperature range studied. To investigate the exciton dynamics, which is responsible for the antibunching behavior, we measured excitation-power and temperature dependence of the photoluminescence spectra and lifetime decay curves. These measurements suggested an exciton confinement effect that is likely caused by high-dielectric amorphous carbon surrounding the SWNTs. These results indicate that SWNTs are good candidates for light sources in quantum communication technologies operating in the telecommunication wavelength range and at room temperature.

  3. Dimensional quality control of Ti-Ni dental file by optical coordinate metrology and computed tomography

    DEFF Research Database (Denmark)

    Yagüe-Fabra, J.A.; Tosello, Guido; Ontiveros, S.

    2014-01-01

    Endodontic dental files usually present complex 3D geometries, which make the complete measurement of the component very challenging with conventional micro metrology tools. Computed Tomography (CT) can represent a suitable alternative solution to micro metrology tools based on optical and tactile...... techniques. However, the establishment of CT systems traceability when measuring 3D complex geometries is still an open issue. In this work, to verify the quality of the CT dimensional measurements, the dental file has been measured both with a μCT system and an optical CMM (OCMM). The uncertainty...

  4. Negative refraction at infrared wavelengths in a two-dimensional photonic crystal

    International Nuclear Information System (INIS)

    Berrier, A.; Mulot, M.; Swillo, M.; Qiu, M.; Thylen, L.; Anand, S.; Talneau, A.

    2004-01-01

    We report on the first experimental evidence of negative refraction at telecommunication wavelengths by a two-dimensional photonic crystal field. Samples were fabricated by chemically assisted ion beam etching in the InP-based low-index constrast system. Experiments of beam imaging and light collection show light focusing by the photonic crystal field. Finite-difference time-domain simulations confirm that the observed focusing is due to negative refraction in the photonic crystal area

  5. Metrological provision in radiometry of long-lived radionuclide aerosols

    International Nuclear Information System (INIS)

    Belkina, S.K.; Zalmanzon, Yu.E.; Kuznetsov, Yu.V.; Fertman, D.E.

    1984-01-01

    An optimal, as regards expenditures and resulting effect in development, production and operation, scheme is given for metrological provision of working means to measure radioactive aerosols. Model solid sources are recommended to be used for testing calibration and certification of aerosol radiometers when no losses or distortions of information take place. A model radiometer for long-lived radionuclides operating in the 3x10 -2 - 4x10 4 BK/m 3 range of volumetric activity of α-active nuclides and 5-2x10 5 BK/m 3 range of β-active nuclides is successfully utilized at present. Recommendations on reducing the measurement errors by means of different means are given

  6. Metrological provision in radiometry of long-lived radionuclide aerosols

    Energy Technology Data Exchange (ETDEWEB)

    Belkina, S.K.; Zalmanzon, Yu.E.; Kuznetsov, Yu.V.; Fertman, D.E.

    1984-05-01

    An optimal, as regards expenditures and resulting effect in development, production and operation, scheme is given for metrological provision of working means to measure radioactive aerosols. Model solid sources are recommended to be used for testing calibration and certification of aerosol radiometers when no losses or distortions of information take place. A model radiometer for long-lived radionuclides operating in the 3 x 10/sup -2/ - 4 x 10/sup 4/ BK/m/sup 3/ range of volumetric activity of ..cap alpha..-active nuclides and 5-2 x 10/sup 5/ BK/m/sup 3/ range of ..beta..-active nuclides is successfully utilized at present. Recommendations on reducing the measurement errors by means of different means are given.

  7. Metrological characteristics of the new BSS2 beta secondary standard system

    International Nuclear Information System (INIS)

    Reynaldo, Sibele R.

    2005-01-01

    Due to the increased interest and the importance of beta radiation dosimetry for radiation protection purposes, the Centro de Desenvolvimento da Tecnologia Nuclear. MG, Brazil, acquired the newest Beta Secondary Standard system (BSS2) in order to replace the old BSS1 model, with the goal of implement a beta radiation metrology laboratory and provide the corresponding reference radiation. The new system BSS2, unique in Latin America, requires operational testing and metrological characterization for reliability purposes. For this, some comparative investigations of the two systems were made. The influence of opening and closing the shutter in the final dose of radiation was identified as the highest in the BSS2 in relation to the one founded in BSS1, justified by the structural difference of the shutters of the systems and the reproducibility of source-detector geometry was better in BSS2, because of the robustness of the same

  8. Quantum metrology for gravitational wave astronomy.

    Science.gov (United States)

    Schnabel, Roman; Mavalvala, Nergis; McClelland, David E; Lam, Ping K

    2010-11-16

    Einstein's general theory of relativity predicts that accelerating mass distributions produce gravitational radiation, analogous to electromagnetic radiation from accelerating charges. These gravitational waves (GWs) have not been directly detected to date, but are expected to open a new window to the Universe once the detectors, kilometre-scale laser interferometers measuring the distance between quasi-free-falling mirrors, have achieved adequate sensitivity. Recent advances in quantum metrology may now contribute to provide the required sensitivity boost. The so-called squeezed light is able to quantum entangle the high-power laser fields in the interferometer arms, and could have a key role in the realization of GW astronomy.

  9. X-ray metrology for ULSI structures

    International Nuclear Information System (INIS)

    Bowen, D. K.; Matney, K. M.; Wormington, M.

    1998-01-01

    Non-destructive X-ray metrological methods are discussed for application to both process development and process control of ULSI structures. X-ray methods can (a) detect the unacceptable levels of internal defects generated by RTA processes in large wafers, (b) accurately measure the thickness and roughness of layers between 1 and 1000 nm thick and (c) can monitor parameters such as crystallographic texture and the roughness of buried interfaces. In this paper we review transmission X-ray topography, thin film texture measurement, grazing-incidence X-ray reflectivity and high-resolution X-ray diffraction. We discuss in particular their suitability as on-line sensors for process control

  10. Metasurface axicon lens design at visible wavelengths

    Science.gov (United States)

    Alyammahi, Saleimah; Zhan, Qiwen

    2017-08-01

    The emerging field of metasurfaces is promising to realize novel optical devices with miniaturized flat format and added functionalities. Metasurfaces have been demonstrated to exhibit full control of amplitude, phase and polarization of electromagnetic waves. Using the metasurface, the wavefront of light can be manipulated permitting new functionalities such as focusing and steering of the beams and imaging. One optical component which can be designed using metasurfaces is the axicon. Axicons are conical lenses used to convert Gaussian beams into nondiffraction Bessel beams. These unique devices are utilized in different applications ranging from optical trapping and manipulation, medical imaging, and surgery. In this work, we study axicon lens design comprising of planar metasurfaces which generate non-diffracting Bessel beams at visible wavelengths. Dielectric metasurfaces have been used to achieve high efficiency and low optical loss. We measured the spot size of the resulted beams at different planes to demonstrate the non-diffraction properties of the resulted beams. We also investigated how the spot size is influenced by the axicon aperture. Furthermore, we examined the achromatic properties of the designed axicon. Comparing with the conventional lens, the metasurface axicon lens design enables the creation of flat optical device with wide range of depth of focus along its optical axis.

  11. UPWIND Metrology, Deliverable D 1A2.1, List of measurement Parameters

    DEFF Research Database (Denmark)

    Hansen, Kurt Schaldemose

    performance measurements - Improvement of aerodynamic codes - Assessment of wind resources In general terms the uncertainty of the testing techniques and methods are typically much higher than the need. Since this problem covers many areas of wind energy, the work package is de-fined as a crosscutting...... activity. The problem is especially relevant for the following areas: Production related - Power performance testing especially in wind farms - Testing of turbine improvements in the order of several percent - Testing of aerodynamic codes - Testing of turbine response to effects such as turbulence...... profiles, turbulence, surface shear recovery distances etc) - Measurements of the interaction wind farms and microclimate The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The development...

  12. Synthesis of Ag/polyaniline nanocomposite via an in situ photo-redox mechanism

    International Nuclear Information System (INIS)

    Khanna, P.K.; Singh, Narendra; Charan, Shobhit; Viswanath, A. Kasi

    2005-01-01

    Silver/polyaniline nanocomposites are prepared via in situ reduction of silver salt in aniline by mild photolysis performed with 8 W long wavelength (365 nm) and short wavelength (254 nm) radiation from UV lamp. Reduction of the silver salt in aqueous aniline leads to the formation of silver nanoparticles which in turn catalyze oxidation of aniline to polyaniline. Systematic observation of the progress of the reaction by means of absorption spectroscopy revealed that the reaction completes faster under the UV light of 254 nm wavelength than the visible source of 365 nm. The absorption bands of the reaction solution revealed that the bands at about 400-420 nm due to benzonoid ring of the polyaniline are overlapped and red-shifted due to the presence of nano-silver in powdered state. A slightly broadened X-ray diffraction (XRD) pattern indicating, small particle size (∼30 nm), is consistent with cubic silver. Scanning electron microscopy (SEM) of the nanocomposite showed a uniform size distribution with spherical and granular morphology. Thermogravimetric analysis (TGA) showed that the composites have a higher degradation temperature than polyaniline alone

  13. Interference with a quantum dot single-photon source and a laser at telecom wavelength

    Energy Technology Data Exchange (ETDEWEB)

    Felle, M. [Toshiba Research Europe Limited, Cambridge Research Laboratory, 208 Cambridge Science Park, Milton Road, Cambridge CB4 0GZ (United Kingdom); Centre for Advanced Photonics and Electronics, University of Cambridge, J.J. Thomson Avenue, Cambridge CB3 0FA (United Kingdom); Huwer, J., E-mail: jan.huwer@crl.toshiba.co.uk; Stevenson, R. M.; Skiba-Szymanska, J.; Ward, M. B.; Shields, A. J. [Toshiba Research Europe Limited, Cambridge Research Laboratory, 208 Cambridge Science Park, Milton Road, Cambridge CB4 0GZ (United Kingdom); Farrer, I.; Ritchie, D. A. [Cavendish Laboratory, University of Cambridge, J.J. Thomson Avenue, Cambridge CB3 0HE (United Kingdom); Penty, R. V. [Centre for Advanced Photonics and Electronics, University of Cambridge, J.J. Thomson Avenue, Cambridge CB3 0FA (United Kingdom)

    2015-09-28

    The interference of photons emitted by dissimilar sources is an essential requirement for a wide range of photonic quantum information applications. Many of these applications are in quantum communications and need to operate at standard telecommunication wavelengths to minimize the impact of photon losses and be compatible with existing infrastructure. Here, we demonstrate for the first time the quantum interference of telecom-wavelength photons from an InAs/GaAs quantum dot single-photon source and a laser; an important step towards such applications. The results are in good agreement with a theoretical model, indicating a high degree of indistinguishability for the interfering photons.

  14. Interference with a quantum dot single-photon source and a laser at telecom wavelength

    International Nuclear Information System (INIS)

    Felle, M.; Huwer, J.; Stevenson, R. M.; Skiba-Szymanska, J.; Ward, M. B.; Shields, A. J.; Farrer, I.; Ritchie, D. A.; Penty, R. V.

    2015-01-01

    The interference of photons emitted by dissimilar sources is an essential requirement for a wide range of photonic quantum information applications. Many of these applications are in quantum communications and need to operate at standard telecommunication wavelengths to minimize the impact of photon losses and be compatible with existing infrastructure. Here, we demonstrate for the first time the quantum interference of telecom-wavelength photons from an InAs/GaAs quantum dot single-photon source and a laser; an important step towards such applications. The results are in good agreement with a theoretical model, indicating a high degree of indistinguishability for the interfering photons

  15. Optical fibre Bragg gratings at harmonics of the Bragg wavelength and their sensing properties

    International Nuclear Information System (INIS)

    Collins, Stephen F; Sidiroglou, Fotios; Bal, Harpreet K; Baxter, Greg W; Wade, Scott A

    2013-01-01

    Spectral features in optical fibre Bragg gratings (FBGs) at various harmonics of the Bragg wavelength arise due to saturation of the writing process. Additionally, phase-mask-produced FBGs possess a complex refractive index pattern, producing an extra periodicity equal to the phase-mask periodicity that supplements the desired periodicity of half that of the phase-mask, as shown via differential interference contrast microscopy. Some spectral peaks or dips occur as doublets with a wavelength spacing that depends upon fibre alignment relative to the phase mask. These spectral properties are of importance, as they allow the realization of alternative FBG sensors of various measurands. (paper)

  16. Random laser emission at dual wavelengths in a donor-acceptor dye mixture solution

    Directory of Open Access Journals (Sweden)

    Sunita Kedia

    Full Text Available The work was aimed to generate random laser emissions simultaneously at two wavelengths in a weakly scattering system containing mixture of binary dyes, rhodamine-B (Rh-B and oxazine-170 (O-170 dispersed with ZnO nano-particles serving as scattering centres. Random lasing performances for individual Rh-B dye were extensively studied for varying small signal gain/scatterer density and we found lasing threshold to significantly depend upon number density of dispersed nano-particles. In spite of inefficient pumping, we demonstrated possibility of random lasing in O-170 dye solution on account of resonance energy transfer from Rh-B dye which served as donor. At optimum concentrations of fluorophores and scatterer in dye mixture solution, incoherent random lasing was effectively attained simultaneously at two wavelengths centered 90 nm apart. Dual-emission intensities, lasing thresholds and rate of amplifications could be controlled and made equivalent for both donor and acceptor in dye mixture solution by appropriate choice of concentrations of dyes and scatterers. Keywords: Random lasing, Energy transfer, Rhodamine-B, Oxazine-170, Zinc oxide

  17. Coordinate metrology accuracy of systems and measurements

    CERN Document Server

    Sładek, Jerzy A

    2016-01-01

    This book focuses on effective methods for assessing the accuracy of both coordinate measuring systems and coordinate measurements. It mainly reports on original research work conducted by Sladek’s team at Cracow University of Technology’s Laboratory of Coordinate Metrology. The book describes the implementation of different methods, including artificial neural networks, the Matrix Method, the Monte Carlo method and the virtual CMM (Coordinate Measuring Machine), and demonstrates how these methods can be effectively used in practice to gauge the accuracy of coordinate measurements. Moreover, the book includes an introduction to the theory of measurement uncertainty and to key techniques for assessing measurement accuracy. All methods and tools are presented in detail, using suitable mathematical formulations and illustrated with numerous examples. The book fills an important gap in the literature, providing readers with an advanced text on a topic that has been rapidly developing in recent years. The book...

  18. Metrology and process control: dealing with measurement uncertainty

    Science.gov (United States)

    Potzick, James

    2010-03-01

    Metrology is often used in designing and controlling manufacturing processes. A product sample is processed, some relevant property is measured, and the process adjusted to bring the next processed sample closer to its specification. This feedback loop can be remarkably effective for the complex processes used in semiconductor manufacturing, but there is some risk involved because measurements have uncertainty and product specifications have tolerances. There is finite risk that good product will fail testing or that faulty product will pass. Standard methods for quantifying measurement uncertainty have been presented, but the question arises: how much measurement uncertainty is tolerable in a specific case? Or, How does measurement uncertainty relate to manufacturing risk? This paper looks at some of the components inside this process control feedback loop and describes methods to answer these questions.

  19. 12 Experimental Techniques at Synchrotron Lightsource Beamlines

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Peter L [US Department of Energy Office of Science Office Basic Energy Sciences; Rhyne, James J [US Department of Energy Office of Science Office of Basic Energy Sciences

    2015-01-01

    The unique properties of synchrotron radiation are its continuous spectrum, high flux and brightness, and high coherence, which make it an indispensable tool in the exploration of matter. The wavelengths of the emitted photons span a range of dimensions from the atomic level to biological cells, thereby providing incisive probes for advanced research in materials science, physical and chemical sciences, metrology, geosciences, environmental sciences, biosciences, medical sciences, and pharmaceutical sciences. The features of synchrotron radiation are especially well matched to the needs of nanoscience.

  20. Parametric boundary reconstruction algorithm for industrial CT metrology application.

    Science.gov (United States)

    Yin, Zhye; Khare, Kedar; De Man, Bruno

    2009-01-01

    High-energy X-ray computed tomography (CT) systems have been recently used to produce high-resolution images in various nondestructive testing and evaluation (NDT/NDE) applications. The accuracy of the dimensional information extracted from CT images is rapidly approaching the accuracy achieved with a coordinate measuring machine (CMM), the conventional approach to acquire the metrology information directly. On the other hand, CT systems generate the sinogram which is transformed mathematically to the pixel-based images. The dimensional information of the scanned object is extracted later by performing edge detection on reconstructed CT images. The dimensional accuracy of this approach is limited by the grid size of the pixel-based representation of CT images since the edge detection is performed on the pixel grid. Moreover, reconstructed CT images usually display various artifacts due to the underlying physical process and resulting object boundaries from the edge detection fail to represent the true boundaries of the scanned object. In this paper, a novel algorithm to reconstruct the boundaries of an object with uniform material composition and uniform density is presented. There are three major benefits in the proposed approach. First, since the boundary parameters are reconstructed instead of image pixels, the complexity of the reconstruction algorithm is significantly reduced. The iterative approach, which can be computationally intensive, will be practical with the parametric boundary reconstruction. Second, the object of interest in metrology can be represented more directly and accurately by the boundary parameters instead of the image pixels. By eliminating the extra edge detection step, the overall dimensional accuracy and process time can be improved. Third, since the parametric reconstruction approach shares the boundary representation with other conventional metrology modalities such as CMM, boundary information from other modalities can be directly

  1. THE DEEP BLUE COLOR OF HD 189733b: ALBEDO MEASUREMENTS WITH HUBBLE SPACE TELESCOPE/SPACE TELESCOPE IMAGING SPECTROGRAPH AT VISIBLE WAVELENGTHS

    Energy Technology Data Exchange (ETDEWEB)

    Evans, Thomas M.; Aigrain, Suzanne; Barstow, Joanna K. [Department of Physics, University of Oxford, Denys Wilkinson Building, Keble Road, Oxford OX1 3RH (United Kingdom); Pont, Frederic; Sing, David K. [School of Physics, University of Exeter, EX4 4QL Exeter (United Kingdom); Desert, Jean-Michel; Knutson, Heather A. [Division of Geological and Planetary Sciences, California Institute of Technology, Pasadena, CA 91125 (United States); Gibson, Neale [European Southern Observatory, Karl-Schwarzschild-Strasse 2, D-85748 Garching (Germany); Heng, Kevin [University of Bern, Center for Space and Habitability, Sidlerstrasse 5, CH-3012 Bern (Switzerland); Lecavelier des Etangs, Alain, E-mail: tom.evans@astro.ox.ac.uk [Institut d' Astrophysique de Paris, UMR7095 CNRS, Universite Pierre et Marie Curie, 98 bis Boulevard Arago, F-75014 Paris (France)

    2013-08-01

    We present a secondary eclipse observation for the hot Jupiter HD 189733b across the wavelength range 290-570 nm made using the Space Telescope Imaging Spectrograph on the Hubble Space Telescope. We measure geometric albedos of A{sub g} = 0.40 {+-} 0.12 across 290-450 nm and A{sub g} < 0.12 across 450-570 nm at 1{sigma} confidence. The albedo decrease toward longer wavelengths is also apparent when using six wavelength bins over the same wavelength range. This can be interpreted as evidence for optically thick reflective clouds on the dayside hemisphere with sodium absorption suppressing the scattered light signal beyond {approx}450 nm. Our best-fit albedo values imply that HD 189733b would appear a deep blue color at visible wavelengths.

  2. 5.5nm wavelength-tunable high-power MOPA diode laser system at 971 nm

    Science.gov (United States)

    Tawfieq, Mahmoud; Müller, André; Fricke, Jörg; Della Casa, Pietro; Ressel, Peter; Ginolas, Arnim; Feise, David; Sumpf, Bernd; Tränkle, Günther

    2018-02-01

    In this work, a widely tunable hybrid master oscillator power amplifier (MOPA) diode laser with 6.2 W of output power at 971.8 nm will be presented. The MO is a DBR laser, with a micro heater embedded on top of the DBR grating for wavelength tunability. The emitted light of the MO is collimated and coupled into a tapered amplifier using micro cylindrical lenses, all constructed on a compact 25 mm × 25 mm conduction cooled laser package. The MOPA system emits light with a measured spectral width smaller than 17 pm, limited by the spectrometer, and with a beam propagation factor of M2 1/e2 = 1.3 in the slow axis. The emission is thus nearly diffraction limited with 79% of the total power within the central lobe (4.9 W diffraction limited). The electrically controlled micro-heater provides up to 5.5 nm of wavelength tunability, up to a wavelength of 977.3 nm, while maintaining an output power variation of only +/- 0.16 % for the entire tuning range.

  3. Observations of the polarized emission of Taurus A, Cas A and Cygnus A at 9-mm wavelength

    International Nuclear Information System (INIS)

    Flett, A.M.; Henderson, C.

    1979-01-01

    Measurements of the total intensity and degree of linear polarization of the supernova remnants Taurus A and Cas A and of the radiogalaxy Cygnus A have been made at lambda 9 mm using the 25-m radiotelescope at Chilbolton. A new experimental technique involving Faraday rotation of the incoming polarized radiation was employed. Taurus A shows the expected strong and uniform polarization over the central area investigated, and Cas A the ring-like distribution observed at other wavelengths. The beamwidth of 1.5 arcmin resolves the two major components of Cygnus A and it is found that the polarization in the E component has a position angle of 53 +- 3 0 and P = 7.5 +- 1.2 per cent, and the W component a position angle of 133 +- 3 0 and P = 9.6 +-1.1 per cent. When these results are combined with earlier data at longer wavelengths, the large rotation measure of the E component and the fall of the degree of polarization of the W component at short wavelength are further established. (author)

  4. High-accuracy measurements of snow Bidirectional Reflectance Distribution Function at visible and NIR wavelengths – comparison with modelling results

    Directory of Open Access Journals (Sweden)

    M. Dumont

    2010-03-01

    Full Text Available High-accuracy measurements of snow Bidirectional Reflectance Distribution Function (BRDF were performed for four natural snow samples with a spectrogonio-radiometer in the 500–2600 nm wavelength range. These measurements are one of the first sets of direct snow BRDF values over a wide range of lighting and viewing geometry. They were compared to BRDF calculated with two optical models. Variations of the snow anisotropy factor with lighting geometry, wavelength and snow physical properties were investigated. Results show that at wavelengths with small penetration depth, scattering mainly occurs in the very top layers and the anisotropy factor is controlled by the phase function. In this condition, forward scattering peak or double scattering peak is observed. In contrast at shorter wavelengths, the penetration of the radiation is much deeper and the number of scattering events increases. The anisotropy factor is thus nearly constant and decreases at grazing observation angles. The whole dataset is available on demand from the corresponding author.

  5. Development of an ultrasensitive interferometry system as a key to precision metrology applications

    Science.gov (United States)

    Gohlke, Martin; Schuldt, Thilo; Weise, Dennis; Johann, Ulrich; Peters, Achim; Braxmaier, Claus

    2009-06-01

    We present a symmetric heterodyne interferometer as a prototype of a highly sensitive translation and tilt measurement system. This compact optical metrology system was developed over the past several years by EADS Astrium (Friedrichshafen) in cooperation with the Humboldt-University (Berlin) and the university of applied science Konstanz (HTWG-Konstanz). The noise performance was tested at frequencies between 10-4 and 3 Hz, the noise levels are below 1 nm/Hz 1/2 for translation and below 1 μrad/Hz1/2, for tilt measurements. For frequencies higher than 10 mHz noise levels below 5pm/Hz1/2 and 4 nrad/Hz1/2 respectively, were demonstrated. Based on this highly sensitive metrology system we also developed a dilatometer for the characterization of the CTE (coefficient of thermal expansion) of various materials, i.e. CFRP (carbon fiber reinforced plastic) or Zerodur. The currently achieved sensitivity of these measurements is better than 10-7 K-1. Future planned applications of the interferometer include ultra-high-precision surface profiling and characterization of actuator noise in low-noise opto-mechanics setups. We will give an overview of the current experimental setup and the latest measurement results.

  6. High resolution polarimetry of the Sun at 3. 7 and 11. 1 cm wavelengths. [Stokes parameters, polarization

    Energy Technology Data Exchange (ETDEWEB)

    Lang, K R [Tufts Univ., Medford, Mass. (USA). Dept. of Physics

    1977-04-01

    The four Stokes parameters are presented for interferometric observations of the Sun at wavelengths of lambda=3.7 cm and lambda=11 cm with angular resolutions between 2.7 and 36.7 seconds of arc. An H..cap alpha.. solar flare of importance SN and type C has a radio wavelength (lambda=3.7 cm) size of 5 seconds of arc, a flux density of 0.3 x 10/sup -22/Wm/sup -2/Hz/sup -1/, and a brightness temperature on the order of 10/sup 7/K. The radio flare is 30% left circularly polarized at lambda=3.7 cm, 70% left circularly polarized at lambda=11 cm, and no detectable linear polarization was observed at either wavelength. During a forty hour observation of sunspot region McMath No 13926 no substantial variations in circular polarization were observed, whereas one hour prior to the eruption of a solar flare dramatic changes in circular polarization were observed. Small scale features whose angular sizes are on the order of five seconds of arc exhibit changes of circular polarization of up to 80%. At times other than those immediately preceding flare emission, the degree of circular polarization was the same as the two wavelengths but the sign was reversed. This situation can be explained if magnetic fields of intensity H<=1000 G and electron densities of Nsub(e)>=10/sup 7/cm/sup -3/ are present.

  7. A criterion of the performance of thermometric systems of high metrological reliability

    International Nuclear Information System (INIS)

    Sal'nikov, N.L.; Filimonov, E.V.

    1995-01-01

    Monitoring temperature regimes is an important part of ensuring the operational safety of a nuclear power plant. Therefore, high standards are imposed upon the reliability of the primary information on the heat field of the object obtained from different sensors, and it is urgent to develop methods of evaluating the metrological reliability of these sensors. THe main sources of thermometric information at nuclear power plants are contact temperature sensors, the most widely used of these being thermoelectric converters (TEC) and thermal resistance converters (TRC)

  8. AWG Filter for Wavelength Interrogator

    Science.gov (United States)

    Black, Richard J. (Inventor); Costa, Joannes M. (Inventor); Faridian, Fereydoun (Inventor); Moslehi, Behzad (Inventor); Sotoudeh, Vahid (Inventor)

    2015-01-01

    A wavelength interrogator is coupled to a circulator which couples optical energy from a broadband source to an optical fiber having a plurality of sensors, each sensor reflecting optical energy at a unique wavelength and directing the reflected optical energy to an AWG. The AWG has a detector coupled to each output, and the reflected optical energy from each grating is coupled to the skirt edge response of the AWG such that the adjacent channel responses form a complementary pair response. The complementary pair response is used to convert an AWG skirt response to a wavelength.

  9. All-fiber femtosecond Cherenkov laser at visible wavelengths

    DEFF Research Database (Denmark)

    Liu, Xiaomin; Lægsgaard, Jesper; Møller, Uffe Visbech

    2013-01-01

    -matching condition [1]. The resonant ultrafast wave conversion via the fiber-optic CR mechanism is instrumental for applications in biophotonics such as bio-imaging and microscopy [2]. In this work, we demonstrate a highly-stable all-fiber, fully monolithic CR system based on an Yb-fiber femtosecond laser, producing...... to be as low as -103 dBc/Hz. This is 2 orders of magnitudes lower noise as compared to spectrally-sliced supercontinuum, which is the current standard of ultrafast fiber-optic generation at visible wavelength. The layout of the laser system is shown in Fig. 1(a). The system consists of two parts: an all-fiber......Fiber-optic Cherenkov radiation (CR), also known as dispersive wave generation or non-solitonic radiation, is produced in small-core photonic crystal fibers (PCF) when a soliton perturbed by fiber higher-order dispersion co-propagates with a dispersive wave fulfilling a certain phase...

  10. Trapped atomic ions for quantum-limited metrology

    Science.gov (United States)

    Wineland, David

    2017-04-01

    Laser-beam-manipulated trapped ions are a candidate for large-scale quantum information processing and quantum simulation but the basic techniques used can also be applied to quantum-limited metrology and sensing. Some examples being explored at NIST are: 1) As charged harmonic oscillators, trapped ions can be used to sense electric fields; this can be used to characterize the electrode-surface-based noisy electric fields that compromise logic-gate fidelities and may eventually be used as a tool in surface science. 2) Since typical qubit logic gates depend on state-dependent forces, we can adapt the gate dynamics to sensitively detect additional forces. 3) We can use extensions of Bell inequality measurements to further restrict the degree of local realism possessed by Bell states. 4) We also briefly describe experiments for creation of Bell states using Hilbert space engineering. This work is a joint effort including the Ion-Storage group, the Quantum processing group, and the Computing and Communications Theory group at NIST, Boulder. Supported by IARPA, ONR, and the NIST Quantum Information Program.

  11. Determination of the elementary charge and the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Feltin, N.; Piquemal, F. [Laboratoire National de Metrologie et d' Essais (LNE), 78 - Trappes (France)

    2009-06-15

    The elementary charge e is of fundamental importance in physics. The determination of its value, which is closely linked to progress of the measurement techniques, started in the beginning of the twentieth century and is still on-going. Today, in the frame of the CODATA adjustment, the evaluation of the fundamental constant, e, is derived from a complex calculation and is no more related to a single experiment. But the development of single electron tunneling (SET) devices, started in the early nineties, has opened the path towards modern metrological systems as quantum current sources. Thus a new direct determination of e is possible by implementing an electron pump and the set-up of the quantum metrological triangle (QMT) in combination with the experiments linking mechanical and electrical units. Furthermore, we show how the QMT experiment can contribute to the establishment of a new system of units based on fundamental constants of physics. (authors)

  12. HgCdTe Avalanche Photodiode Detectors for Airborne and Spaceborne Lidar at Infrared Wavelengths

    Science.gov (United States)

    Sun, Xiaoli; Abshire, James B.; Beck, Jeffrey D.; Mitra, Pradip; Reiff, Kirk; Yang, Guangning

    2017-01-01

    We report results from characterizing the HgCdTe avalanche photodiode (APD) sensorchip assemblies (SCA) developed for lidar at infrared wavelength using the high density vertically integrated photodiodes (HDVIP) technique. These devices demonstrated high quantum efficiency, typically greater than 90 between 0.8 micrometers and the cut-off wavelength, greater than 600 APD gain, near unity excess noise factor, 6-10 MHz electrical bandwidth and less than 0.5 fW/Hz(exp.1/2) noise equivalent power (NEP). The detectors provide linear analog output with a dynamic range of 2-3 orders of magnitude at a fixed APD gain without averaging, and over 5 orders of magnitude by adjusting the APD and preamplifier gain settings. They have been successfully used in airborne CO2 and CH4 integrated path differential absorption (IPDA) lidar as a precursor for space lidar applications.

  13. These images show thermal infrared radiation from Jupiter at different wavelengths which are diagnos

    Science.gov (United States)

    2002-01-01

    These images show thermal infrared radiation from Jupiter at different wavelengths which are diagnostic of physical phenomena The 7.85-micron image in the upper left shows stratospheric temperatures which are elevated in the region of the A fragment impact (to the left of bottom). Temperatures deeper in the atmosphere near 150-mbar are shown by the 17.2-micron image in the upper right. There is a small elevation of temperatures at this depth, indicated by the arrow, and confirmed by other measurements near this wavelength. This indicates that the influence of the impact of fragment A on the troposphere has been minimal. The two images in the bottom row show no readily apparent perturbation of the ammmonia condensate cloud field near 600 mbar, as diagnosed by 8.57-micron radiation, and deeper cloud layers which are diagnosed by 5-micron radiation.

  14. Wavelength dependence of interstellar polarization

    International Nuclear Information System (INIS)

    Mavko, G.E.

    1974-01-01

    The wavelength dependence of interstellar polarization was measured for twelve stars in three regions of the Milky Way. A 120A bandpass was used to measure the polarization at a maximum of sixteen wavelengths evenly spaced between 2.78μ -1 (3600A) and 1.28μ -1 (7800A). For such a wide wavelength range, the wavelength resolution is superior to that of any previously reported polarization measurements. The new scanning polarimeter built by W. A. Hiltner of the University of Michigan was used for the observations. Very broad structure was found in the wavelength dependence of the polarization. Extensive investigations were carried out to show that the structure was not caused by instrumental effects. The broad structure observed is shown to be in agreement with concurrent extinction measurements for the same stars. Also, the observed structure is of the type predicted when a homogeneous silicate grain model is fitted to the observed extinction. The results are in agreement with the hypothesis that the very broad band structure seen in the extinction is produced by the grains. (Diss. Abstr. Int., B)

  15. Towards short wavelengths FELs workshop

    International Nuclear Information System (INIS)

    Ben-Zvi, I.; Winick, H.

    1993-01-01

    This workshop was caged because of the growing perception in the FEL source community that recent advances have made it possible to extend FEL operation to wavelengths about two orders of magnitude shorter than the 240 nm that has been achieved to date. In addition short wavelength FELs offer the possibilities of extremely high peak power (several gigawatts) and very short pulses (of the order of 100 fs). Several groups in the USA are developing plans for such short wavelength FEL facilities. However, reviewers of these plans have pointed out that it would be highly desirable to first carry out proof-of-principle experiments at longer wavelengths to increase confidence that the shorter wavelength devices will indeed perform as calculated. The need for such experiments has now been broadly accepted by the FEL community. Such experiments were the main focus of this workshop as described in the following objectives distributed to attendees: (1) Define measurements needed to gain confidence that short wavelength FELs will perform as calculated. (2) List possible hardware that could be used to carry out these measurements in the near term. (3) Define a prioritized FEL physics experimental program and suggested timetable. (4) Form collaborative teams to carry out this program

  16. Towards short wavelengths FELs workshop

    Science.gov (United States)

    Ben-Zvi, I.; Winick, H.

    1993-11-01

    This workshop was caged because of the growing perception in the FEL source community that recent advances have made it possible to extend FEL operation to wavelengths about two orders of magnitude shorter than the 240 nm that has been achieved to date. In addition short wavelength FEL's offer the possibilities of extremely high peak power (several gigawatts) and very short pulses (of the order of 100 fs). Several groups in the USA are developing plans for such short wavelength FEL facilities. However, reviewers of these plans have pointed out that it would be highly desirable to first carry out proof-of-principle experiments at longer wavelengths to increase confidence that the shorter wavelength devices will indeed perform as calculated. The need for such experiments has now been broadly accepted by the FEL community. Such experiments were the main focus of this workshop as described in the following objectives distributed to attendees: (1) Define measurements needed to gain confidence that short wavelength FEL's will perform as calculated. (2) List possible hardware that could be used to carry out these measurements in the near term. (3) Define a prioritized FEL physics experimental program and suggested timetable. (4) Form collaborative teams to carry out this program.

  17. The UK National Quantum Technologies Hub in sensors and metrology (Keynote Paper)

    Science.gov (United States)

    Bongs, K.; Boyer, V.; Cruise, M. A.; Freise, A.; Holynski, M.; Hughes, J.; Kaushik, A.; Lien, Y.-H.; Niggebaum, A.; Perea-Ortiz, M.; Petrov, P.; Plant, S.; Singh, Y.; Stabrawa, A.; Paul, D. J.; Sorel, M.; Cumming, D. R. S.; Marsh, J. H.; Bowtell, R. W.; Bason, M. G.; Beardsley, R. P.; Campion, R. P.; Brookes, M. J.; Fernholz, T.; Fromhold, T. M.; Hackermuller, L.; Krüger, P.; Li, X.; Maclean, J. O.; Mellor, C. J.; Novikov, S. V.; Orucevic, F.; Rushforth, A. W.; Welch, N.; Benson, T. M.; Wildman, R. D.; Freegarde, T.; Himsworth, M.; Ruostekoski, J.; Smith, P.; Tropper, A.; Griffin, P. F.; Arnold, A. S.; Riis, E.; Hastie, J. E.; Paboeuf, D.; Parrotta, D. C.; Garraway, B. M.; Pasquazi, A.; Peccianti, M.; Hensinger, W.; Potter, E.; Nizamani, A. H.; Bostock, H.; Rodriguez Blanco, A.; Sinuco-Leon, G.; Hill, I. R.; Williams, R. A.; Gill, P.; Hempler, N.; Malcolm, G. P. A.; Cross, T.; Kock, B. O.; Maddox, S.; John, P.

    2016-04-01

    The UK National Quantum Technology Hub in Sensors and Metrology is one of four flagship initiatives in the UK National of Quantum Technology Program. As part of a 20-year vision it translates laboratory demonstrations to deployable practical devices, with game-changing miniaturized components and prototypes that transform the state-of-the-art for quantum sensors and metrology. It brings together experts from the Universities of Birmingham, Glasgow, Nottingham, Southampton, Strathclyde and Sussex, NPL and currently links to over 15 leading international academic institutions and over 70 companies to build the supply chains and routes to market needed to bring 10-1000x improvements in sensing applications. It seeks, and is open to, additional partners for new application development and creates a point of easy open access to the facilities and supply chains that it stimulates or nurtures.

  18. Development of a metrology method for composition and thickness of barium strontium titanate thin films

    International Nuclear Information System (INIS)

    Remmel, Thomas; Werho, Dennis; Liu, Ran; Chu, Peir

    1998-01-01

    Thin films of barium strontium titanate (BST) are being investigated as the charge storage dielectric in advanced memory devices, due to their promise for high dielectric constant. Since the capacitance of BST films is a function of both stoichiometry and thickness, implementation into manufacturing requires precise metrology methods to monitor both of these properties. This is no small challenge, considering the BST film thicknesses are 60 nm or less. A metrology method was developed based on X-ray Fluorescence and applied to the measurement of stoichiometry and thickness of BST thin films in a variety of applications

  19. In situ determination of the reduction levels of cytochromes b and c in growing bacteria : a case study with N2-fixing Azorhizobium caulinodans

    NARCIS (Netherlands)

    Pronk, A.F.; Boogerd, F C; Stoof, C.; Oltmann, L F; Stouthamer, A.H.; van Verseveld, H W

    1993-01-01

    The determination of the in situ reduction levels of cytochromes b and c in growing bacteria is achieved by coupling a chemostat with a dual wavelength spectrophotometer. Visible light absorption spectra of cytochromes present in bacterial cells actively growing in a chemostat at a specific growth

  20. Plutonium glove boxes - metrology and operational states

    International Nuclear Information System (INIS)

    Thyer, A.M.

    2001-01-01

    The main objective was to undertake a literature review in support of NII's ongoing work in improving safety in the nuclear industry to help define suitable standards of cleanliness for plutonium glove boxes. This is to cover the following areas: existing or proposed national/international standards relating to plutonium glove box cleanliness management; practicable metrology options for assessing the plutonium content of glove boxes; any available dose information relating to the operation of modern and 'old design'; current contamination levels of specific significance (i.e. any accepted level in decommissioning/waste terms, typical criticality limits (if available), any box plutonium loadings that are documented with corresponding operator doses etc.); and, techniques for the decontamination of plutonium glove boxes and their relative effectiveness. This should then form the basis of any further development work undertaken by the UK nuclear industry. Main recommendations are as follows: 1) No information could be found in open literature on acceptable levels of contamination in boxes and action levels for cleanup. If these are not available in closed publications the 2) Where possible, the decontamination methods identified should be tested and dose information recorded against each method to allow informed decisions on which is the optimum technique for a particular form of contamination. 3) Consideration should be given to utilisation of metrology options which have the lowest potential for exposure of operators. Preferred options, may be detection from the outside of boxes using hand-held or permanently located radiation detectors, or semi-intrusive methods such as air-ionisation readings which would require one-off installation of detectors in ductwork