WorldWideScience

Sample records for single print lithography

  1. Microintaglio Printing for Soft Lithography-Based in Situ Microarrays

    Directory of Open Access Journals (Sweden)

    Manish Biyani

    2015-07-01

    Full Text Available Advances in lithographic approaches to fabricating bio-microarrays have been extensively explored over the last two decades. However, the need for pattern flexibility, a high density, a high resolution, affordability and on-demand fabrication is promoting the development of unconventional routes for microarray fabrication. This review highlights the development and uses of a new molecular lithography approach, called “microintaglio printing technology”, for large-scale bio-microarray fabrication using a microreactor array (µRA-based chip consisting of uniformly-arranged, femtoliter-size µRA molds. In this method, a single-molecule-amplified DNA microarray pattern is self-assembled onto a µRA mold and subsequently converted into a messenger RNA or protein microarray pattern by simultaneously producing and transferring (immobilizing a messenger RNA or a protein from a µRA mold to a glass surface. Microintaglio printing allows the self-assembly and patterning of in situ-synthesized biomolecules into high-density (kilo-giga-density, ordered arrays on a chip surface with µm-order precision. This holistic aim, which is difficult to achieve using conventional printing and microarray approaches, is expected to revolutionize and reshape proteomics. This review is not written comprehensively, but rather substantively, highlighting the versatility of microintaglio printing for developing a prerequisite platform for microarray technology for the postgenomic era.

  2. Uniformity across 200 mm silicon wafers printed by nanoimprint lithography

    International Nuclear Information System (INIS)

    Gourgon, C; Perret, C; Tallal, J; Lazzarino, F; Landis, S; Joubert, O; Pelzer, R

    2005-01-01

    Uniformity of the printing process is one of the key parameters of nanoimprint lithography. This technique has to be extended to large size wafers to be useful for several industrial applications, and the uniformity of micro and nanostructures has to be guaranteed on large surfaces. This paper presents results of printing on 200 mm diameter wafers. The residual thickness uniformity after printing is demonstrated at the wafer scale in large patterns (100 μm), in smaller lines of 250 nm and in sub-100 nm features. We show that a mould deformation occurs during the printing process, and that this deformation is needed to guarantee printing uniformity. However, the mould deformation is also responsible for the potential degradation of the patterns

  3. Print-to-pattern dry film photoresist lithography

    International Nuclear Information System (INIS)

    Garland, Shaun P; Murphy, Terrence M Jr; Pan, Tingrui

    2014-01-01

    Here we present facile microfabrication processes, referred to as print-to-pattern dry film photoresist (DFP) lithography, that utilize the combined advantages of wax printing and DFP to produce micropatterned substrates with high resolution over a large surface area in a non-cleanroom setting. The print-to-pattern methods can be performed in an out-of-cleanroom environment making microfabrication much more accessible to minimally equipped laboratories. Two different approaches employing either wax photomasks or wax etchmasks from a solid ink desktop printer have been demonstrated that allow the DFP to be processed in a negative tone or positive tone fashion, respectively, with resolutions of 100 µm. The effect of wax melting on resolution and as a bonding material was also characterized. In addition, solid ink printers have the capacity to pattern large areas with high resolution, which was demonstrated by stacking DFP layers in a 50 mm × 50 mm woven pattern with 1 mm features. By using an office printer to generate the masking patterns, the mask designs can be easily altered in a graphic user interface to enable rapid prototyping. (technical note)

  4. Lithography for VLSI

    CERN Document Server

    Einspruch, Norman G

    1987-01-01

    VLSI Electronics Microstructure Science, Volume 16: Lithography for VLSI treats special topics from each branch of lithography, and also contains general discussion of some lithographic methods.This volume contains 8 chapters that discuss the various aspects of lithography. Chapters 1 and 2 are devoted to optical lithography. Chapter 3 covers electron lithography in general, and Chapter 4 discusses electron resist exposure modeling. Chapter 5 presents the fundamentals of ion-beam lithography. Mask/wafer alignment for x-ray proximity printing and for optical lithography is tackled in Chapter 6.

  5. Regular cell design approach considering lithography-induced process variations

    OpenAIRE

    Gómez Fernández, Sergio

    2014-01-01

    The deployment delays for EUVL, forces IC design to continue using 193nm wavelength lithography with innovative and costly techniques in order to faithfully print sub-wavelength features and combat lithography induced process variations. The effect of the lithography gap in current and upcoming technologies is to cause severe distortions due to optical diffraction in the printed patterns and thus degrading manufacturing yield. Therefore, a paradigm shift in layout design is mandatory towards ...

  6. Imprint lithography: lab curiosity or the real NGL

    Science.gov (United States)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  7. Multi-level single mode 2D polymer waveguide optical interconnects using nano-imprint lithography

    NARCIS (Netherlands)

    Khan, M.U.; Justice, J.; Petäjä, J.; Korhonen, T.; Boersma, A.; Wiegersma, S.; Karppinen, M.; Corbett, B.

    2015-01-01

    Single and multi-layer passive optical interconnects using single mode polymer waveguides are demonstrated using UV nano-imprint lithography. The fabrication tolerances associated with imprint lithography are investigated and we show a way to experimentally quantify a small variation in index

  8. Nano-LED array fabrication suitable for future single photon lithography

    International Nuclear Information System (INIS)

    Mikulics, M; Hardtdegen, H

    2015-01-01

    We report on an alternative illumination concept for a future lithography based on single-photon emitters and important technological steps towards its implementation. Nano light-emitting diodes (LEDs) are chosen as the photon emitters. First, the development of their fabrication and their integration technology is presented, then their optical characteristics assessed. Last, size-controlled nano-LEDs, well positioned in an array, are electrically driven and utilized for illumination. Nanostructures are lithographically formed, demonstrating the feasibility of the approach. The potential of single-photon lithography to reach the ultimate scale limits in mass production is discussed. (paper)

  9. High-resolution imprint and soft lithography for patterning self-assembling systems

    NARCIS (Netherlands)

    Duan, X.

    2010-01-01

    This thesis contributes to the continuous development of patterning strategies in several different areas of unconventional nanofabrication. A series of soft lithography approaches (microcontact printing, nanomolding in capillaries), nanoimprint lithography (NIL), and capillary force lithography

  10. SOR Lithography in West Germany

    Science.gov (United States)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  11. Subwavelength Plasmonic Color Printing Protected for Ambient Use

    DEFF Research Database (Denmark)

    Roberts, Alexander Sylvester; Pors, Anders Lambertus; Albrektsen, Ole

    2014-01-01

    We demonstrate plasmonic color printing with subwavelength resolution using circular gap-plasmon resonators (GPRs) arranged in 340 nm period arrays of square unit cells and fabricated with single-step electron-beam lithography. We develop a printing procedure resulting in correct single-pixel color...... reproduction, high color uniformity of colored areas, and high reproduction fidelity. Furthermore, we demonstrate that, due to inherent stability of GPRs with respect to surfactants, the fabricated color print can be protected with a transparent dielectric overlay for ambient use without destroying its...... coloring. Using finite-element simulations, we uncover the physical mechanisms responsible for color printing with GPR arrays and suggest the appropriate design procedure minimizing the influence of the protection layer....

  12. Discharge of viscous UV-curable resin droplets by screen printing for UV nanoimprint lithography

    Science.gov (United States)

    Tanabe, Akira; Uehara, Takuya; Nagase, Kazuro; Ikedo, Hiroaki; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2016-06-01

    We demonstrated a coating method of screen printing for discharging droplets of a high-viscosity resin on a substrate for ultraviolet (UV) nanoimprint lithography (NIL). Compared with a spin-coated resin film on a silicon substrate, discharged resin droplets on a silicon substrate were effective in terms of the uniformity of residual layer thickness (RLT) in contact with a mold with various pattern densities. Fluorescence microscope observations with a fluorescent-dye-containing UV-curable resin enabled the evaluation of the shapes of resin droplets discharged on a substrate surface. Widely used screen mesh plates composed of a stainless mesh covered with a patterned emulsion film caused defects of undischarged parts, whereas defects-free resin droplets with a narrow size distribution were discharged by mesh-free plates prepared with laser ablation. The pitch-to-diameter ratio in the configuration of 10-µm-diameter holes needs to be larger than 2.5 times for printing a resin having a viscosity of 12,800 mPa s.

  13. Single-mode solid-state polymer dye laser fabricated with standard I-line UV lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Mironov, Andrej; Nilsson, Daniel

    2005-01-01

    We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G.......We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G....

  14. EUV lithography : historical perspective and road ahead

    NARCIS (Netherlands)

    Banine, V.Y.

    2014-01-01

    Lithography, in the form of carved type printing, can be dated as far back as the 3rd century AD. Starting from the 19th century it played a major role as the basis for dissemination and preservation of knowledge in the form of printed books, maps, newspapers, etc. In the mid 20th century, with the

  15. Inkjet printing of single-crystal films.

    Science.gov (United States)

    Minemawari, Hiromi; Yamada, Toshikazu; Matsui, Hiroyuki; Tsutsumi, Jun'ya; Haas, Simon; Chiba, Ryosuke; Kumai, Reiji; Hasegawa, Tatsuo

    2011-07-13

    The use of single crystals has been fundamental to the development of semiconductor microelectronics and solid-state science. Whether based on inorganic or organic materials, the devices that show the highest performance rely on single-crystal interfaces, with their nearly perfect translational symmetry and exceptionally high chemical purity. Attention has recently been focused on developing simple ways of producing electronic devices by means of printing technologies. 'Printed electronics' is being explored for the manufacture of large-area and flexible electronic devices by the patterned application of functional inks containing soluble or dispersed semiconducting materials. However, because of the strong self-organizing tendency of the deposited materials, the production of semiconducting thin films of high crystallinity (indispensable for realizing high carrier mobility) may be incompatible with conventional printing processes. Here we develop a method that combines the technique of antisolvent crystallization with inkjet printing to produce organic semiconducting thin films of high crystallinity. Specifically, we show that mixing fine droplets of an antisolvent and a solution of an active semiconducting component within a confined area on an amorphous substrate can trigger the controlled formation of exceptionally uniform single-crystal or polycrystalline thin films that grow at the liquid-air interfaces. Using this approach, we have printed single crystals of the organic semiconductor 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C(8)-BTBT) (ref. 15), yielding thin-film transistors with average carrier mobilities as high as 16.4 cm(2) V(-1) s(-1). This printing technique constitutes a major step towards the use of high-performance single-crystal semiconductor devices for large-area and flexible electronics applications.

  16. Electron-beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. (UK)

  17. Making PMT halftone prints

    Energy Technology Data Exchange (ETDEWEB)

    Corey, J.D.

    1977-05-01

    In the printing process for technical reports presently used at Bendix Kansas City Division, photographs are reproduced by pasting up PMT halftone prints on the artwork originals. These originals are used to make positive-working plastic plates for offset lithography. Instructions for making good-quality halftone prints using Eastman Kodak's PMT materials and processes are given in this report. 14 figures.

  18. Printed Barium Strontium Titanate capacitors on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Sette, Daniele [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Luxembourg Institute of Science and Technology LIST, Materials Research and Technology Department, L-4422 Belvaux (Luxembourg); Kovacova, Veronika [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Defay, Emmanuel, E-mail: emmanuel.defay@list.lu [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Luxembourg Institute of Science and Technology LIST, Materials Research and Technology Department, L-4422 Belvaux (Luxembourg)

    2015-08-31

    In this paper, we show that Barium Strontium Titanate (BST) films can be prepared by inkjet printing of sol–gel precursors on platinized silicon substrate. Moreover, a functional variable capacitor working in the GHz range has been made without any lithography or etching steps. Finally, this technology requires 40 times less precursors than the standard sol–gel spin-coating technique. - Highlights: • Inkjet printing of Barium Strontium Titanate films • Deposition on silicon substrate • Inkjet printed silver top electrode • First ever BST films thinner than 1 μm RF functional variable capacitor that has required no lithography.

  19. Electron beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. 5 figs

  20. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  1. Stamp design effect on 100 nm feature size for 8 inch NanoImprint lithography

    International Nuclear Information System (INIS)

    Landis, S; Chaix, N; Gourgon, C; Perret, C; Leveder, T

    2006-01-01

    Sub-100 nm resolution on a 200 mm silicon stamp has been hot embossed into commercial Sumitomo NEB 22 resist. A single pattern, exposed with electron beam lithography, has been considered to define the stamp and thus make it possible to point out the impact of stamp design on the printing. These results may be considered as a first attempt to define rules to solve the proximity printing effects (PPEs). Moreover, a large range of initial resist thickness, from 56 to 506 nm, has been spin coated to assess the effect of polymer flow properties for the stamp cavity filling and the printed defects. A detailed analysis of the printed resist in dense hole patterns showed that the application volume conservation is enough to calculate the residual layer thickness as the height of the printed resist feature. Good accordance has been obtained between the theoretical approach and experimental results. Moreover, the impact of the pattern symmetry breakdown on mould deformation is clearly shown in this paper in the printed areas as well as in the unprinted areas

  2. Low temperature fabrication of conductive silver lines and dots via transfer-printing and nanoimprinting lithography techniques

    International Nuclear Information System (INIS)

    Wu, Chun-Chang; Hsu, Steve Lien-Chung; Chiu, Ching-Wei; Wu, Jung-Tang

    2013-01-01

    In this work, we have developed novel methods to fabricate conductive silver tracks and dots directly from silver nitrate solution by transfer-printing and nanoimprinting lithography techniques, which are inexpensive and can be scaled down to the nanometer scale. The silver nitrate precursor can be reduced in ethylene glycol vapor to form silver at low temperatures. Energy dispersive spectrometric analysis results indicate that the silver nitrate has been converted to silver completely. In order to obtain smooth and continuous conductive patterned silver features with high resolution, the silver lines with widths of a few tens of micrometers to nanometers were patterned by using a spin-coating approach. Using a 14 M silver nitrate solution, continuous silver conductive lines with a resistivity of 8.45 × 10 −5 Ω cm has been produced. (paper)

  3. Inkjet and screen printing for electronic applications

    OpenAIRE

    Medina Rodríguez, Beatriz

    2016-01-01

    Printed electronics (PE) is a set of printing methods used to create electrical devices on various substrates. Printing typically uses common printing equipment suitable for defining patterns on material, such as screen printing, flexography, gravure, offset lithography, and inkjet. Electrically functional, electronic or optical inks are deposited on the substrate, creating active or passive devices. PE offers a great advantage when compared to traditional processes or microelectronics du...

  4. X-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.

    1989-01-01

    Any type of lithography is a means of printing a pattern. The suitable lithographic tool is defined according to what kind of application the replication technique is aimed at, that is to say, what size of pattern, on what type of substrate and how many substrates are desired. The trend in all the fields of science and fabrication is to go towards smaller dimensions. Especially in the case of advanced device fabrication in the semiconductor industry, the reduction of dimensions results in a higher density of integrated circuits that will result in lower cost per function and improved performance. Lithography is used to define areas that are usually protected by a resist pattern in relief on a substrate and is followed by a process which transfers the aerial pattern from the resist to the bulk substrate as, for example, in microelectronics, in between two steps of the process or levels that are used for selective diffusion of impurities to produce the desired electrical characteristics, etching, metallization

  5. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  6. 32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithography

    Science.gov (United States)

    Greenway, Robert T.; Jeong, Kwangok; Kahng, Andrew B.; Park, Chul-Hong; Petersen, John S.

    2008-10-01

    As optical lithography advances into the 45nm technology node and beyond, new manufacturing-aware design requirements have emerged. We address layout design for interference-assisted lithography (IAL), a double exposure method that combines maskless interference lithography (IL) and projection lithography (PL); cf. hybrid optical maskless lithography (HOMA) in [2] and [3]. Since IL can generate dense but regular pitch patterns, a key challenge to deployment of IAL is the conversion of existing designs to regular-linewidth, regular-pitch layouts. In this paper, we propose new 1-D regular pitch SRAM bitcell layouts which are amenable to IAL. We evaluate the feasibility of our bitcell designs via lithography simulations and circuit simulations, and confirm that the proposed bitcells can be successfully printed by IAL and that their electrical characteristics are comparable to those of existing bitcells.

  7. Some Thoughts on Contemporary Graphic Print

    Directory of Open Access Journals (Sweden)

    Stefan Skiba

    2016-09-01

    Full Text Available The production requirements of original graphic works of art have changed since 1980. The development of digital printing using lightfast colors now rivals traditional techniques such as wood cut, screen print, lithography, etching etc. Today, with respect to artistic legitimacy, original graphics using traditional printing techniques compete with original graphics produced by digital printing techniques on the art market. What criteria distinguish traditional printing techniques from those of digital printing in the production and acquisition of original graphics? What consequences is the serious artist faced with when deciding to implement digital print production? How does digital print change original graphic acquisition decisions?

  8. 3D printing of new biobased unsaturated polyesters by microstereo-thermal-lithography

    International Nuclear Information System (INIS)

    Gonçalves, Filipa A M M; Costa, Cátia S M F; Fabela, Inês G P; Simões, Pedro N; Serra, Arménio C; Coelho, Jorge F J; Farinha, Dina; Faneca, Henrique; Bártolo, Paulo J

    2014-01-01

    New micro three-dimensional (3D) scaffolds using biobased unsaturated polyesters (UPs) were prepared by microstereo-thermal-lithography (μSTLG). This advanced processing technique offers indubitable advantages over traditional printing methods. The accuracy and roughness of the 3D structures were evaluated by scanning electron microscopy and infinite focus microscopy, revealing a suitable roughness for cell attachment. UPs were synthesized by bulk polycondensation between biobased aliphatic diacids (succinic, adipic and sebacic acid) and two different glycols (propylene glycol and diethylene glycol) using fumaric acid as the source of double bonds. The chemical structures of the new oligomers were confirmed by proton nuclear magnetic resonance spectra, attenuated total reflectance Fourier transform infrared spectroscopy and matrix assisted laser desorption/ionization-time of flight mass spectrometry. The thermal and mechanical properties of the UPs were evaluated to determine the influence of the diacid/glycol ratio and the type of diacid in the polyester’s properties. In addition an extensive thermal characterization of the polyesters is reported. The data presented in this work opens the possibility for the use of biobased polyesters in additive manufacturing technologies as a route to prepare biodegradable tailor made scaffolds that have potential applications in a tissue engineering area. (paper)

  9. Simulations for printing contacts with near field x-rays

    International Nuclear Information System (INIS)

    Bourdillon, Antony J; Boothroyd, Chris B

    2005-01-01

    In ultra high resolution lithography, sometimes called near field x-ray lithography, Fresnel diffraction is deliberately used to increase resolution: the contraction in current occurring beyond a clear mask feature has, further, important experimentally beneficial effects that were previously overlooked. All the key features of the technique have, by now, been demonstrated and previously reported. The technique is also an enhancement of the most-developed next generation lithography. The enhancement has fundamental advantages, including an increase in mask-wafer Gap (the Gap scales as the square of the width of a clear mask feature); reduced exposure times; more easily fabricated masks; high density prints by multiple exposures; high contrast; elimination of sidebands; reduction in the effects of mask defects, compact masks, etc. We have, previously reported experimental and simulated prints from lines and more complex flag and bridge structures; here we report simulations for symmetrical contacts. More particularly, in the printing of circular features, it is shown that a demagnification factor around 7 can be routinely used to optimize mask-wafer Gap. Although the Gap is significantly extended by using larger clear mask features, finer prints can still be developed

  10. Multilayer, Stacked Spiral Copper Inductors on Silicon with Micro-Henry Inductance Using Single-Level Lithography

    Directory of Open Access Journals (Sweden)

    Timothy Reissman

    2012-01-01

    Full Text Available We present copper structures composed of multilayer, stacked inductors (MLSIs with tens of micro-Henry inductance for use in low frequency (sub 100 MHz, power converter technology. Unique to this work is the introduction of single-level lithography over the traditional two-level approach to create each inductor layer. The result is a simplified fabrication process which results in a reduction in the number of lithography steps per inductor (metal layer and a reduction in the necessary alignment precision. Additionally, we show that this fabrication process yields strong adhesion amongst the layers, since even after a postprocess abrasion technique at the inner diameter of the inductors, no shearing occurs and connectivity is preserved. In total, three separate structures were fabricated using the single-level lithography approach, each with a three-layered, stacked inductor design but with varied geometries. Measured values for each of the structures were extracted, and the following results were obtained: inductance values of 24.74, 17.25, and 24.74 μH, self-resonances of 9.87, 5.72, and 10.58 MHz, and peak quality factors of 2.26, 2.05, and 4.6, respectively. These values are in good agreement with the lumped parameter model presented.

  11. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    Science.gov (United States)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  12. Linear Fresnel zone plate based two-state alignment system for 0.25 micron x-ray lithography

    International Nuclear Information System (INIS)

    Chen, G.

    1993-01-01

    X-ray lithography has proven to be a cost effective and promising technique for fabricating Integrated Circuits (ICs) with minimum feature sizes of less than 0.25 μm. Since IC fabrication is a multilevel process, to preserve the functionality of devices, circuit patterns printed at each lithography level must match existing patterns on the wafer with an accuracy of less than 1/3 ∼ 1/5 of the minimum feature size. An alignment system is used to position the mask relative to the wafer so that mask circuit patterns can be printed on the wafer at the designed position. As the minimum printed feature size shrinks, the overlay requirements of a lithography tool become more stringent. A stepper for 0.25 μm feature device fabrication requires an overlay accuracy of 0.075 μm, of which only 0.05 μm (mean + 3σ) is allocated to its alignment system. This thesis presents the development of a linear Fresnel zone late based two-state alignment (TSA) method for a 0.25 μm x-ray lithography tool. The authors first analyze the overlay requirement in a lithography process and the error allocation to the alignment system for a 0.25 μ feature x-ray lithography tool. They then describe the principle of the two-state alignment, its computer simulation and the optimal alignment mark design. They carried out an optical bench test for the one-axes alignment setup and experimentally evaluated the performance of the system. They developed a three-axes TSA system and integrated the system with the ES-3 x-ray beamline to construct the CXrL aligner, an experimental x-ray exposure system in CXrL. They measured the alignment accuracy of the exposure system to be better than 0.035 μm (3σ) on both metal and dielectric alignment mark substrates. They also studied the effect of processing coatings on the alignment signal with different wafer mark substrates. They successfully printed the 0.5 μm gate level patterns for the first NMOS test chip at CXrL

  13. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography.

    Science.gov (United States)

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-02

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm² intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  14. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography

    Directory of Open Access Journals (Sweden)

    Linas Jonušauskas

    2017-01-01

    Full Text Available We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL. This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8 and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  15. Optically Clear and Resilient Free-Form μ-Optics 3D-Printed via Ultrafast Laser Lithography

    Science.gov (United States)

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-01

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures. PMID:28772389

  16. Maskless, parallel patterning with zone-plate array lithography

    International Nuclear Information System (INIS)

    Carter, D. J. D.; Gil, Dario; Menon, Rajesh; Mondol, Mark K.; Smith, Henry I.; Anderson, Erik H.

    1999-01-01

    Zone-plate array lithography (ZPAL) is a maskless lithography scheme that uses an array of shuttered zone plates to print arbitrary patterns on a substrate. An experimental ultraviolet ZPAL system has been constructed and used to simultaneously expose nine different patterns with a 3x3 array of zone plates in a quasidot-matrix fashion. We present exposed patterns, describe the system design and construction, and discuss issues essential to a functional ZPAL system. We also discuss another ZPAL system which operates with 4.5 nm x radiation from a point source. We present simulations which show that, with our existing x-ray zone plates and this system, we should be able to achieve 55 nm resolution. (c) 1999 American Vacuum Society

  17. Biocompatible 3D printed magnetic micro needles

    KAUST Repository

    Kavaldzhiev, Mincho

    2017-01-30

    Biocompatible functional materials play a significant role in drug delivery, tissue engineering and single cell analysis. We utilized 3D printing to produce high aspect ratio polymer resist microneedles on a silicon substrate and functionalized them by iron coating. Two-photon polymerization lithography has been used for printing cylindrical, pyramidal, and conical needles from a drop cast IP-DIP resist. Experiments with cells were conducted with cylindrical microneedles with 630 ± 15 nm in diameter with an aspect ratio of 1:10 and pitch of 12 μm. The needles have been arranged in square shaped arrays with various dimensions. The iron coating of the needles was 120 ± 15 nm thick and has isotropic magnetic behavior. The chemical composition and oxidation state were determined using energy electron loss spectroscopy, revealing a mixture of iron and Fe3O4 clusters. A biocompatibility assessment was performed through fluorescence microscopy using calcein/EthD-1 live/dead assay. The results show a very high biocompatibility of the iron coated needle arrays. This study provides a strategy to obtain electromagnetically functional microneedles that benefit from the flexibility in terms of geometry and shape of 3D printing. Potential applications are in areas like tissue engineering, single cell analysis or drug delivery.

  18. Biocompatible 3D printed magnetic micro needles

    KAUST Repository

    Kavaldzhiev, Mincho; Perez, Jose E.; Ivanov, Yurii; Bertoncini, Andrea; Liberale, Carlo; Kosel, Jü rgen

    2017-01-01

    Biocompatible functional materials play a significant role in drug delivery, tissue engineering and single cell analysis. We utilized 3D printing to produce high aspect ratio polymer resist microneedles on a silicon substrate and functionalized them by iron coating. Two-photon polymerization lithography has been used for printing cylindrical, pyramidal, and conical needles from a drop cast IP-DIP resist. Experiments with cells were conducted with cylindrical microneedles with 630 ± 15 nm in diameter with an aspect ratio of 1:10 and pitch of 12 μm. The needles have been arranged in square shaped arrays with various dimensions. The iron coating of the needles was 120 ± 15 nm thick and has isotropic magnetic behavior. The chemical composition and oxidation state were determined using energy electron loss spectroscopy, revealing a mixture of iron and Fe3O4 clusters. A biocompatibility assessment was performed through fluorescence microscopy using calcein/EthD-1 live/dead assay. The results show a very high biocompatibility of the iron coated needle arrays. This study provides a strategy to obtain electromagnetically functional microneedles that benefit from the flexibility in terms of geometry and shape of 3D printing. Potential applications are in areas like tissue engineering, single cell analysis or drug delivery.

  19. Plasmonic colour laser printing

    DEFF Research Database (Denmark)

    Zhu, Xiaolong; Vannahme, Christoph; Højlund-Nielsen, Emil

    2016-01-01

    -beam lithography (EBL) or focused ion beam (FIB), both expensive and not scalable processes that are not suitable for post-processing customization. Here we show a method of colour printing on nanoimprinted plasmonic metasurfaces using laser post-writing. Laser pulses induce transient local heat generation...... that leads to melting and reshaping of the imprinted nanostructures. Depending on the laser pulse energy density, different surface morphologies that support different plasmonic resonances leading to different colour appearances can be created. Using this technique we can print all primary colours...

  20. Application of CPL with Interference Mapping Lithography to generate random contact reticle designs for the 65-nm node

    Science.gov (United States)

    Van Den Broeke, Douglas J.; Laidig, Thomas L.; Chen, J. Fung; Wampler, Kurt E.; Hsu, Stephen D.; Shi, Xuelong; Socha, Robert J.; Dusa, Mircea V.; Corcoran, Noel P.

    2004-08-01

    Imaging contact and via layers continues to be one of the major challenges to be overcome for 65nm node lithography. Initial results of using ASML MaskTools' CPL Technology to print contact arrays through pitch have demonstrated the potential to further extend contact imaging to a k1 near 0.30. While there are advantages and disadvantages for any potential RET, the benefits of not having to solve the phase assignment problem (which can lead to unresolvable phase conflicts), of it being a single reticle - single exposure technique, and its application to multiple layers within a device (clear field and dark field) make CPL an attractive, cost effective solution to low k1 imaging. However, real semiconductor circuit designs consist of much more than regular arrays of contact holes and a method to define the CPL reticle design for a full chip circuit pattern is required in order for this technique to be feasible in volume manufacturing. Interference Mapping Lithography (IML) is a novel approach for defining optimum reticle patterns based on the imaging conditions that will be used when the wafer is exposed. Figure 1 shows an interference map for an isolated contact simulated using ASML /1150 settings of 0.75NA and 0.92/0.72/30deg Quasar illumination. This technique provides a model-based approach for placing all types features (scattering bars, anti-scattering bars, non-printing assist features, phase shifted and non-phase shifted) for the purpose of enhancing the resolution of the target pattern and it can be applied to any reticle type including binary (COG), attenuated phase shifting mask (attPSM), alternating aperture phase shifting mask (altPSM), and CPL. In this work, we investigate the application of IML to generate CPL reticle designs for random contact patterns that are typical for 65nm node logic devices. We examine the critical issues related to using CPL with Interference Mapping Lithography including controlling side lobe printing, contact patterns with

  1. Lithography for enabling advances in integrated circuits and devices.

    Science.gov (United States)

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  2. Protein assay structured on paper by using lithography

    Science.gov (United States)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  3. Methodology for evaluating pattern transfer completeness in inkjet printing with irregular edges

    Science.gov (United States)

    Huang, Bo-Cin; Chan, Hui-Ju; Hong, Jian-Wei; Lo, Cheng-Yao

    2016-06-01

    A methodology for quantifying and qualifying pattern transfer completeness in inkjet printing through examining both pattern dimensions and pattern contour deviations from reference design is proposed, which enables scientifically identifying and evaluating inkjet-printed lines, corners, circles, ellipses, and spirals with irregular edges of bulging, necking, and unpredictable distortions resulting from different process conditions. This methodology not only avoids differences in individual perceptions of ambiguous pattern distortions but also indicates the systematic effects of mechanical stresses applied in different directions to a polymer substrate, and is effective for both optical and electrical microscopy in direct and indirect lithography or lithography-free patterning.

  4. Methodology for evaluating pattern transfer completeness in inkjet printing with irregular edges

    International Nuclear Information System (INIS)

    Huang, Bo-Cin; Chan, Hui-Ju; Lo, Cheng-Yao; Hong, Jian-Wei

    2016-01-01

    A methodology for quantifying and qualifying pattern transfer completeness in inkjet printing through examining both pattern dimensions and pattern contour deviations from reference design is proposed, which enables scientifically identifying and evaluating inkjet-printed lines, corners, circles, ellipses, and spirals with irregular edges of bulging, necking, and unpredictable distortions resulting from different process conditions. This methodology not only avoids differences in individual perceptions of ambiguous pattern distortions but also indicates the systematic effects of mechanical stresses applied in different directions to a polymer substrate, and is effective for both optical and electrical microscopy in direct and indirect lithography or lithography-free patterning. (paper)

  5. Advances in single-molecule magnet surface patterning through microcontact printing

    NARCIS (Netherlands)

    Mannini, Matteo; Bonacchi, D.; Bonacchi, Daniele; Zobbi, Laura; Piras, Federica M.; Speets, E.A.; Caneschi, Andrea; Cornia, Andrea; Magnani, Agnese; Ravoo, B.J.; Reinhoudt, David; Sessoli, Roberta; Gatteschi, Dante

    2005-01-01

    We present an implementation of strategies to deposit single-molecule magnets (SMMs) using microcontact printing (uCP). We describe different approaches of CP to print stripes of a sulfur-functionalized dodecamanganese(III,IV) cluster on gold surfaces. Comparison by atomic force microscopy profile

  6. Advances in single-molecule magnet surface patterning through microcontact printing.

    Science.gov (United States)

    Mannini, Matteo; Bonacchi, Daniele; Zobbi, Laura; Piras, Federica M; Speets, Emiel A; Caneschi, Andrea; Cornia, Andrea; Magnani, Agnese; Ravoo, Bart Jan; Reinhoudt, David N; Sessoli, Roberta; Gatteschi, Dante

    2005-07-01

    We present an implementation of strategies to deposit single-molecule magnets (SMMs) using microcontact printing microCP). We describe different approaches of microCP to print stripes of a sulfur-functionalized dodecamanganese (III, IV) cluster on gold surfaces. Comparison by atomic force microscopy profile analysis of the patterned structures confirms the formation of a chemically stable single layer of SMMs. Images based on chemical contrast, obtained by time-of-flight secondary ion mass spectrometry, confirm the patterned structure.

  7. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  8. The upcoming 3D-printing revolution in microfluidics

    Science.gov (United States)

    Bhattacharjee, Nirveek; Urrios, Arturo; Kang, Shawn; Folch, Albert

    2016-01-01

    In the last two decades, the vast majority of microfluidic systems have been built in poly(dimethylsiloxane) (PDMS) by soft lithography, a technique based on PDMS micromolding. A long list of key PDMS properties have contributed to the success of soft lithography: PDMS is biocompatible, elastomeric, transparent, gas-permeable, water-impermeable, fairly inexpensive, copyright-free, and rapidly prototyped with high precision using simple procedures. However, the fabrication process typically involves substantial human labor, which tends to make PDMS devices difficult to disseminate outside of research labs, and the layered molding limits the 3D complexity of the devices that can be produced. 3D-printing has recently attracted attention as a way to fabricate microfluidic systems due to its automated, assembly-free 3D fabrication, rapidly decreasing costs, and fast-improving resolution and throughput. Resins with properties approaching those of PDMS are being developed. Here we review past and recent efforts in 3D-printing of microfluidic systems. We compare the salient features of PDMS molding with those of 3D-printing and we give an overview of the critical barriers that have prevented the adoption of 3D-printing by microfluidic developers, namely resolution, throughput, and resin biocompatibility. We also evaluate the various forces that are persuading researchers to abandon PDMS molding in favor of 3D-printing in growing numbers. PMID:27101171

  9. Sliding three-phase contact line of printed droplets for single-crystal arrays

    International Nuclear Information System (INIS)

    Kuang, Minxuan; Wu, Lei; Li, Yifan; Gao, Meng; Zhang, Xingye; Jiang, Lei; Song, Yanlin

    2016-01-01

    Controlling the behaviours of printed droplets is an essential requirement for inkjet printing of delicate three-dimensional (3D) structures or high-resolution patterns. In this work, molecular deposition and crystallization are regulated by manipulating the three-phase contact line (TCL) behaviour of the printed droplets. The results show that oriented single-crystal arrays are fabricated based on the continuously sliding TCL. Owing to the sliding of the TCL on the substrate, the outward capillary flow within the evaporating droplet is suppressed and the molecules are brought to the centre of the droplet, resulting in the formation of a single crystal. This work provides a facile strategy for controlling the structures of printed units by manipulating the TCL of printed droplets, which is significant for realizing high-resolution patterns and delicate 3D structures. (paper)

  10. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  11. Deep-etch x-ray lithography at the ALS: First results

    Energy Technology Data Exchange (ETDEWEB)

    Malek, C.K.; Jackson, K.H. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States); Brennen, R.A. [Jet Propulsion Lab., Pasadena, CA (United States)] [and others

    1997-04-01

    The fabrication of high-aspect-ratio and three-dimensional (3D) microstructures is of increasing interest in a multitude of applications in fields such as micromechanics, optics, and interconnect technology. Techniques and processes that enable lithography in thick materials differ from the planar technologies used in standard integrated circuit processing. Deep x-ray lithography permits extremely precise and deep proximity printing of a given pattern from a mask into a very thick resist. It requires a source of hard, intense, and well collimated x-ray radiation, as is provided by a synchrotron radiation source. The thick resist microstructures, so produced can be used as templates from which ultrahigh precision parts with high aspect ratios can be mass-produced out of a large variety of materials (metals, plastics, ceramics). This whole series of techniques and processes has been historically referred to as {open_quotes}LIGA,{close_quotes} from the German acronym for lithography, electroforming (Galvanoformung), and plastic molding (Abformung), the first development of the basic LIGA process having been performed at the Nuclear Research Center at Karlsruhe in Germany.

  12. Single-cell printing to form three-dimensional lines of olfactory ensheathing cells

    International Nuclear Information System (INIS)

    Othon, Christina M; Ringeisen, Bradley R; Wu Xingjia; Anders, Juanita J

    2008-01-01

    Biological laser printing (BioLP(TM)) is a unique tool capable of printing high resolution two- and three-dimensional patterns of living mammalian cells, with greater than 95% viability. These results have been extended to primary cultured olfactory ensheathing cells (OECs), harvested from adult Sprague-Dawley rats. OECs have been found to provide stimulating environments for neurite outgrowth in spinal cord injury models. BioLP is unique in that small load volumes (∼μLs) are required to achieve printing, enabling low numbers of OECs to be harvested, concentrated and printed. BioLP was used to form several 8 mm lines of OECs throughout a multilayer hydrogel scaffold. The line width was as low as 20 μm, with most lines comprising aligned single cells. Fluorescent confocal microscopy was used to determine the functionality of the printed OECs, to monitor interactions between printed OECs, and to determine the extent of cell migration throughout the 3D scaffold. High-resolution printing of low cell count, harvested OECs is an important advancement for in vitro study of cell interactions and functionality. In addition, these cell-printed scaffolds may provide an alternative for spinal cord repair studies, as the single-cell patterns formed here are on relevant size scales for neurite outgrowth

  13. Roll-to-roll UV imprint lithography for flexible electronics

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Barbu, I.; Meinders, E.R.; Bremen, A. van; Iosad, N.; Werf, R. van der; Onvlee, H.

    2011-01-01

    We propose a roll-to-roll UV imprint lithography tool as a way to pattern flexible PET foil with µm-resolution. As a way to overcome dimensional instability of the foil and its effect on overlay, a self-align approach was investigated, that permits to make several layers in a single lithography

  14. Inkjet printing of aligned single-walled carbon-nanotube thin films

    Science.gov (United States)

    Takagi, Yuki; Nobusa, Yuki; Gocho, Shota; Kudou, Hikaru; Yanagi, Kazuhiro; Kataura, Hiromichi; Takenobu, Taishi

    2013-04-01

    We report a method for the inkjet printing of aligned single-walled carbon-nanotube (SWCNT) films by combining inkjet technology with the strong wettability contrast between hydrophobic and hydrophilic areas based on the patterning of self-assembled monolayers. Both the drying process control using the strong wettability boundary and the coffee-stain effect strongly promote the aggregation of SWCNTs along the contact line of a SWCNT ink droplet, thereby demonstrating our achievement of inkjet-printed aligned SWCNT films. This method could open routes for developing high-performance and environmentally friendly SWCNT printed electronics.

  15. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  16. High throughput, high resolution enzymatic lithography process: effect of crystallite size, moisture, and enzyme concentration.

    Science.gov (United States)

    Mao, Zhantong; Ganesh, Manoj; Bucaro, Michael; Smolianski, Igor; Gross, Richard A; Lyons, Alan M

    2014-12-08

    By bringing enzymes into contact with predefined regions of a surface, a polymer film can be selectively degraded to form desired patterns that find a variety of applications in biotechnology and electronics. This so-called "enzymatic lithography" is an environmentally friendly process as it does not require actinic radiation or synthetic chemicals to develop the patterns. A significant challenge to using enzymatic lithography has been the need to restrict the mobility of the enzyme in order to maintain control of feature sizes. Previous approaches have resulted in low throughput and were limited to polymer films only a few nanometers thick. In this paper, we demonstrate an enzymatic lithography system based on Candida antartica lipase B (CALB) and poly(ε-caprolactone) (PCL) that can resolve fine-scale features, (<1 μm across) in thick (0.1-2.0 μm) polymer films. A Polymer Pen Lithography (PPL) tool was developed to deposit an aqueous solution of CALB onto a spin-cast PCL film. Immobilization of the enzyme on the polymer surface was monitored using fluorescence microscopy by labeling CALB with FITC. The crystallite size in the PCL films was systematically varied; small crystallites resulted in significantly faster etch rates (20 nm/min) and the ability to resolve smaller features (as fine as 1 μm). The effect of printing conditions and relative humidity during incubation is also presented. Patterns formed in the PCL film were transferred to an underlying copper foil demonstrating a "Green" approach to the fabrication of printed circuit boards.

  17. Graphene nanoribbon superlattices fabricated via He ion lithography

    International Nuclear Information System (INIS)

    Archanjo, Braulio S.; Fragneaud, Benjamin; Gustavo Cançado, Luiz; Winston, Donald; Miao, Feng; Alberto Achete, Carlos; Medeiros-Ribeiro, Gilberto

    2014-01-01

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He + -beam lithography can texture graphene with less damage

  18. Graphene nanoribbon superlattices fabricated via He ion lithography

    Energy Technology Data Exchange (ETDEWEB)

    Archanjo, Braulio S., E-mail: bsarchanjo@inmetro.gov.br [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Fragneaud, Benjamin [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Juiz de Fora, Juiz de Fora, MG 36036-330 (Brazil); Gustavo Cançado, Luiz [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Winston, Donald [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); Miao, Feng [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); National Laboratory of Solid State Microstructures, School of Physics, National Center of Microstructures and Quantum Manipulation, Nanjing University, Nanjing 210093 (China); Alberto Achete, Carlos [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Engenharia Metalúrgica e de Materiais, Universidade Federal do Rio de janeiro, Rio de Janeiro RJ 21941-972 (Brazil); Medeiros-Ribeiro, Gilberto [Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States)

    2014-05-12

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He{sup +}-beam lithography can texture graphene with less damage.

  19. Computer numerical control (CNC) lithography: light-motion synchronized UV-LED lithography for 3D microfabrication

    International Nuclear Information System (INIS)

    Kim, Jungkwun; Allen, Mark G; Yoon, Yong-Kyu

    2016-01-01

    This paper presents a computer-numerical-controlled ultraviolet light-emitting diode (CNC UV-LED) lithography scheme for three-dimensional (3D) microfabrication. The CNC lithography scheme utilizes sequential multi-angled UV light exposures along with a synchronized switchable UV light source to create arbitrary 3D light traces, which are transferred into the photosensitive resist. The system comprises a switchable, movable UV-LED array as a light source, a motorized tilt-rotational sample holder, and a computer-control unit. System operation is such that the tilt-rotational sample holder moves in a pre-programmed routine, and the UV-LED is illuminated only at desired positions of the sample holder during the desired time period, enabling the formation of complex 3D microstructures. This facilitates easy fabrication of complex 3D structures, which otherwise would have required multiple manual exposure steps as in the previous multidirectional 3D UV lithography approach. Since it is batch processed, processing time is far less than that of the 3D printing approach at the expense of some reduction in the degree of achievable 3D structure complexity. In order to produce uniform light intensity from the arrayed LED light source, the UV-LED array stage has been kept rotating during exposure. UV-LED 3D fabrication capability was demonstrated through a plurality of complex structures such as V-shaped micropillars, micropanels, a micro-‘hi’ structure, a micro-‘cat’s claw,’ a micro-‘horn,’ a micro-‘calla lily,’ a micro-‘cowboy’s hat,’ and a micro-‘table napkin’ array. (paper)

  20. Fabrication of long linear arrays of plastic optical fibers with squared ends for the use of code mark printing lithography

    Science.gov (United States)

    Horiuchi, Toshiyuki; Watanabe, Jun; Suzuki, Yuta; Iwasaki, Jun-ya

    2017-05-01

    Two dimensional code marks are often used for the production management. In particular, in the production lines of liquid-crystal-display panels and others, data on fabrication processes such as production number and process conditions are written on each substrate or device in detail, and they are used for quality managements. For this reason, lithography system specialized in code mark printing is developed. However, conventional systems using lamp projection exposure or laser scan exposure are very expensive. Therefore, development of a low-cost exposure system using light emitting diodes (LEDs) and optical fibers with squared ends arrayed in a matrix is strongly expected. In the past research, feasibility of such a new exposure system was demonstrated using a handmade system equipped with 100 LEDs with a central wavelength of 405 nm, a 10×10 matrix of optical fibers with 1 mm square ends, and a 10X projection lens. Based on these progresses, a new method for fabricating large-scale arrays of finer fibers with squared ends was developed in this paper. At most 40 plastic optical fibers were arranged in a linear gap of an arraying instrument, and simultaneously squared by heating them on a hotplate at 120°C for 7 min. Fiber sizes were homogeneous within 496+/-4 μm. In addition, average light leak was improved from 34.4 to 21.3% by adopting the new method in place of conventional one by one squaring method. Square matrix arrays necessary for printing code marks will be obtained by piling the newly fabricated linear arrays up.

  1. High-Throughput Printing Process for Flexible Electronics

    Science.gov (United States)

    Hyun, Woo Jin

    Printed electronics is an emerging field for manufacturing electronic devices with low cost and minimal material waste for a variety of applications including displays, distributed sensing, smart packaging, and energy management. Moreover, its compatibility with roll-to-roll production formats and flexible substrates is desirable for continuous, high-throughput production of flexible electronics. Despite the promise, however, the roll-to-roll production of printed electronics is quite challenging due to web movement hindering accurate ink registration and high-fidelity printing. In this talk, I will present a promising strategy for roll-to-roll production using a novel printing process that we term SCALE (Self-aligned Capillarity-Assisted Lithography for Electronics). By utilizing capillarity of liquid inks on nano/micro-structured substrates, the SCALE process facilitates high-resolution and self-aligned patterning of electrically functional inks with greatly improved printing tolerance. I will show the fabrication of key building blocks (e.g. transistor, resistor, capacitor) for electronic circuits using the SCALE process on plastics.

  2. Pharmaceutical 3D printing: Design and qualification of a single step print and fill capsule.

    Science.gov (United States)

    Smith, Derrick M; Kapoor, Yash; Klinzing, Gerard R; Procopio, Adam T

    2018-06-10

    Fused deposition modeling (FDM) 3D printing (3DP) has a potential to change how we envision manufacturing in the pharmaceutical industry. A more common utilization for FDM 3DP is to build upon existing hot melt extrusion (HME) technology where the drug is dispersed in the polymer matrix. However, reliable manufacturing of drug-containing filaments remains a challenge along with the limitation of active ingredients which can sustain the processing risks involved in the HME process. To circumvent this obstacle, a single step FDM 3DP process was developed to manufacture thin-walled drug-free capsules which can be filled with dry or liquid drug product formulations. Drug release from these systems is governed by the combined dissolution of the FDM capsule 'shell' and the dosage form encapsulated in these shells. To prepare the shells, the 3D printer files (extension '.gcode') were modified by creating discrete zones, so-called 'zoning process', with individual print parameters. Capsules printed without the zoning process resulted in macroscopic print defects and holes. X-ray computed tomography, finite element analysis and mechanical testing were used to guide the zoning process and printing parameters in order to manufacture consistent and robust capsule shell geometries. Additionally, dose consistencies of drug containing liquid formulations were investigated in this work. Copyright © 2018 Elsevier B.V. All rights reserved.

  3. Nanoimprint Lithography on curved surfaces prepared by fused deposition modelling

    International Nuclear Information System (INIS)

    Köpplmayr, Thomas; Häusler, Lukas; Bergmair, Iris; Mühlberger, Michael

    2015-01-01

    Fused deposition modelling (FDM) is an additive manufacturing technology commonly used for modelling, prototyping and production applications. The achievable surface roughness is one of its most limiting aspects. It is however of great interest to create well-defined (nanosized) patterns on the surface for functional applications such as optical effects, electronics or bio-medical devices. We used UV-curable polymers of different viscosities and flexible stamps made of poly(dimethylsiloxane) (PDMS) to perform Nanoimprint Lithography (NIL) on FDM-printed curved parts. Substrates with different roughness and curvature were prepared using a commercially available 3D printer. The nanoimprint results were characterized by optical light microscopy, profilometry and atomic force microscopy (AFM). Our experiments show promising results in creating well-defined microstructures on the 3D-printed parts. (paper)

  4. Resistless Fabrication of Nanoimprint Lithography (NIL Stamps Using Nano-Stencil Lithography

    Directory of Open Access Journals (Sweden)

    Juergen Brugger

    2013-10-01

    Full Text Available In order to keep up with the advances in nano-fabrication, alternative, cost-efficient lithography techniques need to be implemented. Two of the most promising are nanoimprint lithography (NIL and stencil lithography. We explore here the possibility of fabricating the stamp using stencil lithography, which has the potential for a cost reduction in some fabrication facilities. We show that the stamps reproduce the membrane aperture patterns within ±10 nm and we validate such stamps by using them to fabricate metallic nanowires down to 100 nm in size.

  5. Single mode solid state distributed feedback dye laser fabricated by grey scale electron beam lithography on dye doped SU-8 resist

    DEFF Research Database (Denmark)

    Balslev, Søren; Rasmussen, Torben; Shi, Peixiong

    2005-01-01

    We demonstrate grey scale electron beam lithography on functionalized SU-8 resist for fabrication of single mode solid state dye laser devices. The resist is doped with Rhodamine 6G perchlorate and the lasers are based on a first order Bragg grating distributed feedback resonator. The lasers...

  6. Transfer printing and patterning of stretchable electrospun film

    Energy Technology Data Exchange (ETDEWEB)

    Duan, Yongqing; Huang, YongAn, E-mail: yahuang@hust.edu.cn; Yin, Zhouping

    2013-10-01

    Electrospinning is an effective method for nanofiber production, but seldom used in the fabrication of patterned structures directly due to the whipping instability of the electrospinning jet. The whipping instability of electrospinning is adopted to fabricate stretchable patterned film by combination with an improved thermal transfer printing. The electrospun film is composed of small-scale wavy/coiled fibers, which make the patterned film highly stretchable. The optimal process parameters of whipping-based electrospinning are investigated to fabricate electrospun film with uniform and compact wavy/coiled fiber. Then the transfer printing and thermal detachment lithography are studied to generate patterned film, including the pressure, temperature, and peeling-off speed. Finally, the stretchability of the patterned electrospun film is studied through experiment and finite element analysis. It may open a cost-effective and high-throughput way for flexible/stretchable electronics fabrication. - Highlights: • Stretchable nonwoven film with small-scale wavy fibers is fabricated. • The film is transferred and patterned by thermal detachment lithography. • The patterned film is validated with high stretchability.

  7. Photoreactive and Metal-Platable Copolymer Inks for High-Throughput, Room-Temperature Printing of Flexible Metal Electrodes for Thin-Film Electronics.

    Science.gov (United States)

    Yu, You; Xiao, Xiang; Zhang, Yaokang; Li, Kan; Yan, Casey; Wei, Xiaoling; Chen, Lina; Zhen, Hongyu; Zhou, Hang; Zhang, Shengdong; Zheng, Zijian

    2016-06-01

    Photoreactive and metal-platable copolymer inks are reported for the first time to allow high-throughput printing of high-performance flexible electrodes at room temperature. This new copolymer ink accommodates various types of printing technologies, such as soft lithography molding, screen printing, and inkjet printing. Electronic devices including resistors, sensors, solar cells, and thin-film transistors fabricated with these printed electrodes show excellent electrical performance and mechanical flexibility. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. REAL TIME QUALITY CONTROL OF THE HEATSET OFFSET PRINTING PROCESS

    Directory of Open Access Journals (Sweden)

    Răzvan-George RĂCHERU

    2016-05-01

    Full Text Available Offset lithography is one of the most common ways of creating printed materials. Compared to other printing methods, offset printing is best suited for economically producing large volumes of high quality prints in a manner that requires little maintenance. Because of the high speed and the high volume of the printing press, we have to rely on automation for press control and not just to the printer’s eye. When printing an image that has more than one color, it is necessary to print each color separately and ensure each color overlaps the others precisely. If this is not done, the finished image will look fuzzy, blurred or "out of register". To help line the colors up correctly, a system of registration is necessary. Therefore, the use of an automated real time quality control system will result in a more consistent color for the customer and less waste for the printer.

  9. A 3D-printed device for polymer nanoimprint lithography

    Science.gov (United States)

    Caño-García, Manuel; Geday, Morten A.; Gil-Valverde, Manuel; Megías Zarco, Antonio; Otón, José M.; Quintana, Xabier

    2018-02-01

    Nanoimprint lithography (NIL) is an imprinting technique which has experienced an increasing popularity due to its versatility in fabrication processes. Commercial NIL machines are readily available achieving high quality results; however, these machines involve a relatively high investment. Hence, small laboratories often choose to perform NIL copies in a more rudimentary and cheaper way. A new simple system is presented in this document. It is based on two devices which can be made in-house in plastic by using a 3D printer or in aluminum. Thus, the overall manufacturing complexity is vastly reduced. The presented system includes pressure control and potentially temperature control. Replicas have been made using a sawtooth grating master with a pitch around half micrometre. High quality patterns with low density of imperfections have been achieved in 2.25 cm2 surfaces. The material chosen for the negative intermediary mould is PDMS. Tests of the imprint have been performed using the commercial hybrid polymer Ormostamp®.

  10. An integrated lithography concept with application on 45-nm ½ pitch flash memory devices

    Science.gov (United States)

    Dusa, Mircea; Engelen, Andre; Finders, Jo

    2006-03-01

    It is well accepted to judge imaging capability of an exposure tool primarily on printing equal line-spaces, at a minimum ½ pitch. Further on, combining line-space minimum ½ pitches with scanner maximum NA, defines the process k I. From a lithographer viewpoint, flash memory device is the perfect candidate to achieve lowest k I lithography for a given NA. This is justified by flash layout specific, with regular and relative simple 1-D topology of the critical layers that look like line-space gratings. In reality, flash layout presents a subtle topology and cannot be considered a simple 1-D line-space problem. Uniqueness to flash layout is the array-end zones, where pattern regularity is broken up by features with dimensions and separation of n x ½ pitch, where n is an integer number that we used in this work to manipulate litho process latitudes. Integrated lithography concept seeks to tweak flash pattern details and tune it with scanner control parameters. We introduce feature-center placement through focus and dose as the metric to characterize a cross-coupling phenomena occurring between adjacent features located at array-end of typical flash poly wordline layer. We comparedthe metric behavior with usual litho process window parameters and identified interactions with scanner CDU control parameters. We show how feature-center placement errors are direct functions of optical and physical characteristics of mask materials, attenuated PSM or binary, and of layout array-end topology. Imaging at extreme low-k I, effects from layout specifics and mask materials are best characterized by full vector, rigorous EM simulation, instead of scalar approach, typically used for OPC treatment. Predicted CDU performance of 1.2NA scanner, based on integrated lithography concept, matched very well the experimental results in printing 45nm ½ pitch flash wordline layer. Results show that 1.2NA scanner, operating at 0.28 k I could be an effective lithography solution for 45nm

  11. Accessing microfluidics through feature-based design software for 3D printing

    Science.gov (United States)

    Shankles, Peter G.; Millet, Larry J.; Aufrecht, Jayde A.

    2018-01-01

    Additive manufacturing has been a cornerstone of the product development pipeline for decades, playing an essential role in the creation of both functional and cosmetic prototypes. In recent years, the prospects for distributed and open source manufacturing have grown tremendously. This growth has been enabled by an expanding library of printable materials, low-cost printers, and communities dedicated to platform development. The microfluidics community has embraced this opportunity to integrate 3D printing into the suite of manufacturing strategies used to create novel fluidic architectures. The rapid turnaround time and low cost to implement these strategies in the lab makes 3D printing an attractive alternative to conventional micro- and nanofabrication techniques. In this work, the production of multiple microfluidic architectures using a hybrid 3D printing-soft lithography approach is demonstrated and shown to enable rapid device fabrication with channel dimensions that take advantage of laminar flow characteristics. The fabrication process outlined here is underpinned by the implementation of custom design software with an integrated slicer program that replaces less intuitive computer aided design and slicer software tools. Devices are designed in the program by assembling parameterized microfluidic building blocks. The fabrication process and flow control within 3D printed devices were demonstrated with a gradient generator and two droplet generator designs. Precise control over the printing process allowed 3D microfluidics to be printed in a single step by extruding bridge structures to ‘jump-over’ channels in the same plane. This strategy was shown to integrate with conventional nanofabrication strategies to simplify the operation of a platform that incorporates both nanoscale features and 3D printed microfluidics. PMID:29596418

  12. Accessing microfluidics through feature-based design software for 3D printing.

    Science.gov (United States)

    Shankles, Peter G; Millet, Larry J; Aufrecht, Jayde A; Retterer, Scott T

    2018-01-01

    Additive manufacturing has been a cornerstone of the product development pipeline for decades, playing an essential role in the creation of both functional and cosmetic prototypes. In recent years, the prospects for distributed and open source manufacturing have grown tremendously. This growth has been enabled by an expanding library of printable materials, low-cost printers, and communities dedicated to platform development. The microfluidics community has embraced this opportunity to integrate 3D printing into the suite of manufacturing strategies used to create novel fluidic architectures. The rapid turnaround time and low cost to implement these strategies in the lab makes 3D printing an attractive alternative to conventional micro- and nanofabrication techniques. In this work, the production of multiple microfluidic architectures using a hybrid 3D printing-soft lithography approach is demonstrated and shown to enable rapid device fabrication with channel dimensions that take advantage of laminar flow characteristics. The fabrication process outlined here is underpinned by the implementation of custom design software with an integrated slicer program that replaces less intuitive computer aided design and slicer software tools. Devices are designed in the program by assembling parameterized microfluidic building blocks. The fabrication process and flow control within 3D printed devices were demonstrated with a gradient generator and two droplet generator designs. Precise control over the printing process allowed 3D microfluidics to be printed in a single step by extruding bridge structures to 'jump-over' channels in the same plane. This strategy was shown to integrate with conventional nanofabrication strategies to simplify the operation of a platform that incorporates both nanoscale features and 3D printed microfluidics.

  13. Structural colour printing from a reusable generic nanosubstrate masked for the target image

    International Nuclear Information System (INIS)

    Rezaei, M; Jiang, H; Kaminska, B

    2016-01-01

    Structural colour printing has advantages over traditional pigment-based colour printing. However, the high fabrication cost has hindered its applications in printing large-area images because each image requires patterning structural pixels in nanoscale resolution. In this work, we present a novel strategy to print structural colour images from a pixelated substrate which is called a nanosubstrate. The nanosubstrate is fabricated only once using nanofabrication tools and can be reused for printing a large quantity of structural colour images. It contains closely packed arrays of nanostructures from which red, green, blue and infrared structural pixels can be imprinted. To print a target colour image, the nanosubstrate is first covered with a mask layer to block all the structural pixels. The mask layer is subsequently patterned according to the target colour image to make apertures of controllable sizes on top of the wanted primary colour pixels. The masked nanosubstrate is then used as a stamp to imprint the colour image onto a separate substrate surface using nanoimprint lithography. Different visual colours are achieved by properly mixing the red, green and blue primary colours into appropriate ratios controlled by the aperture sizes on the patterned mask layer. Such a strategy significantly reduces the cost and complexity of printing a structural colour image from lengthy nanoscale patterning into high throughput micro-patterning and makes it possible to apply structural colour printing in personalized security features and data storage. In this paper, nanocone array grating pixels were used as the structural pixels and the nanosubstrate contains structures to imprint the nanocone arrays. Laser lithography was implemented to pattern the mask layer with submicron resolution. The optical properties of the nanocone array gratings are studied in detail. Multiple printed structural colour images with embedded covert information are demonstrated. (paper)

  14. Structural colour printing from a reusable generic nanosubstrate masked for the target image

    Science.gov (United States)

    Rezaei, M.; Jiang, H.; Kaminska, B.

    2016-02-01

    Structural colour printing has advantages over traditional pigment-based colour printing. However, the high fabrication cost has hindered its applications in printing large-area images because each image requires patterning structural pixels in nanoscale resolution. In this work, we present a novel strategy to print structural colour images from a pixelated substrate which is called a nanosubstrate. The nanosubstrate is fabricated only once using nanofabrication tools and can be reused for printing a large quantity of structural colour images. It contains closely packed arrays of nanostructures from which red, green, blue and infrared structural pixels can be imprinted. To print a target colour image, the nanosubstrate is first covered with a mask layer to block all the structural pixels. The mask layer is subsequently patterned according to the target colour image to make apertures of controllable sizes on top of the wanted primary colour pixels. The masked nanosubstrate is then used as a stamp to imprint the colour image onto a separate substrate surface using nanoimprint lithography. Different visual colours are achieved by properly mixing the red, green and blue primary colours into appropriate ratios controlled by the aperture sizes on the patterned mask layer. Such a strategy significantly reduces the cost and complexity of printing a structural colour image from lengthy nanoscale patterning into high throughput micro-patterning and makes it possible to apply structural colour printing in personalized security features and data storage. In this paper, nanocone array grating pixels were used as the structural pixels and the nanosubstrate contains structures to imprint the nanocone arrays. Laser lithography was implemented to pattern the mask layer with submicron resolution. The optical properties of the nanocone array gratings are studied in detail. Multiple printed structural colour images with embedded covert information are demonstrated.

  15. Ultrafast Laser Engraving Method to Fabricate Gravure Plate for Printed Metal-Mesh Touch Panel

    Directory of Open Access Journals (Sweden)

    Weiyuan Chen

    2015-10-01

    Full Text Available In order to engrave gravure plate with fine lines structures, conventional art used lithography with dry/wet etching. Lithography with dry/wet etching method allows to engrave lines with smooth concave shape, but its disadvantages include difficulty in controlling aspect ratio, high and uniform in large size process, substrate material limitation due to etching solution availability, and process complexity. We developed ultra-fast laser technology to directly engrave a stainless plate, a gravure plate, to be used for fabricating 23 in. metal-mesh touch panel by gravure offset printing process. The technology employs high energy pulse to ablate materials from a substrate. Because the ultra-fast laser pulse duration is shorter than the energy dissipation time between material lattices, there is no heating issue during the ablation process. Therefore, no volcano-type protrusion on the engraved line edges occurs, leading to good printing quality. After laser engraving, we then reduce surface roughness of the gravure plate using electro-polishing process. Diamond like carbon (DLC coating layer is then added onto the surface to increase scratch resistance. We show that this procedure can fabricate gravure plate for gravure offset printing process with minimum printing linewidth 10.7 μm. A 23 in. metal-mesh pattern was printed using such gravure plate and fully functional touch panel was demonstrated in this work.

  16. Development of Blue Laser Direct-Write Lithography System

    Directory of Open Access Journals (Sweden)

    Hao-Wen Chang

    2012-01-01

    Full Text Available The optical lithography system researched in this study adopted the laser direct-write lithography technology with nano-positioning stage by using retailing blue ray optical pickup head contained 405nm wavelength and 0.85 numerical aperture of focus lens as the system lighting source. The system employed a photodiode received the focusing error signal reflected by the glass substrate to identify specimen position and automatic focused control with voice coil motor. The pattern substrate was loaded on a nano-positioning stage; input pattern path automatically and collocate with inner program at the same time. This research has successfully developed a blue laser lithography process system. The single spot size can be narrowed down to 3.07 μm and the linewidth is 3.3μm, time of laser control can reach to 450 ns and the exposure pattern can be controlled by program as well.

  17. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  18. Printed energy storage devices by integration of electrodes and separators into single sheets of paper

    KAUST Repository

    Hu, Liangbing; Wu, Hui; Cui, Yi

    2010-01-01

    We report carbon nanotube thin film-based supercapacitors fabricated with printing methods, where electrodes and separators are integrated into single sheets of commercial paper. Carbon nanotube films are easily printed with Meyer rod coating or ink

  19. Integration of multiple theories for the simulation of laser interference lithography processes.

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  20. Integration of multiple theories for the simulation of laser interference lithography processes

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  1. Advantages and drawbacks of Thiol-ene based resins for 3D-printing

    Science.gov (United States)

    Leonards, Holger; Engelhardt, Sascha; Hoffmann, Andreas; Pongratz, Ludwig; Schriever, Sascha; Bläsius, Jana; Wehner, Martin; Gillner, Arnold

    2015-03-01

    The technology of 3D printing is conquering the world and awakens the interest of many users in the most varying of applications. New formulation approaches for photo-sensitive thiol-ene resins in combination with various printing technologies, like stereolithography (SLA), projection based printing/digital light processing (DLP) or two-photon polymerization (TPP) are presented. Thiol-ene polymerizations are known for its fast and quantitative reaction and to form highly homogeneous polymer networks. As the resins are locally and temporally photo-curable the polymerization type is very promising for 3D-printing. By using suitable wavelengths, photoinitiator-free fabrication is feasible for single- and two photon induced polymerization. In this paper divinyl ethers of polyethylene glycols in combination with star-shaped tetrathiols were used to design a simple test-system for photo-curable thiol-ene resins. In order to control and improve curing depth and lateral resolution in 3D-polymerization processes, either additives in chemical formulation or process parameters can be changed. The achieved curing depth and resolution limits depend on the applied fabrication method. While two-/multiphoton induced lithography offers the possibility of micron- to sub-micron resolution it lacks in built-up speed. Hence single-photon polymerization is a fast alternative with optimization potential in sub-10-micron resolution. Absorber- and initiator free compositions were developed in order to avoid aging, yellowing and toxicity of resulting products. They can be cured with UV-laser radiation below 300 nm. The development at Fraunhofer ILT is focusing on new applications in the field of medical products and implants, technical products with respect to mechanical properties or optical properties of 3D-printed objects. Recent process results with model system (polyethylene glycol divinylether/ Pentaerithrytol tetrakis (3-mercaptopropionat), Raman measurements of polymer conversion

  2. Interference lithography for optical devices and coatings

    Science.gov (United States)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  3. High yield growth of patterned vertically aligned carbon nanotubes using inkjet-printed catalyst.

    Science.gov (United States)

    Beard, James D; Stringer, Jonathan; Ghita, Oana R; Smith, Patrick J

    2013-10-09

    This study reports on the fabrication of vertically aligned carbon nanotubes localized at specific sites on a growth substrate by deposition of a nanoparticle suspension using inkjet printing. Carbon nanotubes were grown with high yield as vertically aligned forests to a length of approximately 400 μm. The use of inkjet printing for catalyst fabrication considerably improves the production rate of vertically aligned patterned nanotube forests compared with conventional patterning techniques, for example, electron beam lithography or photolithography.

  4. Transfer printing of graphene strip from the graphene grown on copper wires

    International Nuclear Information System (INIS)

    Su, Ching-Yuan; Fu Dongliang; Lu, Ang-Yu; Liu, Keng-Ku; Xu Yanping; Juang, Zhen-Yu; Li, Lain-Jong

    2011-01-01

    A simple, cost-effective and lithography-free fabrication of graphene strips for device applications is demonstrated. The graphene thin layers were directly grown on Cu wires, followed by Cu etching and transfer printing to arbitrary substrates by a PDMS stamp. The Cu wires can be arranged on the PDMS stamp in a desired pattern; hence, the substrates can receive graphene strips with the same pattern. Moreover, the preparation of graphene strips does not involve conventional lithography; therefore, the surface of the graphene strip is free of residual photoresists, which may be useful for studies requiring clean graphene surfaces.

  5. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  6. A 3D-Printed Oxygen Control Insert for a 24-Well Plate.

    Directory of Open Access Journals (Sweden)

    Martin D Brennan

    Full Text Available 3D printing has emerged as a method for directly printing complete microfluidic devices, although printing materials have been limited to oxygen-impermeable materials. We demonstrate the addition of gas permeable PDMS (Polydimethylsiloxane membranes to 3D-printed microfluidic devices as a means to enable oxygen control cell culture studies. The incorporation of a 3D-printed device and gas-permeable membranes was demonstrated on a 24-well oxygen control device for standard multiwell plates. The direct printing allows integrated distribution channels and device geometries not possible with traditional planar lithography. With this device, four different oxygen conditions were able to be controlled, and six wells were maintained under each oxygen condition. We demonstrate enhanced transcription of the gene VEGFA (vascular endothelial growth factor A with decreasing oxygen levels in human lung adenocarcinoma cells. This is the first 3D-printed device incorporating gas permeable membranes to facilitate oxygen control in cell culture.

  7. A simple and low-cost fully 3D-printed non-planar emulsion generator

    KAUST Repository

    Zhang, Jiaming

    2015-12-23

    Droplet-based microfluidic devices provide a powerful platform for material, chemical and biological applications based on droplet templates. The technique traditionally utilized to fabricate microfluidic emulsion generators, i.e. soft-lithography, is complex and expensive for producing three-dimensional (3D) structures. The emergent 3D printing technology provides an attractive alternative due to its simplicity and low-cost. Recently a handful of studies have already demonstrated droplet production through 3D-printed microfluidic devices. However, these devices invariably use purely two-dimensional (2D) flow structures. Herein we apply 3D printing technology to fabricate simple and low-cost 3D miniaturized fluidic devices for droplet generation (single emulsion) and droplet-in-droplet (double emulsion) without need for surface treatment of the channel walls. This is accomplished by varying the channel diameters at the junction, so the inner liquid does not touch the outer walls. This 3D-printed emulsion generator has been successfully tested over a range of conditions. We also formulate and demonstrate, for the first time, uniform scaling laws for the emulsion drop sizes generated in different regimes, by incorporating the dynamic contact angle effects during the drop formation. Magnetically responsive microspheres are also produced with our emulsion templates, demonstrating the potential applications of this 3D emulsion generator in chemical and material engineering.

  8. Laser Interference Lithography

    NARCIS (Netherlands)

    van Wolferen, Hendricus A.G.M.; Abelmann, Leon; Hennessy, Theodore C.

    In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the

  9. Silicon Nanowire Fabrication Using Edge and Corner Lithography

    NARCIS (Netherlands)

    Yagubizade, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt; Tas, Niels Roelof

    2010-01-01

    This paper presents a wafer scale fabrication method of single-crystalline silicon nanowires (SiNWs) bound by <111> planes using a combination of edge and corner lithography. These are methods of unconventional nanolithography for wafer scale nano-patterning which determine the size of nano-features

  10. Fabrication of ultra-fine nanostructures using edge transfer printing.

    Science.gov (United States)

    Xue, Mianqi; Li, Fengwang; Cao, Tingbing

    2012-03-21

    The exploration of new methods and techniques for application in diverse fields, such as photonics, microfluidics, biotechnology and flexible electronics is of increasing scientific and technical interest for multiple uses over distance of 10-100 nm. This article discusses edge transfer printing--a series of unconventional methods derived from soft lithography for nanofabrication. It possesses the advantages of easy fabrication, low-cost and great serviceability. In this paper, we show how to produce exposed edges and use various materials for edge transfer printing, while nanoskiving, nanotransfer edge printing and tunable cracking for nanogaps are introduced. Besides this, different functional materials, such as metals, inorganic semiconductors and polymers, as well as localised heating and charge patterning, are described here as unconventional "inks" for printing. Edge transfer printing, which can effectively produce sub-100 nm scale ultra-fine structures, has broad applications, including metallic nanowires as nanoelectrodes, semiconductor nanowires for chemical sensors, heterostructures of organic semiconductors, plasmonic devices and so forth. This journal is © The Royal Society of Chemistry 2012

  11. Fabrication of a printed capacitive air-gap touch sensor

    Science.gov (United States)

    Lee, Sang Hoon; Seo, Hwiwon; Lee, Sangyoon

    2018-05-01

    Unlike lithography-based processes, printed electronics does not require etching, which makes it difficult to fabricate electronic devices with an air gap. In this study, we propose a method to fabricate capacitive air-gap touch sensors via printing and coating. First, the bottom electrode was fabricated on a flexible poly(ethylene terephthalate) (PET) substrate using roll-to-roll gravure printing with silver ink. Then poly(dimethylsiloxane) (PDMS) was spin coated to form a sacrificial layer. The top electrode was fabricated on the sacrificial layer by spin coating with a stretchable silver ink. The sensor samples were then put in a tetrabutylammonium (TBAF) bath to generate the air gap by removing the sacrificial layer. The capacitance of the samples was measured for verification, and the results show that the capacitance increases in proportion to the applied force from 0 to 2.5 N.

  12. Pixelgram: an application of electron-beam lithography for the security printing industry

    Science.gov (United States)

    Lee, Robert A.

    1991-10-01

    Following the development of the Catpix I diffraction gratings structure first used on the 1988 Australian plastic DLR10 banknote and more recently on the Singapore plastic DLR50 banknote, the CSIRO Australia, Division of Materials Science & Technology has developed a new optical security and anti-counterfeiting technology known as Pixelgram (or Catpix 2). The Pixelgram, which is subject to patent, is an optically variable device based on a computerized procedure for producing an optically variable version of any given input picture, e.g., a photograph. When a Pixelgram is observed under a given source, such as a fluorescent tube, the image of the original input picture appears at particular angles of view. At other angles, the image varies in both contrast and brightness and can even appear as the photographic negative of the original input picture at some angles of view. As well as its ability to generate optically variable text and graphical images, Pixelgram has the unique capability of being able to display easily recognizable small scale optically variable images of the human face of near photographic clarity. Pixelgram optical security device master plates are produced by a technique borrowed from the microelectronics industry and known as electron beam lithography. In this technique, millions of microscopic grooves are written individually by a finely focused electron beam scanning across a glass plate coated with an electron sensitive material. On a typical Pixelgram there are approximately 2,000 million individual polygons etched into the plate by the electron beam. This corresponds to more than 10,000 megabytes of binary data. The only known electron beam lithography systems that have been able to write such large data files with the required precision are the Cambridge Instruments EBMF 10.5 and EBML 300 electron beam systems.

  13. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  14. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei

    2014-03-01

    Nanoimprint lithography (NIL) is a cost-efficient nanopatterning technology because of its promising advantages of high throughput and high resolution. However, accurate multilevel overlay capability of NIL required for integrated circuit manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage in the manufacture of the coplanar structures, such as integrated plasmonic devices. In this paper, we develop a new process of planar self-alignment imprint lithography (P-SAIL) to fabricate the metallic and dielectric structures on the same plane. P-SAIL transfers the multilevel imprint processes to a single-imprint process which offers higher efficiency and less cost than existing manufacturing methods. Such concept is demonstrated in an example of fabricating planar plasmonic structures consisting of different materials. © 2014 Springer-Verlag Berlin Heidelberg.

  15. Broadband transmission masks, gratings and filters for extreme ultraviolet and soft X-ray lithography

    International Nuclear Information System (INIS)

    Brose, S.; Danylyuk, S.; Juschkin, L.; Dittberner, C.; Bergmann, K.; Moers, J.; Panaitov, G.; Trellenkamp, St.; Loosen, P.; Grützmacher, D.

    2012-01-01

    Lithography and patterning on a nanometre scale with extreme ultraviolet (EUV) and soft X-ray radiation allow creation of high resolution, high density patterns independent of a substrate type. To realize the full potential of this method, especially for EUV proximity printing and interference lithography, a reliable technology for manufacturing of the transmission masks and gratings should be available. In this paper we present a development of broadband amplitude transmission masks and gratings for extreme ultraviolet and soft X-ray lithography based on free-standing niobium membranes. In comparison with a standard silicon nitride based technology the transmission masks demonstrate high contrast not only for in-band EUV (13.5 nm) radiation but also for wavelengths below Si L-absorption edge (12.4 nm). The masks and filters with free standing areas up to 1000 × 1000 μm 2 and 100 nm to 300 nm membrane thicknesses are shown. Electron beam structuring of an absorber layer with dense line and dot patterns with sub-50 nm structures is demonstrated. Diffractive and filtering properties of obtained structures are examined with EUV radiation from a gas discharge plasma source. - Highlights: ► Broadband transmission masks for EUV proximity and interference lithography. ► Technology for free standing niobium membranes with areas up to 1 mm 2 . ► High density patterns with periods of 100 nm and structure sizes below 40 nm. ► Measured diffraction efficiency at 11 nm is in agreement with the theory. ► Produced masks can be effectively used with wavelengths between 6 nm and 17 nm.

  16. Printed energy storage devices by integration of electrodes and separators into single sheets of paper

    Science.gov (United States)

    Hu, Liangbing; Wu, Hui; Cui, Yi

    2010-05-01

    We report carbon nanotube thin film-based supercapacitors fabricated with printing methods, where electrodes and separators are integrated into single sheets of commercial paper. Carbon nanotube films are easily printed with Meyer rod coating or ink-jet printing onto a paper substrate due to the excellent ink absorption of paper. A specific capacity of 33 F/g at a high specific power of 250 000 W/kg is achieved with an organic electrolyte. Such a lightweight paper-based supercapacitor could be used to power paper electronics such as transistors or displays.

  17. Atom lithography of Fe

    NARCIS (Netherlands)

    Sligte, te E.; Smeets, B.; van der Stam, K.M.R.; Herfst, R.W.; Straten, van der P.; Beijerinck, H.C.W.; Leeuwen, van K.A.H.

    2004-01-01

    Direct write atom lithography is a technique in which nearly resonant light is used to pattern an atom beam. Nanostructures are formed when the patterned beam falls onto a substrate. We have applied this lithography scheme to a ferromagnetic element, using a 372 nm laser light standing wave to

  18. Design for manufacturability with advanced lithography

    CERN Document Server

    Yu, Bei

    2016-01-01

    This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL).  The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography.  Unlike books that discuss DFM from the product level, or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms. Enables readers to tackle the challenge of layout decompositions for different patterning techniques; Presents a coherent framework, including standard cell compliance and detailed placement, to enable Triple Patterning Lithography (TPL) friendly design; Includes coverage of the design for manufacturability with E-Beam lithography.

  19. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  20. Single Additive Enables 3D Printing of Highly Loaded Iron Oxide Suspensions.

    Science.gov (United States)

    Hodaei, Amin; Akhlaghi, Omid; Khani, Navid; Aytas, Tunahan; Sezer, Dilek; Tatli, Buse; Menceloglu, Yusuf Z; Koc, Bahattin; Akbulut, Ozge

    2018-03-21

    A single additive, a grafted copolymer, is designed to ensure the stability of suspensions of highly loaded iron oxide nanoparticles (IOPs) and to facilitate three-dimensional (3D) printing of these suspensions in the filament form. This poly (ethylene glycol)-grafted copolymer of N-[3(dimethylamino)propyl]methacrylamide and acrylic acid harnesses both electrostatic and steric repulsion to realize an optimum formulation for 3D printing. When used at 1.15 wt % (by the weight of IOPs), the suspension attains ∼81 wt % solid loading-96% of the theoretical limit as calculated by the Krieger-Dougherty equation. Rectangular, thick-walled toroidal, and thin-walled toroidal magnetic cores and a porous lattice structure are fabricated to demonstrate the utilization of this suspension as an ink for 3D printing. The electrical and magnetic properties of the magnetic cores are characterized through impedance spectroscopy (IS) and vibrating sample magnetometry (VSM), respectively. The IS indicates the possibility of utilizing wire-wound 3D printed cores as the inductive coils. The VSM verifies that the magnetic properties of IOPs before and after the ink formulation are kept almost unchanged because of the low dosage of the additive. This particle-targeted approach for the formulation of 3D printing inks allows embodiment of a fully aqueous system with utmost target material content.

  1. Printed energy storage devices by integration of electrodes and separators into single sheets of paper

    KAUST Repository

    Hu, Liangbing

    2010-01-01

    We report carbon nanotube thin film-based supercapacitors fabricated with printing methods, where electrodes and separators are integrated into single sheets of commercial paper. Carbon nanotube films are easily printed with Meyer rod coating or ink-jet printing onto a paper substrate due to the excellent ink absorption of paper. A specific capacity of 33 F/g at a high specific power of 250 000 W/kg is achieved with an organic electrolyte. Such a lightweight paper-based supercapacitor could be used to power paper electronics such as transistors or displays. © 2010 American Institute of Physics.

  2. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  3. Real-time two-photon lithography in controlled flow to create a single-microparticle array and particle-cluster array for optofluidic imaging.

    Science.gov (United States)

    Xu, Bing; Shi, Yang; Lao, Zhaoxin; Ni, Jincheng; Li, Guoqiang; Hu, Yanlei; Li, Jiawen; Chu, Jiaru; Wu, Dong; Sugioka, Koji

    2018-01-30

    Microarray technology provides an excellent platform for biomedical and biochemical research including basic scientific studies, drug discovery, and diagnostics. Here, we develop a novel method referred to as real-time two-photon lithography in a controlled flow in which femtosecond laser two-photon lithography is performed in situ in the sequential mode stopping and flowing the flow of liquid resin containing microparticles to achieve 100% trapping on a one-bead-to-one-trap basis. Polydisperse particles can be all trapped to form a desired array by freely designing trap structures, resulting in an unprecedentedly high capture efficiency of ∼100%. No persistent pressure is needed after trapping which reduces the complexity of the system. In addition, trapping of particle-cluster arrays with a controlled number of particles is also achieved via this method. The trapped particles inside the microchip are successfully applied as microlenses for high quality imaging. The present technology marks an essential step towards a versatile platform for the integration of bead-based assays and paves the way for developing innovative microfluidics, optofluidics, micro-optics and single-cell analysis devices.

  4. Seamless-merging-oriented parallel inverse lithography technology

    International Nuclear Information System (INIS)

    Yang Yiwei; Shi Zheng; Shen Shanhu

    2009-01-01

    Inverse lithography technology (ILT), a promising resolution enhancement technology (RET) used in next generations of IC manufacture, has the capability to push lithography to its limit. However, the existing methods of ILT are either time-consuming due to the large layout in a single process, or not accurate enough due to simply block merging in the parallel process. The seamless-merging-oriented parallel ILT method proposed in this paper is fast because of the parallel process; and most importantly, convergence enhancement penalty terms (CEPT) introduced in the parallel ILT optimization process take the environment into consideration as well as environmental change through target updating. This method increases the similarity of the overlapped area between guard-bands and work units, makes the merging process approach seamless and hence reduces hot-spots. The experimental results show that seamless-merging-oriented parallel ILT not only accelerates the optimization process, but also significantly improves the quality of ILT.

  5. Applications of three-dimensional (3D) printing for microswimmers and bio-hybrid robotics.

    Science.gov (United States)

    Stanton, M M; Trichet-Paredes, C; Sánchez, S

    2015-04-07

    This article will focus on recent reports that have applied three-dimensional (3D) printing for designing millimeter to micrometer architecture for robotic motility. The utilization of 3D printing has rapidly grown in applications for medical prosthetics and scaffolds for organs and tissue, but more recently has been implemented for designing mobile robotics. With an increase in the demand for devices to perform in fragile and confined biological environments, it is crucial to develop new miniaturized, biocompatible 3D systems. Fabrication of materials at different scales with different properties makes 3D printing an ideal system for creating frameworks for small-scale robotics. 3D printing has been applied for the design of externally powered, artificial microswimmers and studying their locomotive capabilities in different fluids. Printed materials have also been incorporated with motile cells for bio-hybrid robots capable of functioning by cell contraction and swimming. These 3D devices offer new methods of robotic motility for biomedical applications requiring miniature structures. Traditional 3D printing methods, where a structure is fabricated in an additive process from a digital design, and non-traditional 3D printing methods, such as lithography and molding, will be discussed.

  6. Integrating nanosphere lithography in device fabrication

    Science.gov (United States)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  7. Solution-printed organic semiconductor blends exhibiting transport properties on par with single crystals

    KAUST Repository

    Niazi, Muhammad Rizwan; Li, Ruipeng; Li, Erqiang; Kirmani, Ahmad R.; Abdelsamie, Maged; Wang, Qingxiao; Pan, Wenyang; Payne, Marcia M.; Anthony, John E.; Smilgies, Detlef-M.; Thoroddsen, Sigurdur T; Giannelis, Emmanuel P.; Amassian, Aram

    2015-01-01

    Solution-printed organic semiconductors have emerged in recent years as promising contenders for roll-to-roll manufacturing of electronic and optoelectronic circuits. The stringent performance requirements for organic thin-film transistors (OTFTs) in terms of carrier mobility, switching speed, turn-on voltage and uniformity over large areas require performance currently achieved by organic single-crystal devices, but these suffer from scale-up challenges. Here we present a new method based on blade coating of a blend of conjugated small molecules and amorphous insulating polymers to produce OTFTs with consistently excellent performance characteristics (carrier mobility as high as 6.7 cm2 V−1 s−1, low threshold voltages of<1 V and low subthreshold swings <0.5 V dec−1). Our findings demonstrate that careful control over phase separation and crystallization can yield solution-printed polycrystalline organic semiconductor films with transport properties and other figures of merit on par with their single-crystal counterparts.

  8. Solution-printed organic semiconductor blends exhibiting transport properties on par with single crystals

    KAUST Repository

    Niazi, Muhammad Rizwan

    2015-11-23

    Solution-printed organic semiconductors have emerged in recent years as promising contenders for roll-to-roll manufacturing of electronic and optoelectronic circuits. The stringent performance requirements for organic thin-film transistors (OTFTs) in terms of carrier mobility, switching speed, turn-on voltage and uniformity over large areas require performance currently achieved by organic single-crystal devices, but these suffer from scale-up challenges. Here we present a new method based on blade coating of a blend of conjugated small molecules and amorphous insulating polymers to produce OTFTs with consistently excellent performance characteristics (carrier mobility as high as 6.7 cm2 V−1 s−1, low threshold voltages of<1 V and low subthreshold swings <0.5 V dec−1). Our findings demonstrate that careful control over phase separation and crystallization can yield solution-printed polycrystalline organic semiconductor films with transport properties and other figures of merit on par with their single-crystal counterparts.

  9. Process optimization for inkjet printing of triisopropylsilylethynyl pentacene with single-solvent solutions

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xianghua, E-mail: xhwang@hfut.edu.cn [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Yuan, Miao [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science & Applied Physics, Hefei University of Technology, Hefei 230009 (China); Xiong, Xianfeng; Chen, Mengjie [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Qin, Mengzhi [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science & Applied Physics, Hefei University of Technology, Hefei 230009 (China); Qiu, Longzhen; Lu, Hongbo; Zhang, Guobing; Lv, Guoqiang [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Choi, Anthony H.W. [Department of Electrical and Electronic Engineering, The University of Hong Kong, Hong Kong (China)

    2015-03-02

    Inkjet printing of 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-PEN), a small molecule organic semiconductor, is performed on two types of substrates. Hydrophilic SiO{sub 2} substrates prepared by a combination of surface treatments lead to either a smaller size or a coffee-ring profile of the single-drop film. A hydrophobic surface with dominant dispersive component of surface energy such as that of a spin-coated poly(4-vinylphenol) film favors profile formation with uniform thickness of the printed semiconductor owing to the strong dispersion force between the semiconductor molecules and the hydrophobic surface of the substrate. With a hydrophobic dielectric as the substrate and via a properly selected solvent, high quality TIPS-PEN films were printed at a very low substrate temperature of 35 °C. Saturated field-effect mobility measured with top-contact thin-film transistor structure shows a narrow distribution and a maximum of 0.78 cm{sup 2}V{sup −1} s{sup −1}, which confirmed the film growth on the hydrophobic substrate with increased crystal coverage and continuity under the optimized process condition. - Highlights: • Hydrophobic substrates were employed to inhibit the coffee-ring effect. • Contact-line pinning is primarily controlled by the dispersion force. • Solvent selection is critical to crystal coverage of the printed film. • High performance and uniformity are achieved by process optimization.

  10. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  11. Lithography requirements in complex VLSI device fabrication

    International Nuclear Information System (INIS)

    Wilson, A.D.

    1985-01-01

    Fabrication of complex very large scale integration (VLSI) circuits requires continual advances in lithography to satisfy: decreasing minimum linewidths, larger chip sizes, tighter linewidth and overlay control, increasing topography to linewidth ratios, higher yield demands, increased throughput, harsher device processing, lower lithography cost, and a larger part number set with quick turn-around time. Where optical, electron beam, x-ray, and ion beam lithography can be applied to judiciously satisfy the complex VLSI circuit fabrication requirements is discussed and those areas that are in need of major further advances are addressed. Emphasis will be placed on advanced electron beam and storage ring x-ray lithography

  12. Innovative SU-8 Lithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Jeong Bong Lee

    2014-12-01

    Full Text Available SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters high-aspect-ratio (up to 100:1 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

  13. Photoinhibition superresolution lithography

    Science.gov (United States)

    Forman, Darren Lawrence

    While the prospect of nanoscale manufacturing has generated tremendous excitement, arbitrary patterning at nanometer length scales cannot be brought about with current photolithography---the technology that for decades has driven electronics miniaturization and enabled mass production of digital logic, memory, MEMS and flat-panel displays. This is due to the relatively long wavelength of light and diffraction, which imposes a physical not technological limit on the resolution of a far-field optical pattern. Photoinhibited superresolution (PInSR) lithography is a new scheme designed to beat the diffraction limit through two-color confinement of photopolymerization and, via efficient single-photon absorption kinetics, also be high-throughput capable. This thesis describes development of an integrated optical and materials system for investigating spatiotemporal dynamics of photoinhibited superresolution lithography, with a demonstrated 3x superresolution beyond the diffraction limit. The two-color response, arising from orthogonal photogeneration of species that participate in competing reactions, is shown to be highly complex. This is both a direct and indirect consequence of mobility. Interesting trade-offs arise: thin-film resins (necessitated by single-photon absorption kinetics) require high viscosity for film stability, but the photoinhibition effect is suppressed in viscous resins. Despite this apparent suppression, which can be overcome with high excitation of the photoinhibition system, the low mobility afforded by viscous materials is beneficial for confinement of active species. Diffusion-induced blurring of patterned photoinhibition is problematic in a resin with viscosity = 1,000 cP, and overcome in a resin with viscosity eta = 500,000 cP. Superresolution of factor 3x beyond the diffraction limit is demonstrated at 0.2 NA, with additional results indicating superresolution ability at 1.2 NA. Investigating the effect of diminished photoinhibition efficacy

  14. Color printing enabled by phase change materials on paper substrate

    Science.gov (United States)

    Ji, Hong-Kai; Tong, Hao; Qian, Hang; Liu, Nian; Xu, Ming; Miao, Xiang-Shui

    2017-12-01

    We have coated phase change materials (PCMs) on rough and flexible substrates to achieve multicolor changeable devices. The principle of the device is based on an earlier discovery that lights have strong interference effect in PCM films, leading to various colors by reflection. In this work, paper substrates are laminated by parylene layers to protect the device from water before coated with functional PCM films. The PCM-based color printing (PCP) on paper is not affected by rough surfaces and shows a similar color appearance as that on smooth surfaces. In particular, the color-printed device can be patterned by UV lithography to display a clear and tunable optical image, and it exhibits a low sensitivity to the angle of view. Such PCP has potential applications for low-cost, disposable, and flexible displays.

  15. Sub-micrometre accurate free-form optics by three-dimensional printing on single-mode fibres

    Science.gov (United States)

    Gissibl, Timo; Thiele, Simon; Herkommer, Alois; Giessen, Harald

    2016-01-01

    Micro-optics are widely used in numerous applications, such as beam shaping, collimation, focusing and imaging. We use femtosecond 3D printing to manufacture free-form micro-optical elements. Our method gives sub-micrometre accuracy so that direct manufacturing even on single-mode fibres is possible. We demonstrate the potential of our method by writing different collimation optics, toric lenses, free-form surfaces with polynomials of up to 10th order for intensity beam shaping, as well as chiral photonic crystals for circular polarization filtering, all aligned onto the core of the single-mode fibres. We determine the accuracy of our optics by analysing the output patterns as well as interferometrically characterizing the surfaces. We find excellent agreement with numerical calculations. 3D printing of microoptics can achieve sufficient performance that will allow for rapid prototyping and production of beam-shaping and imaging devices. PMID:27339700

  16. High-Resolution Graphene Films for Electrochemical Sensing via Inkjet Maskless Lithography.

    Science.gov (United States)

    Hondred, John A; Stromberg, Loreen R; Mosher, Curtis L; Claussen, Jonathan C

    2017-10-24

    Solution-phase printing of nanomaterial-based graphene inks are rapidly gaining interest for fabrication of flexible electronics. However, scalable manufacturing techniques for high-resolution printed graphene circuits are still lacking. Here, we report a patterning technique [i.e., inkjet maskless lithography (IML)] to form high-resolution, flexible, graphene films (line widths down to 20 μm) that significantly exceed the current inkjet printing resolution of graphene (line widths ∼60 μm). IML uses an inkjet printed polymer lacquer as a sacrificial pattern, viscous spin-coated graphene, and a subsequent graphene lift-off to pattern films without the need for prefabricated stencils, templates, or cleanroom technology (e.g., photolithography). Laser annealing is employed to increase conductivity on thermally sensitive, flexible substrates [polyethylene terephthalate (PET)]. Laser annealing and subsequent platinum nanoparticle deposition substantially increases the electroactive nature of graphene as illustrated by electrochemical hydrogen peroxide (H 2 O 2 ) sensing [rapid response (5 s), broad linear sensing range (0.1-550 μm), high sensitivity (0.21 μM/μA), and low detection limit (0.21 μM)]. Moreover, high-resolution, complex graphene circuits [i.e., interdigitated electrodes (IDE) with varying finger width and spacing] were created with IML and characterized via potassium chloride (KCl) electrochemical impedance spectroscopy (EIS). Results indicated that sensitivity directly correlates to electrode feature size as the IDE with the smallest finger width and spacing (50 and 50 μm) displayed the largest response to changes in KCl concentration (∼21 kΩ). These results indicate that the developed IML patterning technique is well-suited for rapid, solution-phase graphene film prototyping on flexible substrates for numerous applications including electrochemical sensing.

  17. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  18. Data sharing system for lithography APC

    Science.gov (United States)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  19. Lithography alternatives meet design style reality: How do they "line" up?

    Science.gov (United States)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  20. Color printing enabled by phase change materials on paper substrate

    Directory of Open Access Journals (Sweden)

    Hong-Kai Ji

    2017-12-01

    Full Text Available We have coated phase change materials (PCMs on rough and flexible substrates to achieve multicolor changeable devices. The principle of the device is based on an earlier discovery that lights have strong interference effect in PCM films, leading to various colors by reflection. In this work, paper substrates are laminated by parylene layers to protect the device from water before coated with functional PCM films. The PCM-based color printing (PCP on paper is not affected by rough surfaces and shows a similar color appearance as that on smooth surfaces. In particular, the color-printed device can be patterned by UV lithography to display a clear and tunable optical image, and it exhibits a low sensitivity to the angle of view. Such PCP has potential applications for low-cost, disposable, and flexible displays.

  1. Advanced in-situ electron-beam lithography for deterministic nanophotonic device processing

    Energy Technology Data Exchange (ETDEWEB)

    Kaganskiy, Arsenty; Gschrey, Manuel; Schlehahn, Alexander; Schmidt, Ronny; Schulze, Jan-Hindrik; Heindel, Tobias; Rodt, Sven, E-mail: srodt@physik.tu-berlin.de; Reitzenstein, Stephan [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, D-10623 Berlin (Germany); Strittmatter, André [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, D-10623 Berlin (Germany); Otto-von-Guericke Universität Magdeburg, Universitätsplatz 2, D-39106 Magdeburg (Germany)

    2015-07-15

    We report on an advanced in-situ electron-beam lithography technique based on high-resolution cathodoluminescence (CL) spectroscopy at low temperatures. The technique has been developed for the deterministic fabrication and quantitative evaluation of nanophotonic structures. It is of particular interest for the realization and optimization of non-classical light sources which require the pre-selection of single quantum dots (QDs) with very specific emission features. The two-step electron-beam lithography process comprises (a) the detailed optical study and selection of target QDs by means of CL-spectroscopy and (b) the precise retrieval of the locations and integration of target QDs into lithographically defined nanostructures. Our technology platform allows for a detailed pre-process determination of important optical and quantum optical properties of the QDs, such as the emission energies of excitonic complexes, the excitonic fine-structure splitting, the carrier dynamics, and the quantum nature of emission. In addition, it enables a direct and precise comparison of the optical properties of a single QD before and after integration which is very beneficial for the quantitative evaluation of cavity-enhanced quantum devices.

  2. Masculinity and Material Culture in Technological Transitions: From Letterpress to Offset Lithography, 1960s-1980s.

    Science.gov (United States)

    Stein, Jesse Adams

    Between the 1960s and the 1980s the printing industry in advanced capitalist economies underwent dramatic technological change. While the transition from "hot metal" compositing to computerized typesetting has been extensively analyzed, there was another transformation occurring simultaneously: in the pressroom, letterpress was gradually replaced by offset lithography. Many letterpress machinists retrained, moving from a heavy, manual technology (with an entrenched patriarchal culture) to a method that was faster and less physically taxing. However, unlike their compositor counterparts, the press-machinists' transition involved a continuity of traditional masculine craft identities rather than a rupture associated with "deskilling." Intrinsic to this experience of technological change was a masculine embodiment that was attuned to and shaped by the materiality and aesthetics of printing technologies. This article establishes how masculine craft identities do not rely exclusively on skill-based mastery of traditional technologies, but also relate to other dimensions of technology, such as aesthetics, embodied "know-how," and the physicality of industrial machinery.

  3. Manipulation of heat-diffusion channel in laser thermal lithography.

    Science.gov (United States)

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  4. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  5. Large-area fabrication of patterned ZnO-nanowire arrays using light stamping lithography.

    Science.gov (United States)

    Hwang, Jae K; Cho, Sangho; Seo, Eun K; Myoung, Jae M; Sung, Myung M

    2009-12-01

    We demonstrate selective adsorption and alignment of ZnO nanowires on patterned poly(dimethylsiloxane) (PDMS) thin layers with (aminopropyl)siloxane self-assembled monolayers (SAMs). Light stamping lithography (LSL) was used to prepare patterned PDMS thin layers as neutral passivation regions on Si substrates. (3-Aminopropyl)triethoxysilane-based SAMs were selectively formed only on regions exposing the silanol groups of the Si substrates. The patterned positively charged amino groups define and direct the selective adsorption of ZnO nanowires with negative surface charges in the protic solvent. This procedure can be adopted in automated printing machines that generate patterned ZnO-nanowire arrays on large-area substrates. To demonstrate its usefulness, the LSL method was applied to prepare ZnO-nanowire transistor arrays on 4-in. Si wafers.

  6. Performance of the phase I superconducting x-ray lithography source (SXLS) at BNL

    International Nuclear Information System (INIS)

    Murphy, J.B.; Biscardi, R.; Halama, H.; Heese, R.; Kramer, S.; Nawrocky, R.; Krishnaswamy, J.

    1992-01-01

    The Phase I SXLS electron storage ring has a circumference of 8.5 meters, it uses conventional dipole magnets, B ≤ 1.1 T and ρ=60 cm, and it is capable of operating in the range of 50-250 MeV. It is the forerunner of the Phase II SXLS ring which will operate at 700 MeV and will make use of superconducting dipoles, B 0 =3.87 Tesla, as a source of λ c =10 angstrom x-rays for proximity printing lithography. The Phase I storage ring has been successfully commissioned; stored currents in excess of one ampere have been achieved. A report on the performance of the machine is presented. (author) 4 refs.; 4 figs.; 2 tabs

  7. Towards Single-Step Biofabrication of Organs on a Chip via 3D Printing.

    Science.gov (United States)

    Knowlton, Stephanie; Yenilmez, Bekir; Tasoglu, Savas

    2016-09-01

    Organ-on-a-chip engineering employs microfabrication of living tissues within microscale fluid channels to create constructs that closely mimic human organs. With the advent of 3D printing, we predict that single-step fabrication of these devices will enable rapid design and cost-effective iterations in the development stage, facilitating rapid innovation in this field. Copyright © 2016 Elsevier Ltd. All rights reserved.

  8. A High Sensitivity Three-Dimensional-Shape Sensing Patch Prepared by Lithography and Inkjet Printing

    Directory of Open Access Journals (Sweden)

    Cheng-Yao Lo

    2012-03-01

    Full Text Available A process combining conventional photolithography and a novel inkjet printing method for the manufacture of high sensitivity three-dimensional-shape (3DS sensing patches was proposed and demonstrated. The supporting curvature ranges from 1.41 to 6.24 ´ 10−2 mm−1 and the sensing patch has a thickness of less than 130 μm and 20 ´ 20 mm2 dimensions. A complete finite element method (FEM model with simulation results was calculated and performed based on the buckling of columns and the deflection equation. The results show high compatibility of the drop-on-demand (DOD inkjet printing with photolithography and the interferometer design also supports bi-directional detection of deformation. The 3DS sensing patch can be operated remotely without any power consumption. It provides a novel and alternative option compared with other optical curvature sensors.

  9. Optical properties of a single free standing nanodiamond

    Energy Technology Data Exchange (ETDEWEB)

    Sun, K W; Wang, C Y [Department of Applied Chemistry and Institute of Molecular Science, National Chiao Tung University, Hsinchu, 300, Taiwan (China)

    2007-12-15

    We report the techniques for measuring optical properties of a single nanometer-sized diamond. The electron beam (e-beam) lithography defined coordination markers on a silicon wafer provide us a convenient tool for allocating a single nanodiamond immobilized on the surface. By combining a confocal microscope with the e-beam lithography patterned smart substrate, we are able to measure the Raman and photoluminescence spectra from a single nanodiamond with a size less than 100 nm.

  10. Optical properties of a single free standing nanodiamond

    International Nuclear Information System (INIS)

    Sun, K W; Wang, C Y

    2007-01-01

    We report the techniques for measuring optical properties of a single nanometer-sized diamond. The electron beam (e-beam) lithography defined coordination markers on a silicon wafer provide us a convenient tool for allocating a single nanodiamond immobilized on the surface. By combining a confocal microscope with the e-beam lithography patterned smart substrate, we are able to measure the Raman and photoluminescence spectra from a single nanodiamond with a size less than 100 nm

  11. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  12. Selective binding of oligonucleotide on TiO2 surfaces modified by swift heavy ion beam lithography

    International Nuclear Information System (INIS)

    Vicente Pérez-Girón, J.; Hirtz, M.; McAtamney, C.; Bell, A.P.; Antonio Mas, J.; Jaafar, M.; Luis, O. de; Fuchs, H.

    2014-01-01

    We have used swift heavy-ion beam based lithography to create patterned bio-functional surfaces on rutile TiO 2 single crystals. The applied lithography method generates a permanent and well defined periodic structure of micrometre sized square holes having nanostructured TiO 2 surfaces, presenting different physical and chemical properties compared to the surrounding rutile single crystal surface. On the patterned substrates selective binding of oligonucleotides molecules is possible at the surfaces of the holes. This immobilisation process is only being controlled by UV light exposure. The patterned transparent substrates are compatible with fluorescence detection techniques, are mechanically robust, have a high tolerance to extreme chemical and temperature environments, and apparently do not degrade after ten cycles of use. These qualities make the patterned TiO 2 substrates useful for potential biosensor applications

  13. Plasmonic direct writing lithography with a macroscopical contact probe

    Science.gov (United States)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  14. Fire-through Ag contact formation for crystalline Si solar cells using single-step inkjet printing.

    Science.gov (United States)

    Kim, Hyun-Gang; Cho, Sung-Bin; Chung, Bo-Mook; Huh, Joo-Youl; Yoon, Sam S

    2012-04-01

    Inkjet-printed Ag metallization is a promising method of forming front-side contacts on Si solar cells due to its non-contact printing nature and fine grid resolution. However, conventional Ag inks are unable to punch through the SiN(x) anti-reflection coating (ARC) layer on emitter Si surfaces. In this study, a novel formulation of Ag ink is examined for the formation of fire-through contacts on a SiN(x)-coated Si substrate using the single-step printing of Ag ink, followed by rapid thermal annealing at 800 degrees C. In order to formulate Ag inks with fire-through contact formation capabilities, a liquid etching agent was first formulated by dissolving metal nitrates in an organic solvent and then mixing the resulting solution with a commercial Ag nanoparticle ink at various volume ratios. During the firing process, the dissolved metal nitrates decomposed into metal oxides and acted in a similar manner to the glass frit contained in Ag pastes for screen-printed Ag metallization. The newly formulated ink with a 1 wt% loading ratio of metal oxides to Ag formed finely distributed Ag crystallites on the Si substrate after firing at 800 degrees C for 1 min.

  15. The precision and reliability evaluation of 3-dimensional printed damaged bone and prosthesis models by stereo lithography appearance.

    Science.gov (United States)

    Zou, Yun; Han, Qing; Weng, Xisheng; Zou, Yongwei; Yang, Yingying; Zhang, Kesong; Yang, Kerong; Xu, Xiaolin; Wang, Chenyu; Qin, Yanguo; Wang, Jincheng

    2018-02-01

    Recently, clinical application of 3D printed model was increasing. However, there was no systemic study for confirming the precision and reliability of 3D printed model. Some senior clinical doctors mistrusted its reliability in clinical application. The purpose of this study was to evaluate the precision and reliability of stereolithography appearance (SLA) 3D printed model.Some related parameters were selected to research the reliability of SLA 3D printed model. The computed tomography (CT) data of bone/prosthesis and model were collected and 3D reconstructed. Some anatomical parameters were measured and statistical analysis was performed; the intraclass correlation coefficient (ICC) was used to was used to evaluate the similarity between the model and real bone/prosthesis. the absolute difference (mm) and relative difference (%) were conducted. For prosthesis model, the 3-dimensional error was measured.There was no significant difference in the anatomical parameters except max height (MH) of long bone. All the ICCs were greater than 0.990. The maximum absolute and relative difference were 0.45 mm and 1.10%; The 3-dimensional error analysis showed that positive/minus distance were 0.273 mm/0.237 mm.The application of SLA 3D printed model in diagnosis and treatment process of complex orthopedic disease was reliable and precise.

  16. Resolution Improvement and Pattern Generator Development for the Maskless Micro-Ion-Beam Reduction Lithography System

    International Nuclear Information System (INIS)

    Jiang, Ximan

    2006-01-01

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In order to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography strategies

  17. Lithography-based fabrication of nanopore arrays in freestanding SiN and graphene membranes

    Science.gov (United States)

    Verschueren, Daniel V.; Yang, Wayne; Dekker, Cees

    2018-04-01

    We report a simple and scalable technique for the fabrication of nanopore arrays on freestanding SiN and graphene membranes based on electron-beam lithography and reactive ion etching. By controlling the dose of the single-shot electron-beam exposure, circular nanopores of any size down to 16 nm in diameter can be fabricated in both materials at high accuracy and precision. We demonstrate the sensing capabilities of these nanopores by translocating dsDNA through pores fabricated using this method, and find signal-to-noise characteristics on par with transmission-electron-microscope-drilled nanopores. This versatile lithography-based approach allows for the high-throughput manufacturing of nanopores and can in principle be used on any substrate, in particular membranes made out of transferable two-dimensional materials.

  18. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  19. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    Science.gov (United States)

    Aksu, Serap

    fabricated low cost infrared nanoantenna arrays for plasmonically enhanced vibrational biospectroscopy is presented. Detection of immunologically important protein monolayers with thickness as small as 3 nm, and antibody assays are demonstrated using nanoantenna arrays fabricated with reusable nanostencils. The results presented indicate that nanostencil lithography is a promising method for reducing the nano manufacturing cost while enhancing the performance of biospectroscopy tools for biology and medicine. As a single step and low cost nanofabrication technique, NSL could facilitate the manufacturing of biophotonic technologies for real-world applications.

  20. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura

    2017-01-13

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  1. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura; Alabastri, Alessandro; Bonanni, Simon; Majewska, Roksana; Dattoli, Elisabetta; Barberio, Marianna; Candeloro, Patrizio; Perozziello, Gerardo; Mollace, Vincenzo; Di Fabrizio, Enzo M.; Gentile, Francesco

    2017-01-01

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  2. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    International Nuclear Information System (INIS)

    Tian, Yaolan; Isotalo, Tero J; Konttinen, Mikko P; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J

    2017-01-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned. (paper)

  3. Deterministic Integration of Quantum Dots into on-Chip Multimode Interference Beamsplitters Using in Situ Electron Beam Lithography.

    Science.gov (United States)

    Schnauber, Peter; Schall, Johannes; Bounouar, Samir; Höhne, Theresa; Park, Suk-In; Ryu, Geun-Hwan; Heindel, Tobias; Burger, Sven; Song, Jin-Dong; Rodt, Sven; Reitzenstein, Stephan

    2018-04-11

    The development of multinode quantum optical circuits has attracted great attention in recent years. In particular, interfacing quantum-light sources, gates, and detectors on a single chip is highly desirable for the realization of large networks. In this context, fabrication techniques that enable the deterministic integration of preselected quantum-light emitters into nanophotonic elements play a key role when moving forward to circuits containing multiple emitters. Here, we present the deterministic integration of an InAs quantum dot into a 50/50 multimode interference beamsplitter via in situ electron beam lithography. We demonstrate the combined emitter-gate interface functionality by measuring triggered single-photon emission on-chip with g (2) (0) = 0.13 ± 0.02. Due to its high patterning resolution as well as spectral and spatial control, in situ electron beam lithography allows for integration of preselected quantum emitters into complex photonic systems. Being a scalable single-step approach, it paves the way toward multinode, fully integrated quantum photonic chips.

  4. Single-walled carbon nanotube networks for flexible and printed electronics

    International Nuclear Information System (INIS)

    Zaumseil, Jana

    2015-01-01

    Networks of single-walled carbon nanotubes (SWNTs) can be processed from solution and have excellent mechanical properties. They are highly flexible and stretchable. Depending on the type of nanotubes (semiconducting or metallic) they can be used as replacements for metal or transparent conductive oxide electrodes or as semiconducting layers for field-effect transistors (FETs) with high carrier mobilities. They are thus competitive alternatives to other solution-processable materials for flexible and printed electronics. This review introduces the basic properties of SWNTs, current methods for dispersion and separation of metallic and semiconducting SWNTs and techniques to deposit and pattern dense networks from dispersion. Recent examples of applications of carbon nanotubes as conductors and semiconductors in (opto-)electronic devices and integrated circuits will be discussed. (paper)

  5. Printed MIMO antenna engineering

    CERN Document Server

    Sharawi, Mohammad S

    2014-01-01

    Wireless communications has made a huge leap during the past two decades. The multiple-input-multiple-output (MIMO) technology was proposed in the 1990's as a viable solution that can overcome the data rate limit experienced by single-input-single-output (SISO) systems. This resource is focused on printed MIMO antenna system design. Printed antennas are widely used in mobile and handheld terminals due to their conformity with the device, low cost, good integration within the device elements and mechanical parts, as well as ease of fabrication.A perfect design companion for practicing engineers

  6. Uniformity of LED light illumination in application to direct imaging lithography

    Science.gov (United States)

    Huang, Ting-Ming; Chang, Shenq-Tsong; Tsay, Ho-Lin; Hsu, Ming-Ying; Chen, Fong-Zhi

    2016-09-01

    Direct imaging has widely applied in lithography for a long time because of its simplicity and easy-maintenance. Although this method has limitation of lithography resolution, it is still adopted in industries. Uniformity of UV irradiance for a designed area is an important requirement. While mercury lamps were used as the light source in the early stage, LEDs have drawn a lot of attention for consideration from several aspects. Although LED has better and better performance, arrays of LEDs are required to obtain desired irradiance because of limitation of brightness for a single LED. Several effects are considered that affect the uniformity of UV irradiance such as alignment of optics, temperature of each LED, performance of each LED due to production uniformity, and pointing of LED module. Effects of these factors are considered to study the uniformity of LED Light Illumination. Numerical analysis is performed by assuming a serious of control factors to have a better understanding of each factor.

  7. Selective binding of oligonucleotide on TiO{sub 2} surfaces modified by swift heavy ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Vicente Pérez-Girón, J. [Nanoate, S.L. C/Poeta Rafael Morales 2, San Sebastian de los Reyes, 28702 Madrid (Spain); Emerging Viruses Department Heinrich Pette Institute, Hamburg 20251 (Germany); Hirtz, M. [Institute of Nanotechnology (INT) and Karlsruhe Nano Micro Facility (KNMF), Karlsruhe Institute of Technology - KIT, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); McAtamney, C.; Bell, A.P. [Advanced Microscopy Laboratory, CRANN, Trinity College Dublin, Dublin 2 (Ireland); Antonio Mas, J. [Laboratorio de Genómica del Centro de Apoyo Tecnológico, Universidad Rey Juan Carlos, Campus de Alcorcón 28922, Madrid (Spain); Jaafar, M. [Nanoate, S.L. C/Poeta Rafael Morales 2, San Sebastian de los Reyes, 28702 Madrid (Spain); Departamento de Física de la Materia Condensada, Facultad de Ciencias, Universidad Autónoma de Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Luis, O. de [Nanoate, S.L. C/Poeta Rafael Morales 2, San Sebastian de los Reyes, 28702 Madrid (Spain); Departamento de Bioquímica, Fisiología y Genética Molecular, Facultad de Ciencias de la Salud, Universidad Rey Juan Carlos, Campus de Alcorcón, 28922 Madrid (Spain); Fuchs, H. [Institute of Nanotechnology (INT) and Karlsruhe Nano Micro Facility (KNMF), Karlsruhe Institute of Technology - KIT, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); Physical Institute and Center for Nanotechnology (CeNTech), Wilhelm-Klemm-Straße 10, University of Münster (Germany); and others

    2014-11-15

    We have used swift heavy-ion beam based lithography to create patterned bio-functional surfaces on rutile TiO{sub 2} single crystals. The applied lithography method generates a permanent and well defined periodic structure of micrometre sized square holes having nanostructured TiO{sub 2} surfaces, presenting different physical and chemical properties compared to the surrounding rutile single crystal surface. On the patterned substrates selective binding of oligonucleotides molecules is possible at the surfaces of the holes. This immobilisation process is only being controlled by UV light exposure. The patterned transparent substrates are compatible with fluorescence detection techniques, are mechanically robust, have a high tolerance to extreme chemical and temperature environments, and apparently do not degrade after ten cycles of use. These qualities make the patterned TiO{sub 2} substrates useful for potential biosensor applications.

  8. Displacement Talbot lithography: an alternative technique to fabricate nanostructured metamaterials

    Science.gov (United States)

    Le Boulbar, E. D.; Chausse, P. J. P.; Lis, S.; Shields, P. A.

    2017-06-01

    Nanostructured materials are essential for many recent electronic, magnetic and optical devices. Lithography is the most common step used to fabricate organized and well calibrated nanostructures. However, feature sizes less than 200 nm usually require access to deep ultraviolet photolithography, e-beam lithography or soft lithography (nanoimprinting), which are either expensive, have low-throughput or are sensitive to defects. Low-cost, high-throughput and low-defect-density techniques are therefore of interest for the fabrication of nanostructures. In this study, we investigate the potential of displacement Talbot lithography for the fabrication of specific structures of interest within plasmonic and metamaterial research fields. We demonstrate that nanodash arrays and `fishnet'-like structures can be fabricated by using a double exposure of two different linear grating phase masks. Feature sizes can be tuned by varying the exposure doses. Such lithography has been used to fabricate metallic `fishnet'-like structures using a lift-off technique. This proof of principle paves the way to a low-cost, high-throughput, defect-free and large-scale technique for the fabrication of structures that could be useful for metamaterial and plasmonic metasurfaces. With the development of deep ultraviolet displacement Talbot lithography, the feature dimensions could be pushed lower and used for the fabrication of optical metamaterials in the visible range.

  9. Low cost ESR based X-ray beamline for lithography experimentation

    Energy Technology Data Exchange (ETDEWEB)

    Kovacs, S.; Doumas, A.; Truncale, M. (Grumman Corp., Bethpage, NY (United States). Space and Electronics Div.)

    1992-08-01

    Any application of the electron storage ring (ESR) based X-ray lithography technology requires an X-ray radiation transport system to transfer the synchrotron radiation into a spectrum defined by the lithography process requirements. Structure of this transport system (i.e. the beamline) depends on the nature of the application. In this paper a beamline conceptual design will be discussed. The beamline is intended for the developmment of X-ray lithography technology. (orig.).

  10. Development of 3D printing system for human bone model manufacturing using medical images

    International Nuclear Information System (INIS)

    Oh, Wang Kyun

    2017-01-01

    The 3D printing selective laser sintering (SLS) and stereo lithography apparatus (SLA) method used for bone model production has good precision and resolution, but the printers are expensive and need professional knowledge for operation. The program that converts computed tomography digital imaging and communications in medicine (DICOM) file into STL (stereolithography) file is also expensive so requesting 3D printing companies takes a lot of time and cost, which is why they are not generally utilized in surgery. To produce bone models of fractured patients, the use of 3D imaging conversion program and 3D printing system should be convenient, and the cost of device and operation should be low. Besides, they should be able to produce big size bone models for application to surgery. Therefore, by using an fused deposition modeling (FDM) method 3D printer that uses thermoplastic materials such as DICOM Viewer OsiriX and plastic wires, this study developed 3D printing system for Fracture surgery Patients customized bone model production for many clinics to use for surgery of fracture patients by universalizing with no limit in printing sizes and low maintenance and production cost. It is expected to be widely applied to the overall areas of orthopedics' education, research and clinic. It is also expected to be conveniently used in not only university hospitals but also regular general hospitals

  11. Development of 3D printing system for human bone model manufacturing using medical images

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Wang Kyun [Dept. of Radiology, Chungcheongbuk-do Cheongju Medical Center, Cheongju (Korea, Republic of)

    2017-09-15

    The 3D printing selective laser sintering (SLS) and stereo lithography apparatus (SLA) method used for bone model production has good precision and resolution, but the printers are expensive and need professional knowledge for operation. The program that converts computed tomography digital imaging and communications in medicine (DICOM) file into STL (stereolithography) file is also expensive so requesting 3D printing companies takes a lot of time and cost, which is why they are not generally utilized in surgery. To produce bone models of fractured patients, the use of 3D imaging conversion program and 3D printing system should be convenient, and the cost of device and operation should be low. Besides, they should be able to produce big size bone models for application to surgery. Therefore, by using an fused deposition modeling (FDM) method 3D printer that uses thermoplastic materials such as DICOM Viewer OsiriX and plastic wires, this study developed 3D printing system for Fracture surgery Patients customized bone model production for many clinics to use for surgery of fracture patients by universalizing with no limit in printing sizes and low maintenance and production cost. It is expected to be widely applied to the overall areas of orthopedics' education, research and clinic. It is also expected to be conveniently used in not only university hospitals but also regular general hospitals.

  12. Fully Printed Flexible Single-Chip RFID Tag with Light Detection Capabilities

    Directory of Open Access Journals (Sweden)

    Aniello Falco

    2017-03-01

    Full Text Available A printed passive radiofrequency identification (RFID tag in the ultra-high frequency band for light and temperature monitoring is presented. The whole tag has been manufactured by printing techniques on a flexible substrate. Antenna and interconnects are realized with silver nanoparticles via inkjet printing. A sprayed photodetector performs the light monitoring, whereas temperature measurement comes from an in-built sensor in the silicon RFID chip. One of the advantages of this system is the digital read-out and transmission of the sensors information on the RFID tag that ensures reliability. Furthermore, the use of printing techniques allows large-scale manufacturing and the direct fabrication of the tag on the desired surface. This work proves for the first time the feasibility of the embedment of large-scale organic photodetectors onto inkjet printed RFID tags. Here, we solve the problem of integration of different manufacturing techniques to develop an optimal final sensor system.

  13. Interference Lithography for Vertical Photovoltaics

    Science.gov (United States)

    Balls, Amy; Pei, Lei; Kvavle, Joshua; Sieler, Andrew; Schultz, Stephen; Linford, Matthew; Vanfleet, Richard; Davis, Robert

    2009-10-01

    We are exploring low cost approaches for fabricating three dimensional nanoscale structures. These vertical structures could significantly improve the efficiency of devices made from low cost photovoltaic materials. The nanoscale vertical structure provides a way to increase optical absorption in thin photovoltaic films without increasing the electronic carrier separation distance. The target structure is a high temperature transparent template with a dense array of holes on a 400 - 600 nm pitch fabricated by a combination of interference lithography and nanoembossing. First a master was fabricated using ultraviolet light interference lithography and the pattern was transferred into a silicon wafer master by silicon reactive ion etching. Embossing studies were performed with the master on several high temperature polymers.

  14. Compact synchrotron radiation depth lithography facility

    Science.gov (United States)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  15. Implementation and benefits of advanced process control for lithography CD and overlay

    Science.gov (United States)

    Zavyalova, Lena; Fu, Chong-Cheng; Seligman, Gary S.; Tapp, Perry A.; Pol, Victor

    2003-05-01

    Due to the rapidly reduced imaging process windows and increasingly stingent device overlay requirements, sub-130 nm lithography processes are more severely impacted than ever by systamic fault. Limits on critical dimensions (CD) and overlay capability further challenge the operational effectiveness of a mix-and-match environment using multiple lithography tools, as such mode additionally consumes the available error budgets. Therefore, a focus on advanced process control (APC) methodologies is key to gaining control in the lithographic modules for critical device levels, which in turn translates to accelerated yield learning, achieving time-to-market lead, and ultimately a higher return on investment. This paper describes the implementation and unique challenges of a closed-loop CD and overlay control solution in high voume manufacturing of leading edge devices. A particular emphasis has been placed on developing a flexible APC application capable of managing a wide range of control aspects such as process and tool drifts, single and multiple lot excursions, referential overlay control, 'special lot' handling, advanced model hierarchy, and automatic model seeding. Specific integration cases, including the multiple-reticle complementary phase shift lithography process, are discussed. A continuous improvement in the overlay and CD Cpk performance as well as the rework rate has been observed through the implementation of this system, and the results are studied.

  16. Evaluation of hybrid polymers for high-precision manufacturing of 3D optical interconnects by two-photon absorption lithography

    Science.gov (United States)

    Schleunitz, A.; Klein, J. J.; Krupp, A.; Stender, B.; Houbertz, R.; Gruetzner, G.

    2017-02-01

    The fabrication of optical interconnects has been widely investigated for the generation of optical circuit boards. Twophoton absorption (TPA) lithography (or high-precision 3D printing) as an innovative production method for direct manufacture of individual 3D photonic structures gains more and more attention when optical polymers are employed. In this regard, we have evaluated novel ORMOCER-based hybrid polymers tailored for the manufacture of optical waveguides by means of high-precision 3D printing. In order to facilitate future industrial implementation, the processability was evaluated and the optical performance of embedded waveguides was assessed. The results illustrate that hybrid polymers are not only viable consumables for industrial manufacture of polymeric micro-optics using generic processes such as UV molding. They also are potential candidates to fabricate optical waveguide systems down to the chip level where TPA-based emerging manufacturing techniques are engaged. Hence, it is shown that hybrid polymers continue to meet the increasing expectations of dynamically growing markets of micro-optics and optical interconnects due to the flexibility of the employed polymer material concept.

  17. 3D Printed Multimaterial Microfluidic Valve.

    Directory of Open Access Journals (Sweden)

    Steven J Keating

    Full Text Available We present a novel 3D printed multimaterial microfluidic proportional valve. The microfluidic valve is a fundamental primitive that enables the development of programmable, automated devices for controlling fluids in a precise manner. We discuss valve characterization results, as well as exploratory design variations in channel width, membrane thickness, and membrane stiffness. Compared to previous single material 3D printed valves that are stiff, these printed valves constrain fluidic deformation spatially, through combinations of stiff and flexible materials, to enable intricate geometries in an actuated, functionally graded device. Research presented marks a shift towards 3D printing multi-property programmable fluidic devices in a single step, in which integrated multimaterial valves can be used to control complex fluidic reactions for a variety of applications, including DNA assembly and analysis, continuous sampling and sensing, and soft robotics.

  18. Workshop on compact storage ring technology: applications to lithography

    International Nuclear Information System (INIS)

    1986-01-01

    Project planning in the area of x-ray lithography is discussed. Three technologies that are emphasized are the light source, the lithographic technology, and masking technology. The needs of the semiconductor industry in the lithography area during the next decade are discussed, particularly as regards large scale production of high density dynamic random access memory devices. Storage ring parameters and an overall exposure tool for x-ray lithography are addressed. Competition in this area of technology from Germany and Japan is discussed briefly. The design of a storage ring is considered, including lattice design, magnets, and beam injection systems

  19. Solution-printed organic semiconductor blends exhibiting transport properties on par with single crystals.

    Science.gov (United States)

    Niazi, Muhammad R; Li, Ruipeng; Qiang Li, Er; Kirmani, Ahmad R; Abdelsamie, Maged; Wang, Qingxiao; Pan, Wenyang; Payne, Marcia M; Anthony, John E; Smilgies, Detlef-M; Thoroddsen, Sigurdur T; Giannelis, Emmanuel P; Amassian, Aram

    2015-11-23

    Solution-printed organic semiconductors have emerged in recent years as promising contenders for roll-to-roll manufacturing of electronic and optoelectronic circuits. The stringent performance requirements for organic thin-film transistors (OTFTs) in terms of carrier mobility, switching speed, turn-on voltage and uniformity over large areas require performance currently achieved by organic single-crystal devices, but these suffer from scale-up challenges. Here we present a new method based on blade coating of a blend of conjugated small molecules and amorphous insulating polymers to produce OTFTs with consistently excellent performance characteristics (carrier mobility as high as 6.7 cm(2) V(-1) s(-1), low threshold voltages oforganic semiconductor films with transport properties and other figures of merit on par with their single-crystal counterparts.

  20. A Vector Printing Method for High-Speed Electrohydrodynamic (EHD Jet Printing Based on Encoder Position Sensors

    Directory of Open Access Journals (Sweden)

    Thanh Huy Phung

    2018-02-01

    Full Text Available Electrohyrodynamic (EHD jet printing has been widely used in the field of direct micro-nano patterning applications, due to its high resolution printing capability. So far, vector line printing using a single nozzle has been widely used for most EHD printing applications. However, the application has been limited to low-speed printing, to avoid non-uniform line width near the end points where line printing starts and ends. At end points of line vector printing, the deposited drop amount is likely to be significantly large compared to the rest of the printed lines, due to unavoidable acceleration and deceleration. In this study, we proposed a method to solve the printing quality problems by producing droplets at an equally spaced distance, irrespective of the printing speed. For this purpose, an encoder processing unit (EPU was developed, so that the jetting trigger could be generated according to user-defined spacing by using encoder position signals, which are used for the positioning control of the two linear stages.

  1. Applications of Cold Cathode PIG Ion Source in Lithography

    International Nuclear Information System (INIS)

    Bassal, N.I.

    2012-01-01

    The cold cathode Penning ion source (PIG) of axial type could be modified to produce ion and electron beam with a considerable amount to use it in the lithography process. Lithography is a new applications of ion/electron beam at which one can use the ion/ or electron beam as a pencil to write and draw on a metal surface. The electron beam takes 1/3 the time needed for ion beam to make good picture. So that with the help of ion/or electron beam lithography one can mark tools, parts, instruments, and equipment with names, numbers, designs, trademark or brand name in few seconds. It is an easy process, quick and an inexpensive method. Firstly, operating characteristics of this ion source is studied. Lithography application of ion source with optimum conditions is done. Later, the hardness and the tensile strength is measured and each of them increases with increasing time

  2. Optical characterisation of photonic wire and photonic crystal waveguides fabricated using nanoimprint lithography

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Frandsen, Lars Hagedorn; Lavrinenko, Andrei

    2006-01-01

    We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography.......We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography....

  3. Investigation of the AZ 5214E photoresist by the laser interference, EBDW and NSOM lithographies

    Energy Technology Data Exchange (ETDEWEB)

    Škriniarová, J., E-mail: jaroslava.skriniarova@stuba.sk [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia); Pudiš, D. [Department of Physics, University of Žilina, Žilina (Slovakia); Andok, R. [Department of E-Beam Lithography, Institute of Informatics, Slovak Academy of Sciences, Bratislava (Slovakia); Lettrichová, I. [Department of Physics, University of Žilina, Žilina (Slovakia); Uherek, F. [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia)

    2017-02-15

    Highlights: • Applicability of the AZ 5214E photoresist for three different lithographies. • Useful for the fabrication of 1D and 2D periodic and irregular structures. • 2D structures with 260 nm period achieved by the laser interference lithography. • Structures with period below 500 nm achieved with the e-beam direct-write lithography. • Holes of 270 nm diameter made by the near-field scanning optical microscopy lithography. - Abstract: In this paper we show a comparison of chosen lithographies used for the AZ 5214E photoresist, which is normally UV sensitive but has also been investigated for its sensitivity to e-beam exposure. Three lithographies, the E-Beam Direct Write lithography (EBDW), laser Interference Lithography (IL) and the non-contact Near-field Scanning Optical Microscopy (NSOM) lithography, are discussed here and the results on exposed arrays of simple patterns are shown. With the EBDW and IL we achieved periods of the structures around half-micron, and we demonstrate attainability of dimensions smaller or comparable than usually achieved by a standard optical photolithography with the investigated photoresist. With the non-contact NSOM lithography structures with periods slightly above a micron were achieved.

  4. Vacuum system design for a superconducting X-ray lithography light source

    International Nuclear Information System (INIS)

    Schuchman, J.C.

    1990-01-01

    A superconducting electron storage ring for X-ray lithography (SXLS) is to be built at Brookhaven National Laboratory (BNL). The goal is to design and construct a light source specifically dedicated to X-ray lithography production and which would be used as a prototype in a technology transfer to American industry. The machine will be built in two phases: phase I, a low energy ring (200 MeV, 500 mA) using all room temperature magnets which will be used primarily for low energy injection studies. Phase II will be a full energy machine (690 MeV, 500 mA) where the room temperature 180 0 dipole magnets of phase I will be replaced with superconducting magnets. The machine, with a racetrack shape and a circumference of 8.5 m, is designed to be portable and replaceable as a single unit. This paper will discuss the vacuum system design for both phases; i.e. gas desorption, warm bore vs cold bore, ion trapping, clearing electrodes, and diagnostic instrumentation. (author)

  5. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    Science.gov (United States)

    Calahorra, Yonatan; Kerlich, Alexander; Amram, Dor; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan

    2016-04-01

    Catalyst assisted vapour-liquid-solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120-450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2-3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology.

  6. Nanoimprint lithography for microfluidics manufacturing

    Science.gov (United States)

    Kreindl, Gerald; Matthias, Thorsten

    2013-12-01

    The history of imprint technology as lithography method for pattern replication can be traced back to 1970's but the most significant progress has been made by the research group of S. Chou in the 1990's. Since then, it has become a popular technique with a rapidly growing interest from both research and industrial sides and a variety of new approaches have been proposed along the mainstream scientific advances. Nanoimprint lithography (NIL) is a novel method for the fabrication of micro/nanometer scale patterns with low cost, high throughput and high resolution. Unlike traditional optical lithographic approaches, which create pattern through the use of photons or electrons to modify the chemical and physical properties of the resist, NIL relies on direct mechanical deformation of the resist and can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional lithographic techniques. The ability to fabricate structures from the micro- to the nanoscale with high precision in a wide variety of materials is of crucial importance to the advancement of micro- and nanotechnology and the biotech- sciences as a whole and will be discussed in this paper. Nanoimprinting can not only create resist patterns, as in lithography, but can also imprint functional device structures in various polymers, which can lead to a wide range of applications in electronics, photonics, data storage, and biotechnology.

  7. Direct modification of silicon surface by nanosecond laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dapeng [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Wang, Zuobin, E-mail: wangz@cust.edu.cn [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Zhang, Ziang [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); Yue, Yong [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Li, Dayou [JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Maple, Carsten [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom)

    2013-10-01

    Periodic and quasi-periodic structures on silicon surface have numerous significant applications in photoelectronics and surface engineering. A number of technologies have been developed to fabricate the structures in various research fields. In this work, we take the strategy of direct nanosecond laser interference lithography technology, and focus on the silicon material to create different well-defined surface structures based on theoretical analysis of the formation of laser interference patterns. Two, three and four-beam laser interference systems were set up to fabricate the grating, regular triangle and square structures on silicon surfaces, respectively. From the AFM micrographs, the critical features of structures have a dependence on laser fluences. For a relative low laser fluence, grating and dot structures formed with bumps due to the Marangoni Effect. With the increase of laser fluences, melt and evaporation behaviors can be responsible for the laser modification. By properly selecting the process parameters, well-defined grating and dot structures can been achieved. It can be demonstrated that direct laser interference lithography is a facile and efficient technology with the advantage of a single process procedure over macroscale areas for the fabrication of micro and nano structures.

  8. Multi-Repeated Projection Lithography for High-Precision Linear Scale Based on Average Homogenization Effect

    Directory of Open Access Journals (Sweden)

    Dongxu Ren

    2016-04-01

    Full Text Available A multi-repeated photolithography method for manufacturing an incremental linear scale using projection lithography is presented. The method is based on the average homogenization effect that periodically superposes the light intensity of different locations of pitches in the mask to make a consistent energy distribution at a specific wavelength, from which the accuracy of a linear scale can be improved precisely using the average pitch with different step distances. The method’s theoretical error is within 0.01 µm for a periodic mask with a 2-µm sine-wave error. The intensity error models in the focal plane include the rectangular grating error on the mask, static positioning error, and lithography lens focal plane alignment error, which affect pitch uniformity less than in the common linear scale projection lithography splicing process. It was analyzed and confirmed that increasing the repeat exposure number of a single stripe could improve accuracy, as could adjusting the exposure spacing to achieve a set proportion of black and white stripes. According to the experimental results, the effectiveness of the multi-repeated photolithography method is confirmed to easily realize a pitch accuracy of 43 nm in any 10 locations of 1 m, and the whole length accuracy of the linear scale is less than 1 µm/m.

  9. Visible Light Photoinitiator for 3D-Printing of Tough Methacrylate Resins

    Directory of Open Access Journals (Sweden)

    Bernhard Steyrer

    2017-12-01

    Full Text Available Lithography-based additive manufacturing was introduced in the 1980s, and is still the method of choice for printing accurate plastic parts with high surface quality. Recent progress in this field has made tough photopolymer resins and cheap LED light engines available. This study presents the influence of photoinitiator selection and post-processing on the thermomechanical properties of various tough photopolymers. The influence of three photoinitiators (Ivocerin, BAPO, and TPO-L on the double-bond conversion and mechanical properties was investigated by mid infrared spectroscopy, dynamic mechanical analysis and tensile tests. It was found that 1.18 wt % TPO-L would provide the best overall results in terms of double-bond conversion and mechanical properties. A correlation between double-bond conversion, yield strength, and glass transition temperature was found. Elongation at break remained high after post-curing at about 80–100%, and was not influenced by higher photoinitiator concentration. Finally, functional parts with 41 MPa tensile strength, 82% elongation at break, and 112 °C glass transition temperature were printed on a 405 nm DLP (digital light processing printer.

  10. Nano lithography

    CERN Document Server

    Landis, Stefan

    2013-01-01

    Lithography is an extremely complex tool - based on the concept of "imprinting" an original template version onto mass output - originally using relatively simple optical exposure, masking, and etching techniques, and now extended to include exposure to X-rays, high energy UV light, and electron beams - in processes developed to manufacture everyday products including those in the realms of consumer electronics, telecommunications, entertainment, and transportation, to name but a few. In the last few years, researchers and engineers have pushed the envelope of fields including optics, physics,

  11. SU-C-213-03: Custom 3D Printed Boluses for Radiation Therapy

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, B; Yang, M; Yan, Y; Rahimi, A; Chopra, R; Jiang, S [UT Southwestern Medical Center, Dallas, TX (United States)

    2015-06-15

    Purpose: To develop a clinical workflow and to commission the process of creating custom 3d printed boluses for radiation therapy. Methods: We designed a workflow to create custom boluses using a commercial 3D printer. Contours of several patients were deformably mapped to phantoms where the test bolus contours were designed. Treatment plans were created on the phantoms following our institutional planning guideline. The DICOM file of the bolus contours were then converted to stereoLithography (stl) file for the 3d printer. The boluses were printed on a commercial 3D printer using polylactic acid (PLA) material. Custom printing parameters were optimized in order to meet the requirement of bolus composition. The workflow was tested on multiple anatomical sites such as skull, nose and chest wall. The size of boluses varies from 6×9cm2 to 12×25cm2. To commission the process, basic CT and dose properties of the printing materials were measured in photon and electron beams and compared against water and soft superflab bolus. Phantoms were then scanned to confirm the placement of custom boluses. Finally dose distributions with rescanned CTs were compared with those computer-generated boluses. Results: The relative electron density(1.08±0.006) of the printed boluses resemble those of liquid tap water(1.04±0.004). The dosimetric properties resemble those of liquid tap water(1.04±0.004). The dosimetric properties were measured at dmax with an ion chamber in electron and photon open beams. Compared with solid water and soft bolus, the output difference was within 1% for the 3D printer material. The printed boluses fit well to the phantom surfaces on CT scans. The dose distribution and DVH based on the printed boluses match well with those based on TPS generated boluses. Conclusion: 3d printing provides a cost effective and convenient solution for patient-specific boluses in radiation therapy.

  12. SU-C-213-03: Custom 3D Printed Boluses for Radiation Therapy

    International Nuclear Information System (INIS)

    Zhao, B; Yang, M; Yan, Y; Rahimi, A; Chopra, R; Jiang, S

    2015-01-01

    Purpose: To develop a clinical workflow and to commission the process of creating custom 3d printed boluses for radiation therapy. Methods: We designed a workflow to create custom boluses using a commercial 3D printer. Contours of several patients were deformably mapped to phantoms where the test bolus contours were designed. Treatment plans were created on the phantoms following our institutional planning guideline. The DICOM file of the bolus contours were then converted to stereoLithography (stl) file for the 3d printer. The boluses were printed on a commercial 3D printer using polylactic acid (PLA) material. Custom printing parameters were optimized in order to meet the requirement of bolus composition. The workflow was tested on multiple anatomical sites such as skull, nose and chest wall. The size of boluses varies from 6×9cm2 to 12×25cm2. To commission the process, basic CT and dose properties of the printing materials were measured in photon and electron beams and compared against water and soft superflab bolus. Phantoms were then scanned to confirm the placement of custom boluses. Finally dose distributions with rescanned CTs were compared with those computer-generated boluses. Results: The relative electron density(1.08±0.006) of the printed boluses resemble those of liquid tap water(1.04±0.004). The dosimetric properties resemble those of liquid tap water(1.04±0.004). The dosimetric properties were measured at dmax with an ion chamber in electron and photon open beams. Compared with solid water and soft bolus, the output difference was within 1% for the 3D printer material. The printed boluses fit well to the phantom surfaces on CT scans. The dose distribution and DVH based on the printed boluses match well with those based on TPS generated boluses. Conclusion: 3d printing provides a cost effective and convenient solution for patient-specific boluses in radiation therapy

  13. Solution Mask Liquid Lithography (SMaLL) for One-Step, Multimaterial 3D Printing.

    Science.gov (United States)

    Dolinski, Neil D; Page, Zachariah A; Callaway, E Benjamin; Eisenreich, Fabian; Garcia, Ronnie V; Chavez, Roberto; Bothman, David P; Hecht, Stefan; Zok, Frank W; Hawker, Craig J

    2018-06-21

    A novel methodology for printing 3D objects with spatially resolved mechanical and chemical properties is reported. Photochromic molecules are used to control polymerization through coherent bleaching fronts, providing large depths of cure and rapid build rates without the need for moving parts. The coupling of these photoswitches with resin mixtures containing orthogonal photo-crosslinking systems allows simultaneous and selective curing of multiple networks, providing access to 3D objects with chemically and mechanically distinct domains. The power of this approach is showcased through the one-step fabrication of bioinspired soft joints and mechanically reinforced "brick-and-mortar" structures. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun; Jeong, Hoon Eui; Suh, Kahp Y.

    2009-01-01

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold

  15. Hard-tip, soft-spring lithography.

    Science.gov (United States)

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  16. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  17. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee [Inha Univ, Incheon (Korea, Republic of)

    2016-08-15

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed.

  18. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    International Nuclear Information System (INIS)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee

    2016-01-01

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed

  19. Solvent-vapor-assisted imprint lithography

    NARCIS (Netherlands)

    Voicu, Nicoleta E.; Ludwigs, Sabine; Crossland, Edward J. W.; Andrew, Piers; Steiner, Ullrich

    2007-01-01

    Sub-micrometer features are replicated into high-molecular-weight polymer resists by using solvent-assisted nanoimprint lithography (see figure). By swelling the polymer in a controlled solvent-vapor atmosphere, millibar pressures and ambient temperatures are sufficient to achieve high-fidelity

  20. Lithography hotspot discovery at 70nm DRAM 300mm fab: process window qualification using design base binning

    Science.gov (United States)

    Chen, Daniel; Chen, Damian; Yen, Ray; Cheng, Mingjen; Lan, Andy; Ghaskadvi, Rajesh

    2008-11-01

    Identifying hotspots--structures that limit the lithography process window--become increasingly important as the industry relies heavily on RET to print sub-wavelength designs. KLA-Tencor's patented Process Window Qualification (PWQ) methodology has been used for this purpose in various fabs. PWQ methodology has three key advantages (a) PWQ Layout--to obtain the best sensitivity (b) Design Based Binning--for pattern repeater analysis (c) Intelligent sampling--for the best DOI sampling rate. This paper evaluates two different analysis strategies for SEM review sampling successfully deployed at Inotera Memories, Inc. We propose a new approach combining the location repeater and pattern repeaters. Based on a recent case study the new sampling flow reduces the data analysis and sampling time from 6 hours to 1.5 hour maintaining maximum DOI sample rate.

  1. Rapid manufacturing of low-noise membranes for nanopore sensors by trans-chip illumination lithography

    International Nuclear Information System (INIS)

    Janssen, Xander J A; Jonsson, Magnus P; Plesa, Calin; Soni, Gautam V; Dekker, Cees; Dekker, Nynke H

    2012-01-01

    In recent years, the concept of nanopore sensing has matured from a proof-of-principle method to a widespread, versatile technique for the study of biomolecular properties and interactions. While traditional nanopore devices based on a nanopore in a single layer membrane supported on a silicon chip can be rapidly fabricated using standard microfabrication methods, chips with additional insulating layers beyond the membrane region can provide significantly lower noise levels, but at the expense of requiring more costly and time-consuming fabrication steps. Here we present a novel fabrication protocol that overcomes this issue by enabling rapid and reproducible manufacturing of low-noise membranes for nanopore experiments. The fabrication protocol, termed trans-chip illumination lithography, is based on illuminating a membrane-containing wafer from its backside such that a photoresist (applied on the wafer’s top side) is exposed exclusively in the membrane regions. Trans-chip illumination lithography permits the local modification of membrane regions and hence the fabrication of nanopore chips containing locally patterned insulating layers. This is achieved while maintaining a well-defined area containing a single thin membrane for nanopore drilling. The trans-chip illumination lithography method achieves this without relying on separate masks, thereby eliminating time-consuming alignment steps as well as the need for a mask aligner. Using the presented approach, we demonstrate rapid and reproducible fabrication of nanopore chips that contain small (12 μm × 12 μm) free-standing silicon nitride membranes surrounded by insulating layers. The electrical noise characteristics of these nanopore chips are shown to be superior to those of simpler designs without insulating layers and comparable in quality to more complex designs that are more challenging to fabricate. (paper)

  2. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    International Nuclear Information System (INIS)

    Calahorra, Yonatan; Kerlich, Alexander; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan; Amram, Dor

    2016-01-01

    Catalyst assisted vapour-liquid–solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120–450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2–3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology. (paper)

  3. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  4. Image-projection ion-beam lithography

    International Nuclear Information System (INIS)

    Miller, P.A.

    1989-01-01

    Image-projection ion-beam lithography is an attractive alternative for submicron patterning because it may provide high throughput; it uses demagnification to gain advantages in reticle fabrication, inspection, and lifetime; and it enjoys the precise deposition characteristics of ions which cause essentially no collateral damage. This lithographic option involves extracting low-mass ions (e.g., He + ) from a plasma source, transmitting the ions at low voltage through a stencil reticle, and then accelerating and focusing the ions electrostatically onto a resist-coated wafer. While the advantages of this technology have been demonstrated experimentally by the work of IMS (Austria), many difficulties still impede extension of the technology to the high-volume production of microelectronic devices. We report a computational study of a lithography system designed to address problem areas in field size, telecentricity, and chromatic and geometric aberration. We present a novel ion-column-design approach and conceptual ion-source and column designs which address these issues. We find that image-projection ion-beam technology should in principle meet high-volume-production requirements. The technical success of our present relatively compact-column design requires that a glow-discharge-based ion source (or equivalent cold source) be developed and that moderate further improvement in geometric aberration levels be obtained. Our system requires that image predistortion be employed during reticle fabrication to overcome distortion due to residual image nonlinearity and space-charge forces. This constitutes a software data preparation step, as do correcting for distortions in electron lithography columns and performing proximity-effect corrections. Areas needing further fundamental work are identified

  5. Fabrication of Capacitive Acoustic Resonators Combining 3D Printing and 2D Inkjet Printing Techniques

    Directory of Open Access Journals (Sweden)

    Rubaiyet Iftekharul Haque

    2015-10-01

    Full Text Available A capacitive acoustic resonator developed by combining three-dimensional (3D printing and two-dimensional (2D printed electronics technique is described. During this work, a patterned bottom structure with rigid backplate and cavity is fabricated directly by a 3D printing method, and then a direct write inkjet printing technique has been employed to print a silver conductive layer. A novel approach has been used to fabricate a diaphragm for the acoustic sensor as well, where the conductive layer is inkjet-printed on a pre-stressed thin organic film. After assembly, the resulting structure contains an electrically conductive diaphragm positioned at a distance from a fixed bottom electrode separated by a spacer. Measurements confirm that the transducer acts as capacitor. The deflection of the diaphragm in response to the incident acoustic single was observed by a laser Doppler vibrometer and the corresponding change of capacitance has been calculated, which is then compared with the numerical result. Observation confirms that the device performs as a resonator and provides adequate sensitivity and selectivity at its resonance frequency.

  6. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    Science.gov (United States)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  7. Nm-scale diamond-like-carbon (DLC) templates for use in soft lithography

    International Nuclear Information System (INIS)

    Watson, G.S.; Myhra, S.; Brown, C.L.; Watson, J.A.

    2005-01-01

    An emerging set of methods known collectively as soft lithography is now being utilised for a large variety of applications including micromolding, microfluidic networks and microcontact printing. In particular stamps and elastomeric elements can be formed by exposure of a polymer to a template. Established lithographic techniques used in the microelectronic industry, such as photolithography, are generally used to fabricate such master templates at the micron scale. In this study we demonstrate the use of diamond-like-carbon (DLC) as a template for producing polymer micro/nano stamps and 3D polymer structures. Intricate surface relief patterns can be formed on the DLC surface from lithographic techniques by atomic force microscopy (AFM) operated in the electrical conductivity mode. A number of polymers can be used to transfer patterns. One of the most widely used polymers for pattern transfer has been polydimethylsiloxane (PDMS). The elastomer is chemically resistant, has a low surface energy and readily conforms to different surface topographies. Obtaining a master is the limiting factor in the production of PDMS replicas. (author). 2 refs., 4 figs

  8. Application of 3D printing in the surgical planning of hypertrophic obstructive cardiomyopathy and physician-patient communication: a preliminary study.

    Science.gov (United States)

    Guo, Hong-Chang; Wang, Yang; Dai, Jiang; Ren, Chang-Wei; Li, Jin-Hua; Lai, Yong-Qiang

    2018-02-01

    The aim of this study was to evaluate the effect of 3-dimensional (3D) printing in treatment of hypertrophic obstructive cardiomyopathy (HOCM) and its roles in doctor-patient communication. 3D-printed models were constructed preoperatively and postoperatively in seven HOCM patients received surgical treatment. Based on multi-slice computed tomography (CT) images, regions of disorder were segmented using the Mimics 19.0 software (Materialise, Leuven, Belgium). After generating an STL-file (StereoLithography file) with patients' data, the 3D printer (Objet350 Connex3, Stratasys Ltd., USA) created a 3D model. The pre- and post-operative 3D-printed models were used to make the surgical plan preoperatively and evaluate the outcome postoperatively. Meanwhile, a questionnaire was designed for patients and their relatives to learn the effectiveness of the 3D-printed prototypes in the preoperative conversations. The heart anatomies were accurately printed with 3D technology. The 3D-printed prototypes were useful for preoperative evaluation, surgical planning, and practice. Preoperative and postoperative echocardiographic evaluation showed left ventricular outflow tract (LVOT) obstruction was adequately relieved (82.71±31.63 to 14.91±6.89 mmHg, P3D model in preoperative conversations and the communication score was 9.11±0.38 points. A 3D-printed model is a useful tool in individualized planning for myectomies and represent a useful tool for physician-patient communication.

  9. Expanding the printable design space for lithography processes utilizing a cut mask

    Science.gov (United States)

    Wandell, Jerome; Salama, Mohamed; Wilkinson, William; Curtice, Mark; Feng, Jui-Hsuan; Gao, Shao Wen; Asthana, Abhishek

    2016-03-01

    The utilization of a cut-mask in semiconductor patterning processes has been in practice for logic devices since the inception of 32nm-node devices, notably with unidirectional gate level printing. However, the microprocessor applications where cut-mask patterning methods are used are expanding as Self-Aligned Double Patterning (SADP) processes become mainstream for 22/14nm fin diffusion, and sub-14nm metal levels. One common weakness for these types of lithography processes is that the initial pattern requiring the follow-up cut-mask typically uses an extreme off-axis imaging source such as dipole to enhance the resolution and line-width roughness (LWR) for critical dense patterns. This source condition suffers from poor process margin in the semi-dense (forbidden pitch) realm and wrong-way directional design spaces. Common pattern failures in these limited design regions include bridging and extra-printing defects that are difficult to resolve with traditional mask improvement means. This forces the device maker to limit the allowable geometries that a designer may use on a device layer. This paper will demonstrate methods to expand the usable design space on dipole-like processes such as unidirectional gate and SADP processes by utilizing the follow-up cut mask to improve the process window. Traditional mask enhancement means for improving the process window in this design realm will be compared to this new cut-mask approach. The unique advantages and disadvantages of the cut-mask solution will be discussed in contrast to those customary methods.

  10. A multimaterial electrohydrodynamic jet (E-jet) printing system

    International Nuclear Information System (INIS)

    Sutanto, E; Shigeta, K; Kim, Y K; Graf, P G; Hoelzle, D J; Barton, K L; Alleyne, A G; Ferreira, P M; Rogers, J A

    2012-01-01

    Electrohydrodynamic jet (E-jet) printing has emerged as a high-resolution alternative to other forms of direct solution-based fabrication approaches, such as ink-jet printing. This paper discusses the design, integration and operation of a unique E-jet printing platform. The uniqueness lies in the ability to utilize multiple materials in the same overall print-head, thereby enabling increased degrees of heterogeneous integration of different functionalities on a single substrate. By utilizing multiple individual print-heads, with a carrousel indexing among them, increased material flexibility is achieved. The hardware design and system operation for a relatively inexpensive system are developed and presented. Crossover interconnects and multiple fluorescent tagged proteins, demonstrating printed electronics and biological sensing applications, respectively. (paper)

  11. The effectiveness of single minute exchange of dies for lean changeover process in printing industry

    Directory of Open Access Journals (Sweden)

    Indrawati Sri

    2018-01-01

    Full Text Available The changeover time is a factor that greatly affects the lean production implementation in industry with make to order system. Large product variations and unpredictable quantity of orders will trigger some kind of production wastes if changeover time is done in a longer time. One industry with make to order system is printing industry. In general, to produce several types of products such as books takes quite a long time because of long production changeover process. The general problem faced is the delay in book’s production completion. Based on this problem, changeover time reduction is needed to overcome the delay of book’s production completion using single minute exchanges of dies (SMED method. The SMED method is the method that separates the changeover activity into two, i.e. internal setup and external setup. The research shows that changeover time for printing workstation is 18 minutes 29 seconds, which consists of internal setup activities 14 minutes 37 seconds and external setup 4 minutes 33 seconds. By converting 45% of the internal setup activity into an external setup, then the setup time can be reduced. The initial setup activities performed when machine is stop, now can be done when the machine is running. In addition, a changeover process improvement also done using 5S method in workstation tools area so the internal setup time is reduced 46% becomes 7 minutes 59 seconds. Under these conditions, the printing industry can increase production by 2%.

  12. The partial coherence modulation transfer function in testing lithography lens

    Science.gov (United States)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  13. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  14. Nanostructured 2D cellular materials in silicon by sidewall transfer lithography NEMS

    Science.gov (United States)

    Syms, Richard R. A.; Liu, Dixi; Ahmad, Munir M.

    2017-07-01

    Sidewall transfer lithography (STL) is demonstrated as a method for parallel fabrication of 2D nanostructured cellular solids in single-crystal silicon. The linear mechanical properties of four lattices (perfect and defected diamond; singly and doubly periodic honeycomb) with low effective Young’s moduli and effective Poisson’s ratio ranging from positive to negative are modelled using analytic theory and the matrix stiffness method with an emphasis on boundary effects. The lattices are fabricated with a minimum feature size of 100 nm and an aspect ratio of 40:1 using single- and double-level STL and deep reactive ion etching of bonded silicon-on-insulator. Nanoelectromechanical systems (NEMS) containing cellular materials are used to demonstrate stretching, bending and brittle fracture. Predicted edge effects are observed, theoretical values of Poisson’s ratio are verified and failure patterns are described.

  15. Synchrotron radiation sources and condensers for projection x-ray lithography

    International Nuclear Information System (INIS)

    Murphy, J.B.; MacDowell, A.A.; White, D.L.; Wood, O.R. II

    1992-01-01

    The design requirements for a compact electron storage ring that could be used as a soft x-ray source for projection lithography are discussed. The design concepts of the x-ray optics that are required to collect and condition the radiation in divergence, uniformity and direction to properly illuminate the mask and the particular x-ray projection camera used are discussed. Preliminary designs for an entire soft x-ray projection lithography system using an electron storage ring as a soft X-ray source are presented. It is shown that by combining the existing technology of storage rings with large collection angle condensers, a powerful and reliable source of 130 Angstrom photons for production line projection x-ray lithography is possible

  16. The application of synchrotron radiation to X-ray lithography

    International Nuclear Information System (INIS)

    Spiller, E.; Eastman, D.E.; Feder, R.; Grobman, W.D.; Gudat, W.; Topalian, J.

    1976-06-01

    Synchrotron radiation from the German electron synchrotron DESY in Hamburg has been used for X-ray lithograpgy. Replications of different master patterns (for magnetic bubble devices, fresnel zone plates, etc.) were made using various wavelengths and exposures. High quality lines down to 500 A wide have been reproduced using very soft X-rays. The sensitivities of X-ray resists have been evaluated over a wide range of exposures. Various critical factors (heating, radiation damage, etc.) involved with X-ray lithography using synchrotron radiation have been studied. General considerations of storage ring sources designed as radiation sources for X-ray lithography are discussed, together with a comparison with X-ray tube sources. The general conclusion is that X-ray lithography using synchrotron radiation offers considerable promise as a process for forming high quality sub-micron images with exposure times as short as a few seconds. (orig.) [de

  17. Inkjet-Printed Lithium-Sulfur Microcathodes for All-Printed, Integrated Nanomanufacturing.

    Science.gov (United States)

    Milroy, Craig A; Jang, Seonpil; Fujimori, Toshihiko; Dodabalapur, Ananth; Manthiram, Arumugam

    2017-03-01

    Improved thin-film microbatteries are needed to provide appropriate energy-storage options to power the multitude of devices that will bring the proposed "Internet of Things" network to fruition (e.g., active radio-frequency identification tags and microcontrollers for wearable and implantable devices). Although impressive efforts have been made to improve the energy density of 3D microbatteries, they have all used low energy-density lithium-ion chemistries, which present a fundamental barrier to miniaturization. In addition, they require complicated microfabrication processes that hinder cost-competitiveness. Here, inkjet-printed lithium-sulfur (Li-S) cathodes for integrated nanomanufacturing are reported. Single-wall carbon nanotubes infused with electronically conductive straight-chain sulfur (S@SWNT) are adopted as an integrated current-collector/active-material composite, and inkjet printing as a top-down approach to achieve thin-film shape control over printed electrode dimensions is used. The novel Li-S cathodes may be directly printed on traditional microelectronic semicoductor substrates (e.g., SiO 2 ) or on flexible aluminum foil. Profilometry indicates that these microelectrodes are less than 10 µm thick, while cyclic voltammetry analyses show that the S@SWNT possesses pseudocapacitive characteristics and corroborates a previous study suggesting the S@SWNT discharge via a purely solid-state mechanism. The printed electrodes produce ≈800 mAh g -1 S initially and ≈700 mAh g -1 after 100 charge/discharge cycles at C/2 rate. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Printed polymer photonic devices for optical interconnect systems

    Science.gov (United States)

    Subbaraman, Harish; Pan, Zeyu; Zhang, Cheng; Li, Qiaochu; Guo, L. J.; Chen, Ray T.

    2016-03-01

    Polymer photonic device fabrication usually relies on the utilization of clean-room processes, including photolithography, e-beam lithography, reactive ion etching (RIE) and lift-off methods etc, which are expensive and are limited to areas as large as a wafer. Utilizing a novel and a scalable printing process involving ink-jet printing and imprinting, we have fabricated polymer based photonic interconnect components, such as electro-optic polymer based modulators and ring resonator switches, and thermo-optic polymer switch based delay networks and demonstrated their operation. Specifically, a modulator operating at 15MHz and a 2-bit delay network providing up to 35.4ps are presented. In this paper, we also discuss the manufacturing challenges that need to be overcome in order to make roll-to-roll manufacturing practically viable. We discuss a few manufacturing challenges, such as inspection and quality control, registration, and web control, that need to be overcome in order to realize true implementation of roll-to-roll manufacturing of flexible polymer photonic systems. We have overcome these challenges, and currently utilizing our inhouse developed hardware and software tools, <10μm alignment accuracy at a 5m/min is demonstrated. Such a scalable roll-to-roll manufacturing scheme will enable the development of unique optoelectronic devices which can be used in a myriad of different applications, including communication, sensing, medicine, security, imaging, energy, lighting etc.

  19. Bright quantum dot single photon source based on a low Q defect cavity

    DEFF Research Database (Denmark)

    Maier, Sebastian; Gold, Peter; Forchel, A.

    2014-01-01

    The quasi-planar single photon source presented in this paper shows an extraction efficiency of 42% without complex photonic resonator geometries or lithography steps as well as a high purity with a g2(0) value of 0.023.......The quasi-planar single photon source presented in this paper shows an extraction efficiency of 42% without complex photonic resonator geometries or lithography steps as well as a high purity with a g2(0) value of 0.023....

  20. Functionalized SU-8 patterned with X-ray Lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Romanato, F.

    2005-01-01

    spontaneous emission light source that couples out light normal to the chip plane. In addition we examine the influence of the x-ray irradiation on the fluorescence of thin films of dye doped SU-8. The dye embedded in the SU-8 is optically excited during, characterization by an external light source tuned......In this work we demonstrate the feasibility of x-ray lithography on SU-8 photoresist doped with the laser dye Rhodamine 6G, while retaining the photoactive properties of the embedded dye. Two kinds of structures are fabricated via soft x-ray lithography and characterized: a laser and in amplified...

  1. Feasibility of fabricating personalized 3D-printed bone grafts guided by high-resolution imaging

    Science.gov (United States)

    Hong, Abigail L.; Newman, Benjamin T.; Khalid, Arbab; Teter, Olivia M.; Kobe, Elizabeth A.; Shukurova, Malika; Shinde, Rohit; Sipzner, Daniel; Pignolo, Robert J.; Udupa, Jayaram K.; Rajapakse, Chamith S.

    2017-03-01

    Current methods of bone graft treatment for critical size bone defects can give way to several clinical complications such as limited available bone for autografts, non-matching bone structure, lack of strength which can compromise a patient's skeletal system, and sterilization processes that can prevent osteogenesis in the case of allografts. We intend to overcome these disadvantages by generating a patient-specific 3D printed bone graft guided by high-resolution medical imaging. Our synthetic model allows us to customize the graft for the patients' macro- and microstructure and correct any structural deficiencies in the re-meshing process. These 3D-printed models can presumptively serve as the scaffolding for human mesenchymal stem cell (hMSC) engraftment in order to facilitate bone growth. We performed highresolution CT imaging of a cadaveric human proximal femur at 0.030-mm isotropic voxels. We used these images to generate a 3D computer model that mimics bone geometry from micro to macro scale represented by STereoLithography (STL) format. These models were then reformatted to a format that can be interpreted by the 3D printer. To assess how much of the microstructure was replicated, 3D-printed models were re-imaged using micro-CT at 0.025-mm isotropic voxels and compared to original high-resolution CT images used to generate the 3D model in 32 sub-regions. We found a strong correlation between 3D-printed bone volume and volume of bone in the original images used for 3D printing (R2 = 0.97). We expect to further refine our approach with additional testing to create a viable synthetic bone graft with clinical functionality.

  2. Helium ion lithography principles and performance

    NARCIS (Netherlands)

    Drift, E. van der; Maas, D.J.

    2012-01-01

    Recent developments show that Scanning Helium Ion Beam Lithography (SHIBL) with a sub-nanometer beam diameter is a promising alternative fabrication technique for high-resolution nanostructures at high pattern densities. Key principles and critical conditions of the technique are explained. From

  3. Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, Vincent; Chansin, G.

    2006-01-01

    The fabrication of a three-dimensional multilayered nanostructure is demonstrated with a newly developed nanofabrication technique, namely, reverse contact ultraviolet nanoimprint lithography. This technique is a combination of reverse nanoimprint lithography and contact ultraviolet lithography....... In this process, a UV cross-linkable polymer and a thermoplastic polymer are spin coated onto a patterned hybrid metal-quartz stamp. These thin polymer films are then transferred from the stamp to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light....... After separation of the stamp and the substrate, the unexposed polymer areas are rinsed away with acetone leaving behind the negative features of the original stamp with no residual layer....

  4. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  5. Roll-to-Roll Screen Printed Radio Frequency Identification Transponder Antennas for Vehicle Tracking Systems

    Science.gov (United States)

    Zichner, Ralf; Baumann, Reinhard R.

    2013-05-01

    Vehicle tracking systems based on ultra high frequency (UHF) radio frequency identification (RFID) technology are already introduced to control the access to car parks and corporate premises. For this field of application so-called Windshield RFID transponder labels are used, which are applied to the inside of the windshield. State of the art for manufacturing these transponder antennas is the traditional lithography/etching approach. Furthermore the performance of these transponders is limited to a reading distance of approximately 5 m which results in car speed limit of 5 km/h for identification. However, to achieve improved performance compared to existing all-purpose transponders and a dramatic cost reduction, an optimized antenna design is needed which takes into account the special dielectric and in particular metallic car environment of the tag and an roll-to-roll (R2R) printing manufacturing process. In this paper we focus on the development of a customized UHF RFID transponder antenna design, which is adopted for vehicle geometry as well as R2R screen printing manufacturing processes.

  6. DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates

    DEFF Research Database (Denmark)

    Smistrup, K.; Nørregaard, J.; Mironov, A.

    2013-01-01

    by including a lambda quarter shift at the center of the grating. The need for phase shifts and multiple wavelengths eliminates some lithography methods such as holography. Typically, these lasers are produced by e-beam lithography (EBL). We present a production method based on thermal nanoimprint lithography...... during the imprint process and the narrow temperature window for imprint and separation (80°C and 55°C) ensures minimal issues with thermal mismatch between the InP substrate and the Si stamp. The imprinted InP wafers were processed in NeoPhotonics standard process line to create working lasers...

  7. Printing in heterogeneous computer environment at DESY

    International Nuclear Information System (INIS)

    Jakubowski, Z.

    1996-01-01

    The number of registered hosts DESY reaches 3500 while the number of print queues approaches 150. The spectrum of used computing environment is very wide: from MAC's and PC's, through SUN, DEC and SGI machines to the IBM mainframe. In 1994 we used 18 tons of paper. We present a solution for providing print services in such an environment for more than 3500 registered users. The availability of the print service is a serious issue. Using centralized printing has a lot of advantages for software administration but creates single point of failure. We solved this problem partially without using expensive software and hardware. The talk provides information about the DESY central central print spooler concept. None of the systems available on the market provides ready to use reliable solution for all platforms used for DESY. We discuss concepts for installation, administration and monitoring large number of printers. We found a solution for printing both on central computing facilities likewise for support of stand-alone workstations. (author)

  8. Effect of the STereoLithography file structure on the ear shell production for hearing aids according to DICOM images

    Energy Technology Data Exchange (ETDEWEB)

    KIm, Hyeong Gyun [Dept. of Radiological Science, Far East University, Eumseong (Korea, Republic of)

    2017-03-15

    A technique for producing the ear shell for a hearing aid using DICOM (Digital Imaging and Communication in Medicine) image and a 3D printing was studied. It is a new application method, and is an application technique that can improve the safety and infection of hearing aid users and can reduce the production time and process stages. In this study, the effects on the shape surface were examined before and after the printing of the ear shell using a 3D printer based on the values obtained from the raw data of the DICOM images at the volumes of 0.5 mm, 1.0 mm, and 2.0 mm, respectively. Before the printing, relative relationship was compared with respect to the STL (STereoLithography) file structure; and after the printing, the intervals of the layered structure of the ear shell shape surface were compared by magnifying them using a microscope. For the STL file structure, the numbers of triangular vertices, more than five intersecting points, and maximum intersecting points were large in the order of 0.5 mm, 1.0 m, and 2.0 mm, respectively; and the triangular structure was densely distributed in the order of the bending, angle, and crest regions depending on the sinuosity of the external auditory meatus shape. As for the ear shell shape surface examined by the digital microscope, the interval of the layered structure was thick in the order of 2.0 mm, 1.0 mm, and 0.5 mm. For the STL surface structure mentioned above, the intersecting STL triangular structure was denser as the sinuosity of the 3D ear shell shape became more irregular and the volume of the raw data decreased.

  9. Effect of the STereoLithography file structure on the ear shell production for hearing aids according to DICOM images

    International Nuclear Information System (INIS)

    KIm, Hyeong Gyun

    2017-01-01

    A technique for producing the ear shell for a hearing aid using DICOM (Digital Imaging and Communication in Medicine) image and a 3D printing was studied. It is a new application method, and is an application technique that can improve the safety and infection of hearing aid users and can reduce the production time and process stages. In this study, the effects on the shape surface were examined before and after the printing of the ear shell using a 3D printer based on the values obtained from the raw data of the DICOM images at the volumes of 0.5 mm, 1.0 mm, and 2.0 mm, respectively. Before the printing, relative relationship was compared with respect to the STL (STereoLithography) file structure; and after the printing, the intervals of the layered structure of the ear shell shape surface were compared by magnifying them using a microscope. For the STL file structure, the numbers of triangular vertices, more than five intersecting points, and maximum intersecting points were large in the order of 0.5 mm, 1.0 m, and 2.0 mm, respectively; and the triangular structure was densely distributed in the order of the bending, angle, and crest regions depending on the sinuosity of the external auditory meatus shape. As for the ear shell shape surface examined by the digital microscope, the interval of the layered structure was thick in the order of 2.0 mm, 1.0 mm, and 0.5 mm. For the STL surface structure mentioned above, the intersecting STL triangular structure was denser as the sinuosity of the 3D ear shell shape became more irregular and the volume of the raw data decreased

  10. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  11. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  12. A Fabrication Technique for Nano-gap Electrodes by Atomic Force Microscopy Nano lithography

    International Nuclear Information System (INIS)

    Jalal Rouhi; Shahrom Mahmud; Hutagalung, S.D.; Kakooei, S.

    2011-01-01

    A simple technique is introduced for fabrication of nano-gap electrodes by using nano-oxidation atomic force microscopy (AFM) lithography with a Cr/ Pt coated silicon tip. AFM local anodic oxidation was performed on silicon-on-insulator (SOI) surfaces by optimization of desired conditions to control process in contact mode. Silicon electrodes with gaps of sub 31 nm were fabricated by nano-oxidation method. This technique which is simple, controllable, inexpensive and fast is capable of fabricating nano-gap structures. The current-voltage measurements (I-V) of the electrodes demonstrated very good insulating characteristics. The results show that silicon electrodes have a great potential for fabrication of single molecule transistors (SMT), single electron transistors (SET) and the other nano electronic devices. (author)

  13. Towards microscale electrohydrodynamic three-dimensional printing

    International Nuclear Information System (INIS)

    He, Jiankang; Xu, Fangyuan; Cao, Yi; Liu, Yaxiong; Li, Dichen

    2016-01-01

    It is challenging for the existing three-dimensional (3D) printing techniques to fabricate high-resolution 3D microstructures with low costs and high efficiency. In this work we present a solvent-based electrohydrodynamic 3D printing technique that allows fabrication of microscale structures like single walls, crossed walls, lattice and concentric circles. Process parameters were optimized to deposit tiny 3D patterns with a wall width smaller than 10 μm and a high aspect ratio of about 60. Tight bonding among neighbour layers could be achieved with a smooth lateral surface. In comparison with the existing microscale 3D printing techniques, the presented method is low-cost, highly efficient and applicable to multiple polymers. It is envisioned that this simple microscale 3D printing strategy might provide an alternative and innovative way for application in MEMS, biosensor and flexible electronics. (paper)

  14. Towards microscale electrohydrodynamic three-dimensional printing

    Science.gov (United States)

    He, Jiankang; Xu, Fangyuan; Cao, Yi; Liu, Yaxiong; Li, Dichen

    2016-02-01

    It is challenging for the existing three-dimensional (3D) printing techniques to fabricate high-resolution 3D microstructures with low costs and high efficiency. In this work we present a solvent-based electrohydrodynamic 3D printing technique that allows fabrication of microscale structures like single walls, crossed walls, lattice and concentric circles. Process parameters were optimized to deposit tiny 3D patterns with a wall width smaller than 10 μm and a high aspect ratio of about 60. Tight bonding among neighbour layers could be achieved with a smooth lateral surface. In comparison with the existing microscale 3D printing techniques, the presented method is low-cost, highly efficient and applicable to multiple polymers. It is envisioned that this simple microscale 3D printing strategy might provide an alternative and innovative way for application in MEMS, biosensor and flexible electronics.

  15. Wavelength selection for multilayer coatings for the lithography generation beyond extreme ultraviolet

    NARCIS (Netherlands)

    Makhotkin, Igor Alexandrovich; Zoethout, E.; Louis, Eric; Yakunin, A.M.; Muellender, S.; Bijkerk, Frederik

    2012-01-01

    Reducing the operating wavelength in advanced photolitho- graphy while maintaining the lithography machine’s produc- tivity has been a traditional way to enable improved imaging for the last 20 years. The transition from 13.5 nm to 6.5 to 6.9 nm optical lithography offers a possibility to combine

  16. Inclined nanoimprinting lithography for 3D nanopatterning

    International Nuclear Information System (INIS)

    Liu Zhan; Bucknall, David G; Allen, Mark G

    2011-01-01

    We report a non-conventional shear-force-driven nanofabrication approach, inclined nanoimprint lithography (INIL), for producing 3D nanostructures of varying heights on planar substrates in a single imprinting step. Such 3D nanostructures are fabricated by exploiting polymer anisotropic dewetting where the degree of anisotropy can be controlled by the magnitude of the inclination angle. The feature size is reduced from micron scale of the template to a resultant nanoscale pattern. The underlying INIL mechanism is investigated both experimentally and theoretically. The results indicate that the shear force generated at a non-zero inclination angle induced by the INIL apparatus essentially leads to asymmetry in the polymer flow direction ultimately resulting in 3D nanopatterns with different heights. INIL removes the requirements in conventional nanolithography of either utilizing 3D templates or using multiple lithographic steps. This technique enables various 3D nanoscale devices including angle-resolved photonic and plasmonic crystals to be fabricated.

  17. Extreme ultraviolet lithography: A few more pieces of the puzzle

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, Christopher N. [Univ. of California, Berkeley, CA (United States)

    2009-05-20

    The work described in this dissertation has improved three essential components of extreme ultraviolet (EUV) lithography: exposure tools, photoresist, and metrology. Exposure tools. A field-averaging illumination stage is presented that enables nonuniform, high-coherence sources to be used in applications where highly uniform illumination is required. In an EUV implementation, it is shown that the illuminator achieves a 6.5% peak-to-valley intensity variation across the entire design field of view. In addition, a design for a stand-alone EUV printing tool capable of delivering 15 nm half-pitch sinusoidal fringes with available sources, gratings and nano-positioning stages is presented. It is shown that the proposed design delivers a near zero line-edge-rougness (LER) aerial image, something extremely attractive for the application of resist testing. Photoresist. Two new methods of quantifying the deprotection blur of EUV photoresists are described and experimentally demonstrated. The deprotection blur, LER, and sensitivity parameters of several EUV photoresists are quantified simultaneously as base weight percent, photoacid generator (PAG) weight percent, and post-exposure bake (PEB) temperature are varied. Two surprising results are found: (1) changing base weight percent does not significantly affect the deprotection blur of EUV photoresist, and (2) increasing PAG weight percent can simultaneously reduce LER and E-size in EUV photoresist. The latter result motivates the development of an EUV exposure statistics model that includes the effects of photon shot noise, the PAG spatial distribution, and the changing of the PAG distribution during the exposure. In addition, a shot noise + deprotection blur model is used to show that as deprotection blur becomes large relative to the size of the printed feature, LER reduction from improved counting statistics becomes dominated by an increase in LER due to reduced deprotection contrast. Metrology. Finally, this

  18. Advanced coatings for next generation lithography

    Science.gov (United States)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  19. Thin-film morphology of inkjet-printed single-droplet organic transistors using polarized Raman spectroscopy: effect of blending TIPS-pentacene with insulating polymer

    NARCIS (Netherlands)

    James, D.T.; Kjellander, B.K.C.; Smaal, W.T.T.; Gelinck, G.H.; Combe, C.; McCulloch, I.; Wilson, R.; Burroughes, J.H.; Bradley, D.D.C.; Kim, J.S.

    2011-01-01

    We report thin-film morphology studies of inkjet-printed single-droplet organic thin-film transistors (OTFTs) using angle-dependent polarized Raman spectroscopy. We show this to be an effective technique to determine the degree of molecular order as well as to spatially resolve the orientation of

  20. 3-D printed composites with ultrasonically arranged complex microstructure

    Science.gov (United States)

    Llewellyn-Jones, Thomas M.; Drinkwater, Bruce W.; Trask, Richard S.

    2016-04-01

    This paper demonstrates the efficacy of implementing ultrasonic manipulation within a modified form of stereolithographic 3D printing to form complex microstructures in printed components. Currently 3D printed components are limited both in terms of structural performance and specialised functionality. This study aims to demonstrate a novel method for 3D printing composite materials, by arranging microparticles suspended within a photocurable resin. The resin is selectively cured by a 3-axis gantry-mounted 405nm laser. Ultrasonic forces are used to arrange the microfibres into predetermined patterns within the resin, with unidirectional microfibre alignment and a hexagonal lattice structure demonstrated. An example of dynamic microstructure variation within a single print layer is also presented.

  1. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    Science.gov (United States)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  2. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    International Nuclear Information System (INIS)

    Li, Yue; Wu, Ping; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun; Luo, Zhaofeng; Ren, Yuxuan

    2015-01-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories. (paper)

  3. A preliminary study of synchrotron light sources for x-ray lithography

    International Nuclear Information System (INIS)

    Hoffmann, C.R.; Bigham, C.B.; Ebrahim, N.A.; Sawicki, J.A.; Taylor, T.

    1989-02-01

    A preliminary study of synchrotron light sources has been made, primarily oriented toward x-ray lithography. X-ray lithography is being pursued vigorously in several countries, with a goal of manufacturing high-density computer chips (0.25 μm feature sizes), and may attain commercial success in the next decade. Many other applications of soft x-rays appear worthy of investigation as well. The study group visited synchrotron radiation facilities and had discussions with members of the synchrotron radiation community, particularly Canadians. It concluded that accelerator technology for a conventional synchrotron light source appropriate for x-ray lithography is well established and is consistent with skills and experience at Chalk River Nuclear Laboratories. Compact superconducting systems are being developed also. Their technical requirements overlap with capabilities at Chalk River. (32 refs)

  4. Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-07-01

    Extreme ultraviolet lithography (EUVL, λ=13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a "Photosensitized Chemically Amplified Resist™" (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated

  5. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    Science.gov (United States)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  6. Handbook of VLSI microlithography principles, technology and applications

    CERN Document Server

    Glendinning, William B

    1991-01-01

    This handbook gives readers a close look at the entire technology of printing very high resolution and high density integrated circuit (IC) patterns into thin resist process transfer coatings-- including optical lithography, electron beam, ion beam, and x-ray lithography. The book's main theme is the special printing process needed to achieve volume high density IC chip production, especially in the Dynamic Random Access Memory (DRAM) industry. The book leads off with a comparison of various lithography methods, covering the three major patterning parameters of line/space, resolution, line e

  7. Simulation flow and model verification for laser direct-write lithography

    Science.gov (United States)

    Onanuga, Temitope; Rumler, Maximilian; Erdmann, Andreas

    2017-07-01

    A simulation flow for laser direct-write lithography (LDWL), a maskless lithography process in which a focused laser beam is scanned through a photoresist, is proposed. The simulation flow includes focusing of Gaussian beams, photoresist exposure, free-radical polymerization chemistry of the photoresist, and photoresist development. We applied the simulation method to investigate the scaling of feature sizes or linewidths for a varying number of exposure cycles at a total constant exposure dose. Experimental results from literature demonstrate that exposing the photoresist over multiple exposure cycles causes a reduction in linewidths. We explore possible reasons for this phenomenon and conclude that radical losses occurring between subsequent exposures provide a possible explanation of the observed effects. Furthermore, we apply the developed simulation method to analyze lithographic structures that were fabricated by a combination of LDWL and nanoimprint lithography. The simulation results agree with the experimental tendencies of a reduced likelihood of overexposures with an increase in the number of exposure cycles.

  8. High throughput nanoimprint lithography for semiconductor memory applications

    Science.gov (United States)

    Ye, Zhengmao; Zhang, Wei; Khusnatdinov, Niyaz; Stachowiak, Tim; Irving, J. W.; Longsine, Whitney; Traub, Matthew; Fletcher, Brian; Liu, Weijun

    2017-03-01

    Imprint lithography is a promising technology for replication of nano-scale features. For semiconductor device applications, Canon deposits a low viscosity resist on a field by field basis using jetting technology. A patterned mask is lowered into the resist fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are two critical components to meeting throughput requirements for imprint lithography. Using a similar approach to what is already done for many deposition and etch processes, imprint stations can be clustered to enhance throughput. The FPA-1200NZ2C is a four station cluster system designed for high volume manufacturing. For a single station, throughput includes overhead, resist dispense, resist fill time (or spread time), exposure and separation. Resist exposure time and mask/wafer separation are well understood processing steps with typical durations on the order of 0.10 to 0.20 seconds. To achieve a total process throughput of 17 wafers per hour (wph) for a single station, it is necessary to complete the fluid fill step in 1.2 seconds. For a throughput of 20 wph, fill time must be reduced to only one 1.1 seconds. There are several parameters that can impact resist filling. Key parameters include resist drop volume (smaller is better), system controls (which address drop spreading after jetting), Design for Imprint or DFI (to accelerate drop spreading) and material engineering (to promote wetting between the resist and underlying adhesion layer). In addition, it is mandatory to maintain fast filling, even for edge field imprinting. In this paper, we address the improvements made in all of these parameters to first enable a 1.20 second filling process for a device like pattern and have demonstrated this capability for both full fields and edge fields. Non

  9. Three-Dimensionally Printed Micro-electromechanical Switches.

    Science.gov (United States)

    Lee, Yongwoo; Han, Jungmin; Choi, Bongsik; Yoon, Jinsu; Park, Jinhee; Kim, Yeamin; Lee, Jieun; Kim, Dae Hwan; Kim, Dong Myong; Lim, Meehyun; Kang, Min-Ho; Kim, Sungho; Choi, Sung-Jin

    2018-05-09

    Three-dimensional (3D) printers have attracted considerable attention from both industry and academia and especially in recent years because of their ability to overcome the limitations of two-dimensional (2D) processes and to enable large-scale facile integration techniques. With 3D printing technologies, complex structures can be created using only a computer-aided design file as a reference; consequently, complex shapes can be manufactured in a single step with little dependence on manufacturer technologies. In this work, we provide a first demonstration of the facile and time-saving 3D printing of two-terminal micro-electromechanical (MEM) switches. Two widely used thermoplastic materials were used to form 3D-printed MEM switches; freely suspended and fixed electrodes were printed from conductive polylactic acid, and a water-soluble sacrificial layer for air-gap formation was printed from poly(vinyl alcohol). Our 3D-printed MEM switches exhibit excellent electromechanical properties, with abrupt switching characteristics and an excellent on/off current ratio value exceeding 10 6 . Therefore, we believe that our study makes an innovative contribution with implications for the development of a broader range of 3D printer applications (e.g., the manufacturing of various MEM devices and sensors), and the work highlights a uniquely attractive path toward the realization of 3D-printed electronics.

  10. Charge storage in mesoscopic graphitic islands fabricated using AFM bias lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kurra, Narendra; Basavaraja, S; Kulkarni, G U [Chemistry and Physics of Materials Unit and DST Unit on Nanoscience, Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur PO, Bangalore 560 064 (India); Prakash, Gyan; Fisher, Timothy S; Reifenberger, Ronald G, E-mail: kulkarni@jncasr.ac.in, E-mail: reifenbr@purdue.edu [Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States)

    2011-06-17

    Electrochemical oxidation and etching of highly oriented pyrolytic graphite (HOPG) has been achieved using biased atomic force microscopy (AFM) lithography, allowing patterns of varying complexity to be written into the top layers of HOPG. The graphitic oxidation process and the trench geometry after writing were monitored using intermittent contact mode AFM. Electrostatic force microscopy reveals that the isolated mesoscopic islands formed during the AFM lithography process become positively charged, suggesting that they are laterally isolated from the surrounding HOPG substrate. The electrical transport studies of these laterally isolated finite-layer graphitic islands enable detailed characterization of electrical conduction along the c-direction and reveal an unexpected stability of the charged state. Utilizing conducting-atomic force microscopy, the measured I(V) characteristics revealed significant non-linearities. Micro-Raman studies confirm the presence of oxy functional groups formed during the lithography process.

  11. Fabrication of quartz microcylinders by laser interference lithography for angular optical tweezers

    Science.gov (United States)

    Santybayeva, Zhanna; Meghit, Afaf; Desgarceaux, Rudy; Teissier, Roland; Pichot, Frederic; de Marin, Charles; Charlot, Benoit; Pedaci, Francesco

    2016-07-01

    The use of optical tweezers (OTs) and spin angular momentum transfer to birefringent particles allows new mechanical measurements in systems where torque and rotation are relevant parameters at the single-molecule level. There is a growing interest in developing simple, fast, and inexpensive protocols to produce a large number of submicron scale cylinders of quartz, a positive uniaxial birefringent crystal, to be employed for such angular measurements in OTs. Here, we show that laser interference lithography, a method well known for its simplicity, fulfills these requirements and produces quartz cylindrical particles that we successfully use to apply and measure optical torque in the piconewton nm range in an optical torque wrench.

  12. Report of the fifth workshop on synchrotron x-ray lithography

    International Nuclear Information System (INIS)

    Williams, G.P.; Godel, J.B.; Brown, G.S.

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the ''Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources

  13. Report of the fifth workshop on synchrotron x-ray lithography

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G.P.; Godel, J.B. (Brookhaven National Lab., Upton, NY (USA)); Brown, G.S. (Stanford Univ., CA (USA). Stanford Synchrotron Radiation Lab.); Liebmann, W. (Suss (Karl) America, Essex Junction, VT (USA))

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources.

  14. Report on the fifth workshop on synchrotron x ray lithography

    Science.gov (United States)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  15. Packaging Printing Today

    OpenAIRE

    Stanislav Bolanča; Igor Majnarić; Kristijan Golubović

    2015-01-01

    Printing packaging covers today about 50% of all the printing products. Among the printing products there are printing on labels, printing on flexible packaging, printing on folding boxes, printing on the boxes of corrugated board, printing on glass packaging, synthetic and metal ones. The mentioned packaging are printed in flexo printing technique, offset printing technique, intaglio halftone process, silk – screen printing, ink ball printing, digital printing and hybrid printing process. T...

  16. Low Cost Lithography Tool for High Brightness LED Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Andrew Hawryluk; Emily True

    2012-06-30

    The objective of this activity was to address the need for improved manufacturing tools for LEDs. Improvements include lower cost (both capital equipment cost reductions and cost-ofownership reductions), better automation and better yields. To meet the DOE objective of $1- 2/kilolumen, it will be necessary to develop these highly automated manufacturing tools. Lithography is used extensively in the fabrication of high-brightness LEDs, but the tools used to date are not scalable to high-volume manufacturing. This activity addressed the LED lithography process. During R&D and low volume manufacturing, most LED companies use contact-printers. However, several industries have shown that these printers are incompatible with high volume manufacturing and the LED industry needs to evolve to projection steppers. The need for projection lithography tools for LED manufacturing is identified in the Solid State Lighting Manufacturing Roadmap Draft, June 2009. The Roadmap states that Projection tools are needed by 2011. This work will modify a stepper, originally designed for semiconductor manufacturing, for use in LED manufacturing. This work addresses improvements to yield, material handling, automation and throughput for LED manufacturing while reducing the capital equipment cost.

  17. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    Science.gov (United States)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  18. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    International Nuclear Information System (INIS)

    Li Yi-Gui; Yang Chun-Sheng; Liu Jing-Quan; Sugiyama Susumu

    2011-01-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm 2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost. (cross-disciplinary physics and related areas of science and technology)

  19. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    Science.gov (United States)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  20. Print Quality of Ink Jet Printed PVC Foils

    Directory of Open Access Journals (Sweden)

    Nemanja Kašiković

    2015-09-01

    Full Text Available Digital printing technique is used for a wide variety of substrates, one of which are PVC foils. Samples used in this research were printed by digital ink jet printing technique using Mimaki JV22 printing machine and J-Eco Subly Nano inks. As printing substrates, two different types of materials were used (ORACAL 640 - Print Vinyl and LG Hausys LP2712. A test card consisting of fields of CMYK colours was created and printed, varying the number of ink layers applied. Samples were exposed to light after the printing process. Spectrophotometric measurements were conducted before and after the light treatment. Based on spectrophotometricaly obtained data, colour differences ΔE2000 were calculated. Results showed that increasing number of layers, as well as the right choice of substrates, can improve the behaviour of printed product during exploitation.

  1. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  2. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    Science.gov (United States)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  3. Packaging Printing Today

    Directory of Open Access Journals (Sweden)

    Stanislav Bolanča

    2015-12-01

    Full Text Available Printing packaging covers today about 50% of all the printing products. Among the printing products there are printing on labels, printing on flexible packaging, printing on folding boxes, printing on the boxes of corrugated board, printing on glass packaging, synthetic and metal ones. The mentioned packaging are printed in flexo printing technique, offset printing technique, intaglio halftone process, silk – screen printing, ink ball printing, digital printing and hybrid printing process. The possibilities of particular printing techniques for optimal production of the determined packaging were studied in the paper. The problem was viewed from the technological and economical aspect. The possible printing quality and the time necessary for the printing realization were taken as key parameters. An important segment of the production and the way of life is alocation value and it had also found its place in this paper. The events in the field of packaging printing in the whole world were analyzed. The trends of technique developments and the printing technology for packaging printing in near future were also discussed.

  4. Electrical and Physical Property Characterization of Single Walled Carbon Nanotube Ink for Flexible Printed Electronics

    Science.gov (United States)

    2015-03-01

    accurately can the 2 ink be printed? How well does the ink adhere to its substrate? How does the substrate affect the adhesion properties? In what...physical characteristics, some of which may be incompatible with inkjet printing, or the Dimatix DMP 2800 specifically. 3.2.1.2 Ink Solvent...The tape test is conducted by applying a flexible adhesive -backed polymer to the fully-dried printed circuit. The tape is then removed and analyzed

  5. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    Science.gov (United States)

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  6. Mod silver metallization: Screen printing and ink-jet printing

    Science.gov (United States)

    Vest, R. W.; Vest, G. M.

    1985-01-01

    Basic material efforts have proven to be very successful. Adherent and conductive films were achieved. A silver neodecanoate/bismuth 2-ethylhexanoate mixture has given the best results in both single and double layer applications. Another effort is continuing to examine the feasibility of applying metallo-organic deposition films by use of an ink jet printer. Direct line writing would result in a saving of process time and materials. So far, some well defined lines have been printed.

  7. Metal layer mask patterning by force microscopy lithography

    International Nuclear Information System (INIS)

    Filho, H.D. Fonseca; Mauricio, M.H.P.; Ponciano, C.R.; Prioli, R.

    2004-01-01

    The nano-lithography of a metallic surface in air by atomic force microscopy while operated in contact mode and equipped with a diamond tip is presented. The aluminum mask was prepared by thermal deposition on arsenic sulfide films. The analysis of the scratches performed by the tip on the metallic mask show that the depth of the lithographed pattern increases with the increase of the applied normal force. The scanning velocity is also shown to influence the AFM patterning process. As the scanning velocity increases, the scratch depth and width decreases. Nano-indentations performed with the diamond tip show that the plastically deformed surface increases with the increase of the duration of the applied force. The use of the nano-lithography method to create nano-structures is discussed

  8. V-groove plasmonic waveguides fabricated by nanoimprint lithography

    DEFF Research Database (Denmark)

    Fernandez-Cuesta, I.; Nielsen, R.B.; Boltasseva, Alexandra

    2007-01-01

    Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication of integra...... of integrated optical devices composed of metal V grooves. This method represents an improvement with respect to previous works, where the V grooves were fabricated by direct milling of the metal, in terms of robustness and throughput. © 2007 American Vacuum Society......Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication...

  9. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    Science.gov (United States)

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  10. Image grating metrology using phase-stepping interferometry in scanning beam interference lithography

    Science.gov (United States)

    Li, Minkang; Zhou, Changhe; Wei, Chunlong; Jia, Wei; Lu, Yancong; Xiang, Changcheng; Xiang, XianSong

    2016-10-01

    Large-sized gratings are essential optical elements in laser fusion and space astronomy facilities. Scanning beam interference lithography is an effective method to fabricate large-sized gratings. To minimize the nonlinear phase written into the photo-resist, the image grating must be measured to adjust the left and right beams to interfere at their waists. In this paper, we propose a new method to conduct wavefront metrology based on phase-stepping interferometry. Firstly, a transmission grating is used to combine the two beams to form an interferogram which is recorded by a charge coupled device(CCD). Phase steps are introduced by moving the grating with a linear stage monitored by a laser interferometer. A series of interferograms are recorded as the displacement is measured by the laser interferometer. Secondly, to eliminate the tilt and piston error during the phase stepping, the iterative least square phase shift method is implemented to obtain the wrapped phase. Thirdly, we use the discrete cosine transform least square method to unwrap the phase map. Experiment results indicate that the measured wavefront has a nonlinear phase around 0.05 λ@404.7nm. Finally, as the image grating is acquired, we simulate the print-error written into the photo-resist.

  11. A new lithography of functional plasma polymerized thin films

    International Nuclear Information System (INIS)

    Kim, Sung-O

    2001-01-01

    The preparation of the resist for the vacuum lithography was carried out by plasma polymerization. The resist manufactured by plasma polymerization is a monomer produced by MMA (Methyl methacrylate). The functional groups of MMA appeared in the PPMMA (Plasma Polymerized Methyl methacrylate) as well, and this was confirmed through an analysis using FT-IR. The polymerization rate increased as a function of the plasma power and decreased as a function of the system pressure. The sensitivity and contrast of the plasma polymerized thin films were 15 μC/cm2 and 4.3 respectively. The size of the pattern manufactured by Vacuum Lithography using the plasma polymerized thin films was 100 nm

  12. Soft X-ray microscopy and lithography with synchrotron radiation

    International Nuclear Information System (INIS)

    Gudat, W.

    1977-12-01

    Considerable progress in the technique microscopy with soft X-ray radiation has been achieved in particular through the application of synchrotron radiation. Various methods which are currently being studied theoretically or already being used practically will be described briefly. Attention is focussed on the method of contact microscopy. Various biological specimens have been investigated by this method with a resolution as good as 100 A. X-ray lithography which in the technical procedure is very similar to contact microscopy gives promise for the fabrication of high quality submicron structures in electronic device production. Important factors limiting the resolution and determining the performance of contact microscopy and X-ray lithography will be discussed. (orig.) [de

  13. Critical dimension and pattern size enhancement using pre-strained lithography

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Jian-Wei [Department of Power Mechanical Engineering, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Yang, Chung-Yuan [Institute of NanoEngineering and MicroSystems, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Lo, Cheng-Yao, E-mail: chengyao@mx.nthu.edu.tw [Department of Power Mechanical Engineering, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Institute of NanoEngineering and MicroSystems, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China)

    2014-10-13

    This paper proposes a non-wavelength-shortening-related critical dimension and pattern size reduction solution for the integrated circuit industry that entails generating strain on the substrate prior to lithography. Pattern size reduction of up to 49% was achieved regardless of shape, location, and size on the xy plane, and complete theoretical calculations and process steps are described in this paper. This technique can be applied to enhance pattern resolution by employing materials and process parameters already in use and, thus, to enhance the capability of outdated lithography facilities, enabling them to particularly support the manufacturing of flexible electronic devices with polymer substrates.

  14. Investigation of the physics of diamond MEMS : diamond allotrope lithography

    International Nuclear Information System (INIS)

    Zalizniak, I.; Olivero, P.; Jamieson, D.N.; Prawer, S.; Reichart, P.; Rubanov, S.; Petriconi, S.

    2005-01-01

    We propose a novel lithography process in which ion induced phase transfomations of diamond form sacrificial layers allowing the fabrication of small structures including micro-electromechanical systems (MEMS). We have applied this novel lithography to the fabrication of diamond microcavities, cantilevers and optical waveguides. In this paper we present preliminary experiments directed at the fabrication of suspended diamond disks that have the potential for operation as optical resonators. Such structures would be very durable and resistant to chemical attack with potential applications as novel sensors for extreme environments or high temperature radiation detectors. (author). 3 refs., 3 figs

  15. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    Science.gov (United States)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (industries and opening opportunities in nanomanufacturing.

  16. Scanning probe lithography for nanoimprinting mould fabrication

    International Nuclear Information System (INIS)

    Luo Gang; Xie Guoyong; Zhang Yongyi; Zhang Guoming; Zhang Yingying; Carlberg, Patrick; Zhu Tao; Liu Zhongfan

    2006-01-01

    We propose a rational fabrication method for nanoimprinting moulds by scanning probe lithography. By wet chemical etching, different kinds of moulds are realized on Si(110) and Si(100) surfaces according to the Si crystalline orientation. The structures have line widths of about 200 nm with a high aspect ratio. By reactive ion etching, moulds with patterns free from the limitation of Si crystalline orientation are also obtained. With closed-loop scan control of a scanning probe microscope, the length of patterned lines is more than 100 μm by integrating several steps of patterning. The fabrication process is optimized in order to produce a mould pattern with a line width about 10 nm. The structures on the mould are further duplicated into PMMA resists through the nanoimprinting process. The method of combining scanning probe lithography with wet chemical etching or reactive ion etching (RIE) provides a resistless route for the fabrication of nanoimprinting moulds

  17. Printed Spacecraft Separation System

    Energy Technology Data Exchange (ETDEWEB)

    Dehoff, Ryan R [ORNL; Holmans, Walter [Planetary Systems Corporation

    2016-10-01

    In this project Planetary Systems Corporation proposed utilizing additive manufacturing (3D printing) to manufacture a titanium spacecraft separation system for commercial and US government customers to realize a 90% reduction in the cost and energy. These savings were demonstrated via “printing-in” many of the parts and sub-assemblies into one part, thus greatly reducing the labor associated with design, procurement, assembly and calibration of mechanisms. Planetary Systems Corporation redesigned several of the components of the separation system based on additive manufacturing principles including geometric flexibility and the ability to fabricate complex designs, ability to combine multiple parts of an assembly into a single component, and the ability to optimize design for specific mechanical property targets. Shock absorption was specifically targeted and requirements were established to attenuate damage to the Lightband system from shock of initiation. Planetary Systems Corporation redesigned components based on these requirements and sent the designs to Oak Ridge National Laboratory to be printed. ORNL printed the parts using the Arcam electron beam melting technology based on the desire for the parts to be fabricated from Ti-6Al-4V based on the weight and mechanical performance of the material. A second set of components was fabricated from stainless steel material on the Renishaw laser powder bed technology due to the improved geometric accuracy, surface finish, and wear resistance of the material. Planetary Systems Corporation evaluated these components and determined that 3D printing is potentially a viable method for achieving significant cost and savings metrics.

  18. Aging effect of AlF3 coatings for 193 nm lithography

    Science.gov (United States)

    Zhao, Jia; Wang, Lin; Zhang, Weili; Yi, Kui; Shao, Jianda

    2018-02-01

    As important part of components for 193 nm lithography, AlF3 coatings deposited by resistive heating method acquire advantages like lower optical loss and higher laser damage threshold, but they also possess some disadvantages like worse stability, which is what aging effect focuses on. AlF3 single-layer coatings were deposited; optical property, surface morphology and roughness, and composition were characterized in different periods. Owing to aging effect, refractive index and extinction coefficient increased; larger and larger roughness caused more and more scattering loss, which was in the same order with absorption at 193.4 nm and part of optical loss; from composition analysis, proportional substitution of AlF3 by alumina may account for changes in refractive index as well as absorption.

  19. Properites of ultrathin films appropriate for optics capping layers in extreme ultraviolet lithography (EUVL)

    Energy Technology Data Exchange (ETDEWEB)

    Bajt, S; Edwards, N V; Madey, T E

    2007-06-25

    The contamination of optical surfaces by irradiation shortens optics lifetime and is one of the main concerns for optics used in conjunction with intense light sources, such as high power lasers, 3rd and 4th generation synchrotron sources or plasma sources used in extreme ultraviolet lithography (EUVL) tools. This paper focuses on properties and surface chemistry of different materials, which as thin layers, could be used as capping layers to protect and extend EUVL optics lifetime. The most promising candidates include single element materials such as ruthenium and rhodium, and oxides such as TiO{sub 2} and ZrO{sub 2}.

  20. Tunable atomic force microscopy bias lithography on electron beam induced carbonaceous platforms

    Directory of Open Access Journals (Sweden)

    Narendra Kurra

    2013-09-01

    Full Text Available Tunable local electrochemical and physical modifications on the carbonaceous platforms are achieved using Atomic force microscope (AFM bias lithography. These carbonaceous platforms are produced on Si substrate by the technique called electron beam induced carbonaceous deposition (EBICD. EBICD is composed of functionalized carbon species, confirmed through X-ray photoelectron spectroscopy (XPS analysis. AFM bias lithography in tapping mode with a positive tip bias resulted in the nucleation of attoliter water on the EBICD surface under moderate humidity conditions (45%. While the lithography in the contact mode with a negative tip bias caused the electrochemical modifications such as anodic oxidation and etching of the EBICD under moderate (45% and higher (60% humidity conditions respectively. Finally, reversible charge patterns are created on these EBICD surfaces under low (30% humidity conditions and investigated by means of electrostatic force microscopy (EFM.

  1. Cell-printing and transfer technology applications for bone defects in mice.

    Science.gov (United States)

    Tsugawa, Junichi; Komaki, Motohiro; Yoshida, Tomoko; Nakahama, Ken-ichi; Amagasa, Teruo; Morita, Ikuo

    2011-10-01

    Bone regeneration therapy based on the delivery of osteogenic factors and/or cells has received a lot of attention in recent years since the discovery of pluripotent stem cells. We reported previously that the implantation of capillary networks engineered ex vivo by the use of cell-printing technology could improve blood perfusion. Here, we developed a new substrate prepared by coating glass with polyethylene glycol (PEG) to create a non-adhesive surface and subsequent photo-lithography to finely tune the adhesive property for efficient cell transfer. We examined the cell-transfer efficiency onto amniotic membrane and bone regenerative efficiency in murine calvarial bone defect. Cell transfer of KUSA-A1 cells (murine osteoblasts) to amniotic membrane was performed for 1 h using the substrates. Cell transfer using the substrate facilitated cell engraftment onto the amniotic membrane compared to that by direct cell inoculation. KUSA-A1 cells transferred onto the amniotic membrane were applied to critical-sized calvarial bone defects in mice. Micro-computed tomography (micro-CT) analysis showed rapid and effective bone formation by the cell-equipped amniotic membrane. These results indicate that the cell-printing and transfer technology used to create the cell-equipped amniotic membrane was beneficial for the cell delivery system. Our findings support the development of a biologically stable and effective bone regeneration therapy. Copyright © 2011 John Wiley & Sons, Ltd.

  2. 3D Simulation of Nano-Imprint Lithography

    DEFF Research Database (Denmark)

    Román Marín, José Manuel; Rasmussen, Henrik K.; Hassager, Ole

    2010-01-01

    A proof of concept study of the feasibility of fully three-dimensional (3D) time-dependent simulation of nano-imprint lithography of polymer melt, where the polymer is treated as a structured liquid, has been presented. Considering the flow physics of the polymer as a structured liquid, we have...

  3. SU-F-E-13: Design and Fabrication of Gynacological Brachytherapy Shielding & Non Shielding Applicators Using Indigenously Developed 3D Printing Machine

    International Nuclear Information System (INIS)

    Shanmugam, S

    2016-01-01

    Purpose: In this innovative work we have developed Gynecological Brachytherapy shielding & Non Shielding Applicators and compared with the commercially available applicators by using the indigenously developed 3D Printing machine. Methods: We have successfully indigenously developed the 3D printing machine. Which contain the 3 dimensional motion platform, Heater unit, base plate, ect… To fabricate the Gynecological Brachytherapy shielding & non shielding applicators the 3D design were developed in the computer as virtual design. This virtual design is made in a CAD computer file using a 3D modeling program. Separate programme for the shielding & non shielding applicators. We have also provided the extra catheter insert provision in the applicator for the multiple catheter. The DICOM file of the applicator were then converted to stereo Lithography file for the 3D printer. The shielding & Non Shielding Applicators were printed on a indigenously developed 3D printer material. The same dimensions were used to develop the applicators in the acrylic material also for the comparative study. A CT scan was performed to establish an infill-density calibration curve as well as characterize the quality of the print such as uniformity and the infill pattern. To commission the process, basic CT and dose properties of the printing materials were measured in photon beams and compared against water and soft tissue. Applicator were then scanned to confirm the placement of multiple catheter position. Finally dose distributions with rescanned CTs were compared with those computer-generated applicators. Results: The doses measured from the ion Chamber and X-Omat film test were within 2%. The shielded applicator reduce the rectal dose comparatively with the non shielded applicator. Conclusion: As of submission 3 unique cylinders have been designed, printed, and tested dosimetrically. A standardizable workflow for commissioning custom 3D printed applicators was codified and will be

  4. SU-F-E-13: Design and Fabrication of Gynacological Brachytherapy Shielding & Non Shielding Applicators Using Indigenously Developed 3D Printing Machine

    Energy Technology Data Exchange (ETDEWEB)

    Shanmugam, S

    2016-06-15

    Purpose: In this innovative work we have developed Gynecological Brachytherapy shielding & Non Shielding Applicators and compared with the commercially available applicators by using the indigenously developed 3D Printing machine. Methods: We have successfully indigenously developed the 3D printing machine. Which contain the 3 dimensional motion platform, Heater unit, base plate, ect… To fabricate the Gynecological Brachytherapy shielding & non shielding applicators the 3D design were developed in the computer as virtual design. This virtual design is made in a CAD computer file using a 3D modeling program. Separate programme for the shielding & non shielding applicators. We have also provided the extra catheter insert provision in the applicator for the multiple catheter. The DICOM file of the applicator were then converted to stereo Lithography file for the 3D printer. The shielding & Non Shielding Applicators were printed on a indigenously developed 3D printer material. The same dimensions were used to develop the applicators in the acrylic material also for the comparative study. A CT scan was performed to establish an infill-density calibration curve as well as characterize the quality of the print such as uniformity and the infill pattern. To commission the process, basic CT and dose properties of the printing materials were measured in photon beams and compared against water and soft tissue. Applicator were then scanned to confirm the placement of multiple catheter position. Finally dose distributions with rescanned CTs were compared with those computer-generated applicators. Results: The doses measured from the ion Chamber and X-Omat film test were within 2%. The shielded applicator reduce the rectal dose comparatively with the non shielded applicator. Conclusion: As of submission 3 unique cylinders have been designed, printed, and tested dosimetrically. A standardizable workflow for commissioning custom 3D printed applicators was codified and will be

  5. Laser interference lithography with highly accurate interferometric alignment

    NARCIS (Netherlands)

    van Soest, Frank J.; van Wolferen, Hendricus A.G.M.; Hoekstra, Hugo; de Ridder, R.M.; Worhoff, Kerstin; Lambeck, Paul

    It is shown experimentally that in laser interference lithography, by using a reference grating, respective grating layers can be positioned with high relative accuracy. A 0.001 degree angular and a few nanometers lateral resolution have been demonstrated.

  6. Printed microfluidic filter for heparinized blood.

    Science.gov (United States)

    Bilatto, Stanley E R; Adly, Nouran Y; Correa, Daniel S; Wolfrum, Bernhard; Offenhäusser, Andreas; Yakushenko, Alexey

    2017-05-01

    A simple lab-on-a-chip method for blood plasma separation was developed by combining stereolithographic 3D printing with inkjet printing, creating a completely sealed microfluidic device. In some approaches, one dilutes the blood sample before separation, reducing the concentration of a target analyte and increasing a contamination risk. In this work, a single drop (8  μ l) of heparinized whole blood could be efficiently filtered using a capillary effect without any external driving forces and without dilution. The blood storage in heparin tubes during 24 h at 4 °C initiated the formation of small crystals that formed auto-filtration structures in the sample upon entering the 3D-printed device, with pores smaller than the red blood cells, separating plasma from the cellular content. The total filtration process took less than 10 s. The presented printed plasma filtration microfluidics fabricated with a rapid prototyping approach is a miniaturized, fast and easy-to-operate device that can be integrated into healthcare/portable systems for point-of-care diagnostics.

  7. 3D-printed adaptive acoustic lens as a disruptive technology for transcranial ultrasound therapy using single-element transducers

    Science.gov (United States)

    Maimbourg, Guillaume; Houdouin, Alexandre; Deffieux, Thomas; Tanter, Mickael; Aubry, Jean-François

    2018-01-01

    The development of multi-element arrays for better control of the shape of ultrasonic beams has opened the way for focusing through highly aberrating media, such as the human skull. As a result, the use of brain therapy with transcranial-focused ultrasound has rapidly grown. Although effective, such technology is expensive. We propose a disruptive, low-cost approach that consists of focusing a 1 MHz ultrasound beam through a human skull with a single-element transducer coupled with a tailored silicone acoustic lens cast in a 3D-printed mold and designed using computed tomography-based numerical acoustic simulation. We demonstrate on N  =  3 human skulls that adding lens-based aberration correction to a single-element transducer increases the deposited energy on the target 10 fold.

  8. Wafer-shape metrics based foundry lithography

    Science.gov (United States)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  9. Pocket Pal: A Graphic Arts Digest for Printers and Advertising Production Managers. Tenth Edition.

    Science.gov (United States)

    1970

    In this digest of information about printing a brief survey of the history of printing precedes detailed explanations of the processes and the materials involved in printing. The four major printing processes--letterpress, gravure, offset lithography, and screen--are explained. Steps in preparing art and copy for printing, including selection of…

  10. Hybrid 3D printing: a game-changer in personalized cardiac medicine?

    Science.gov (United States)

    Kurup, Harikrishnan K N; Samuel, Bennett P; Vettukattil, Joseph J

    2015-12-01

    Three-dimensional (3D) printing in congenital heart disease has the potential to increase procedural efficiency and patient safety by improving interventional and surgical planning and reducing radiation exposure. Cardiac magnetic resonance imaging and computed tomography are usually the source datasets to derive 3D printing. More recently, 3D echocardiography has been demonstrated to derive 3D-printed models. The integration of multiple imaging modalities for hybrid 3D printing has also been shown to create accurate printed heart models, which may prove to be beneficial for interventional cardiologists, cardiothoracic surgeons, and as an educational tool. Further advancements in the integration of different imaging modalities into a single platform for hybrid 3D printing and virtual 3D models will drive the future of personalized cardiac medicine.

  11. 1D Printing of Recyclable Robots

    DEFF Research Database (Denmark)

    Cellucci, Daniel; MacCurdy, Robert; Lipson, Hod

    2017-01-01

    Recent advances in 3D printing are revolutionizing manufacturing, enabling the fabrication of structures with unprecedented complexity and functionality. Yet biological systems are able to fabricate systems with far greater complexity using a process that involves assembling and folding a linear...... string. Here, we demonstrate a 1D printing system that uses an approach inspired by the ribosome to fabricate a variety of specialized robotic automata from a single string of source material. This proof-ofconcept system involves both a novel manufacturing platform that configures the source material...... using folding and a computational optimization tool that allows designs to be produced from the specification of high-level goals. We show that our 1D printing system is able to produce three distinct robots from the same source material, each of which is capable of accomplishing a specialized...

  12. Recent Advances in Extrusion-Based 3D Printing for Biomedical Applications.

    Science.gov (United States)

    Placone, Jesse K; Engler, Adam J

    2018-04-01

    Additive manufacturing, or 3D printing, has become significantly more commonplace in tissue engineering over the past decade, as a variety of new printing materials have been developed. In extrusion-based printing, materials are used for applications that range from cell free printing to cell-laden bioinks that mimic natural tissues. Beyond single tissue applications, multi-material extrusion based printing has recently been developed to manufacture scaffolds that mimic tissue interfaces. Despite these advances, some material limitations prevent wider adoption of the extrusion-based 3D printers currently available. This progress report provides an overview of this commonly used printing strategy, as well as insight into how this technique can be improved. As such, it is hoped that the prospective report guides the inclusion of more rigorous material characterization prior to printing, thereby facilitating cross-platform utilization and reproducibility. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Materials Properties of Printable Edible Inks and Printing Parameters Optimization during 3D Printing: A review.

    Science.gov (United States)

    Feng, Chunyan; Zhang, Min; Bhandari, Bhesh

    2018-06-01

    Interest in additive manufacture has grown significantly in recent years, driving a need for printable materials that can sustain high strains and still fulfill their function in applications such as tissue engineering, regenerative medicine field, food engineering and field of aerospace, etc. As an emerging and promising technology, 3Dprinting has attracted more and more attention with fast manipulation, reduce production cost, customize geometry, increase competitiveness and advantages in many hot research areas. Many researchers have done a lot of investigations on printable materials, ranging from a single material to composite material. Main content: This review focuses on the contents of printable edible inks. It also gathers and analyzes information on the effects of printable edible ink material properties on 3D print accuracy. In addition, it discusses the impact of printing parameters on accurate printing, and puts forward current challenges and recommendations for future research and development.

  14. Demonstration of electronic design automation flow for massively parallel e-beam lithography

    Science.gov (United States)

    Brandt, Pieter; Belledent, Jérôme; Tranquillin, Céline; Figueiro, Thiago; Meunier, Stéfanie; Bayle, Sébastien; Fay, Aurélien; Milléquant, Matthieu; Icard, Beatrice; Wieland, Marco

    2014-07-01

    For proximity effect correction in 5 keV e-beam lithography, three elementary building blocks exist: dose modulation, geometry (size) modulation, and background dose addition. Combinations of these three methods are quantitatively compared in terms of throughput impact and process window (PW). In addition, overexposure in combination with negative bias results in PW enhancement at the cost of throughput. In proximity effect correction by over exposure (PEC-OE), the entire layout is set to fixed dose and geometry sizes are adjusted. In PEC-dose to size (DTS) both dose and geometry sizes are locally optimized. In PEC-background (BG), a background is added to correct the long-range part of the point spread function. In single e-beam tools (Gaussian or Shaped-beam), throughput heavily depends on the number of shots. In raster scan tools such as MAPPER Lithography's FLX 1200 (MATRIX platform) this is not the case and instead of pattern density, the maximum local dose on the wafer is limiting throughput. The smallest considered half-pitch is 28 nm, which may be considered the 14-nm node for Metal-1 and the 10-nm node for the Via-1 layer, achieved in a single exposure with e-beam lithography. For typical 28-nm-hp Metal-1 layouts, it was shown that dose latitudes (size of process window) of around 10% are realizable with available PEC methods. For 28-nm-hp Via-1 layouts this is even higher at 14% and up. When the layouts do not reach the highest densities (up to 10∶1 in this study), PEC-BG and PEC-OE provide the capability to trade throughput for dose latitude. At the highest densities, PEC-DTS is required for proximity correction, as this method adjusts both geometry edges and doses and will reduce the dose at the densest areas. For 28-nm-hp lines critical dimension (CD), hole&dot (CD) and line ends (edge placement error), the data path errors are typically 0.9, 1.0 and 0.7 nm (3σ) and below, respectively. There is not a clear data path performance difference between

  15. Synchrotron Radiation Lithography for Manufacturing Integrated Circuits Beyond 100 nm.

    Science.gov (United States)

    Kinoshita, H; Watanabe, T; Niibe, M

    1998-05-01

    Extreme ultraviolet lithography is a powerful tool for printing features of 0.1 micro m and below; in Japan and the USA there is a growing tendency to view it as the wave of the future. With Schwarzschild optics, replication of a 0.05 micro m pattern has been demonstrated in a 25 micro m square area. With a two-aspherical-mirror system, a 0.15 micro m pattern has been replicated in a ring slit area of 20 mm x 0.4 mm; a combination of this system with illumination optics and synchronized mask and wafer stages has enabled the replication of a 0.15 micro m pattern in an area of 10 mm x 12.5 mm. Furthermore, in the USA, the Sandia National Laboratory has succeeded in fabricating a fully operational NMOS transistor with a gate length of 0.1 micro m. The most challenging problem is the fabrication of mirrors with the required figure error of 0.28 nm. However, owing to advances in measurement technology, mirrors can now be made to a precision that almost satisfies this requirement. Therefore, it is time to move into a rapid development phase in order to obtain a system ready for practical use by the year 2004. In this paper the status of individual technologies is discussed in light of this situation, and future requirements for developing a practical system are considered.

  16. TNO : The impact of 3-D printing on supply chain management

    NARCIS (Netherlands)

    Janssen, G.R.; Blankers, I.J.; Moolenburgh, E.A.; Posthumus, A.L.

    2014-01-01

    It is said that 3-D printing, officially known as additive manufacturing, has the potential to become the biggest single disruptive phenomenon to impact global industry since mass production lines were introduced early in the twentieth century. McKinsey Global Institute named 3-D printing as one of

  17. Determination of bisphenol A in thermal printing papers treated by alkaline aqueous solution using the combination of single-drop microextraction and HPLC.

    Science.gov (United States)

    Gao, Leihong; Zou, Jing; Liu, Haihong; Zeng, Jingbin; Wang, Yiru; Chen, Xi

    2013-04-01

    A method for the quantitative determination of bisphenol A in thermal printing paper was developed and validated. Bisphenol A was extracted from the paper samples using 2% NaOH solution, then the extracted analyte was enriched using single-drop microextraction followed by HPLC analysis. Several parameters relating to the single-drop microextraction efficiency including extraction solvent, extraction temperature and time, stirring rate, and pH of donor phase were studied and optimized. Spiked recovery of bisphenol A at 20 and 5 mg/g was found to be 95.8 and 108%, and the method detection limit and method quantification limit was 0.03 and 0.01 mg/g, respectively. Under the optimized conditions, the proposed method was applied to the determination of bisphenol A in seven types of thermal printing paper samples, and the concentration of bisphenol A was found in the range of 0.53-20.9 mg/g. The considerably minimum usage of organic solvents (5 μL 1-octanol) and high enrichment factor (189-197) in the sample preparation are the two highlighted advantages in comparison with previously published works. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    Science.gov (United States)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  19. XUV free-electron laser-based projection lithography systems

    Energy Technology Data Exchange (ETDEWEB)

    Newnam, B.E.

    1990-01-01

    Free-electron laser sources, driven by rf-linear accelerators, have the potential to operate in the extreme ultraviolet (XUV) spectral range with more than sufficient average power for high-volume projection lithography. For XUV wavelengths from 100 nm to 4 nm, such sources will enable the resolution limit of optical projection lithography to be extended from 0.25 {mu}m to 0.05{mu}m and with an adequate total depth of focus (1 to 2 {mu}m). Recent developments of a photoinjector of very bright electron beams, high-precision magnetic undulators, and ring-resonator cavities raise our confidence that FEL operation below 100 nm is ready for prototype demonstration. We address the motivation for an XUV FEL source for commercial microcircuit production and its integration into a lithographic system, include reflecting reduction masks, reflecting XUV projection optics and alignment systems, and surface-imaging photoresists. 52 refs., 7 figs.

  20. Nanosphere lithography applied to magnetic thin films

    Science.gov (United States)

    Gleason, Russell

    Magnetic nanostructures have widespread applications in many areas of physics and engineering, and nanosphere lithography has recently emerged as promising tool for the fabrication of such nanostructures. The goal of this research is to explore the magnetic properties of a thin film of ferromagnetic material deposited onto a hexagonally close-packed monolayer array of polystyrene nanospheres, and how they differ from the magnetic properties of a typical flat thin film. The first portion of this research focuses on determining the optimum conditions for depositing a monolayer of nanospheres onto chemically pretreated silicon substrates (via drop-coating) and the subsequent characterization of the deposited nanosphere layer with scanning electron microscopy. Single layers of permalloy (Ni80Fe20) are then deposited on top of the nanosphere array via DC magnetron sputtering, resulting in a thin film array of magnetic nanocaps. The coercivities of the thin films are measured using a home-built magneto-optical Kerr effect (MOKE) system in longitudinal arrangement. MOKE measurements show that for a single layer of permalloy (Py), the coercivity of a thin film deposited onto an array of nanospheres increases compared to that of a flat thin film. In addition, the coercivity increases as the nanosphere size decreases for the same deposited layer. It is postulated that magnetic exchange decoupling between neighboring nanocaps suppresses the propagation of magnetic domain walls, and this pinning of the domain walls is thought to be the primary source of the increase in coercivity.

  1. Dramatic Advance in Quality in Flexographic Printing

    Directory of Open Access Journals (Sweden)

    Jochen Richter

    2004-12-01

    Full Text Available The enormous changes in flexography printing in recent years concerning the printing quality achievable cannot generally be ascribed to a single revolutionary invention, but are the result of continuous developments to the complete system. Thus the direct drive technology in all machine types and its associated advantages in terms of printing length corrections has become established since drupa 2000. The race for ever finer raster rolls has also been completed to the benefit of improvements in bowl geometry and in ceramic surfaces. Clearly improved colour transfer behaviour has become feasible as a result. In a closely intermeshed system such as flexography printing this naturally has to have an effect on the printing colours used. Further improvements in bonding agents and pigment concentrations now allow users to print ever thinner colour layers while maintaining all of the required authenticities.Furthermore, it has become possible to reduce additional disturbing characteristics in the UV colour area, such as the unpleasant odour. While the digital imaging of printing plates has primarily been improved in terms of economic efficiency by the use of up to eight parallel laser beams, extreme improvements in the system are noticeable especially in the area of directly engraved printing moulds. Whereas many still dismissed directly engraved polymer plates at the last drupa as a laboratory system, the first installation was recently placed on the market a mere three years later. A further noteworthy innovation of recent years that has reached market maturity is thin sleeve technology, which combines the advantages of a photopolymer plate with a round imaged printing mould. There are no high sleeve costs for each printing mould, except for one-off cost for an adapter sleeve. To conclude, it can be said that although flexography printing has experienced many new features in the time between drupa 2000 and today, it still has enormous potential for

  2. The Use of 3D Printing in the Development of Gaseous Radiation Detectors

    Science.gov (United States)

    Fargher, Sam; Steer, Chris; Thompson, Lee

    2018-01-01

    Fused Deposition Modelling has been used to produce a small, single wire, Iarocci-style drift tube to demonstrate the feasibility of using the Additive Manufacturing technique to produce cheap detectors, quickly. Recent technological developments have extended the scope of Additive Manufacturing, or 3D printing, to the possibility of fabricating Gaseous Radiation Detectors, such as Single Wire Proportional Counters and Time Projection Chambers. 3D printing could allow for the production of customisable, modular detectors; that can be easily created and replaced and the possibility of printing detectors on-site in remote locations and even for outreach within schools. The 3D printed drift tube was printed using Polylactic acid to produce a gas volume in the shape of an inverted triangular prism; base length of 28 mm, height 24.25 mm and tube length 145 mm. A stainless steel anode wire was placed in the centre of the tube, mid-print. P5 gas (95% Argon, 5% Methane) was used as the drift gas and a circuit was built to capacitively decouple signals from the high voltage. The signal rate and average pulse height of cosmic ray muons were measured over a range of bias voltages to characterise and prove correct operation of the printed detector.

  3. Hydrophilic/hydrophobic surface modification impact on colloid lithography: Schottky-like defects, dislocation, and ideal distribution

    Science.gov (United States)

    Burtsev, Vasilii; Marchuk, Valentina; Kugaevskiy, Artem; Guselnikova, Olga; Elashnikov, Roman; Miliutina, Elena; Postnikov, Pavel; Svorcik, Vaclav; Lyutakov, Oleksiy

    2018-03-01

    Nano-spheres lithography is actually considered as a powerful tool to manufacture various periodic structures with a wide potential in the field of nano- and micro-fabrication. However, during self-assembling of colloid microspheres, various defects and mismatches can appear. In this work the size and quality of single-domains of closed-packed polystyrene (PS), grown up on thin Au layers modified by hydrophilic or hydrophobic functional groups via diazonium chemistry was studied. The effects of the surface modification on the quality and single-domain size of polystyrene (PS) microspheres array were investigated and discussed. Modified surfaces were characterized using the AFM and wettability tests. PS colloidal suspension was deposited using the drop evaporation method. Resulted PS microspheres array was characterized using the SEM, AFM and confocal microscopy technique.

  4. Patterning 45nm flash/DRAM contact hole mask with hyper-NA immersion lithography and optimized illumination

    Science.gov (United States)

    Chen, Ting; Van Den Broeke, Doug; Hsu, Stephen; Park, Sangbong; Berger, Gabriel; Coskun, Tamer; de Vocht, Joep; Corcoran, Noel; Chen, Fung; van der Heijden, Eddy; Finders, Jo; Engelen, Andre; Socha, Robert

    2006-03-01

    Patterning contact-hole mask for Flash/DRAM is probably one of the most challenging tasks for design rule below 50nm due to the extreme low-k I printing conditions common in the memory designs. When combined with optical proximity corrections (OPC) to the mask, using optimized illumination has become a viable part of the production lithography process for 65nm node. At k Ipitch design rules. Here we use 6% attPSM mask for simulation and actual exposure in ASML XT 1400i (NA=0.93) and 1700i (NA=1.2) respectively. We begin with the illumination source optimization using full vector high-NA calculation (VHNA) with production resist stack and all manufacturability requirements for the source shaping diffractive optical element (DOE) are accounted for during the source optimization. Using the optimized source, IML TM technology based scattering bars (SB) placement together with model based OPC (MOPC) are applied to the original contact-hole design. In-focus printing and process latitude simulations are used to gauge the performance and manufacturability of the final optimized process, which includes the optimized mask, optimized source and required imaging settings. Our results show that for the 130nm pitch Flash contact-hole patterns, on ASML XT 1400i at NA=0.93, both optimized illumination source and immersion lithography are necessary in order to achieve manufacturability. The worst-case depth of focus (DOF) before SB and MOPC is 100-130nm at 6% EL, without common process window (PW) and with MOPC, the worst-case DOF is >200nm at 6% EL. The latter is in excellent agreement with the wafer results from ASML XT 1400i, and the predicated CDs match well with the measured at isolated, medium and dense pitch contact-holes to within 5nm. For the 120nm pitch Flash contact patterns, ASML XT 1700i at NA=1.2 must be used, together with optimized illumination source, to achieve the same or better process latitude (worst-case DOF at 6% EL), and for the Flash pattern used, further

  5. Merging Bottom-Up with Top-Down: Continuous Lamellar Networks and Block Copolymer Lithography

    Science.gov (United States)

    Campbell, Ian Patrick

    Block copolymer lithography is an emerging nanopatterning technology with capabilities that may complement and eventually replace those provided by existing optical lithography techniques. This bottom-up process relies on the parallel self-assembly of macromolecules composed of covalently linked, chemically distinct blocks to generate periodic nanostructures. Among the myriad potential morphologies, lamellar structures formed by diblock copolymers with symmetric volume fractions have attracted the most interest as a patterning tool. When confined to thin films and directed to assemble with interfaces perpendicular to the substrate, two-dimensional domains are formed between the free surface and the substrate, and selective removal of a single block creates a nanostructured polymeric template. The substrate exposed between the polymeric features can subsequently be modified through standard top-down microfabrication processes to generate novel nanostructured materials. Despite tremendous progress in our understanding of block copolymer self-assembly, continuous two-dimensional materials have not yet been fabricated via this robust technique, which may enable nanostructured material combinations that cannot be fabricated through bottom-up methods. This thesis aims to study the effects of block copolymer composition and processing on the lamellar network morphology of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) and utilize this knowledge to fabricate continuous two-dimensional materials through top-down methods. First, block copolymer composition was varied through homopolymer blending to explore the physical phenomena surrounding lamellar network continuity. After establishing a framework for tuning the continuity, the effects of various processing parameters were explored to engineer the network connectivity via defect annihilation processes. Precisely controlling the connectivity and continuity of lamellar networks through defect engineering and

  6. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    Science.gov (United States)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  7. Inkjet printing of polyurethane colloidal suspensions

    NARCIS (Netherlands)

    Berg, van den A.M.J.; Smith, P.J.; Perelaer, J.; Schrof, W.; Koltzenburg, S.; Schubert, U.S.

    2007-01-01

    An aqueous 40 wt% dispersion of polyurethane has been successfully printed at room temperature using a piezoelectric inkjet printer. Simple layered structures, as well as dots, were made and subsequently analyzed using white-light interferometry. A single layer was found to have a structure height

  8. All dispenser printed flexible 3D structured thermoelectric generators

    Science.gov (United States)

    Cao, Z.; Shi, J. J.; Torah, R. N.; Tudor, M. J.; Beeby, S. P.

    2015-12-01

    This work presents a vertically fabricated 3D thermoelectric generator (TEG) by dispenser printing on flexible polyimide substrate. This direct-write technology only involves printing of electrodes, thermoelectric active materials and structure material, which needs no masks to transfer the patterns onto the substrate. The dimension for single thermoelectric element is 2 mm × 2 mm × 0.5 mm while the distance between adjacent cubes is 1.2 mm. The polymer structure layer was used to support the electrodes which are printed to connect the top ends of the thermoelectric material and ensure the flexibility as well. The advantages and the limitations of the dispenser printed 3D TEGs will also be evaluated in this paper. The proposed method is potential to be a low-cost and scalable fabrication solution for TEGs.

  9. Versatile Molecular Silver Ink Platform for Printed Flexible Electronics.

    Science.gov (United States)

    Kell, Arnold J; Paquet, Chantal; Mozenson, Olga; Djavani-Tabrizi, Iden; Deore, Bhavana; Liu, Xiangyang; Lopinski, Gregory P; James, Robert; Hettak, Khelifa; Shaker, Jafar; Momciu, Adrian; Ferrigno, Julie; Ferrand, Olivier; Hu, Jian Xiong; Lafrenière, Sylvie; Malenfant, Patrick R L

    2017-05-24

    A silver molecular ink platform formulated for screen, inkjet, and aerosol jet printing is presented. A simple formulation comprising silver neodecanoate, ethyl cellulose, and solvent provides improved performance versus that of established inks, yet with improved economics. Thin, screen-printed traces with exceptional electrical (molecular ink platform enables an aerosol jet-compatible ink that yields conductive features on glass with 2× bulk resistivity and strong adhesion to various plastic substrates. An inkjet formulation is also used to print top source/drain contacts and demonstrate printed high-mobility thin film transistors (TFTs) based on semiconducting single-walled carbon nanotubes. TFTs with mobility values of ∼25 cm 2 V -1 s -1 and current on/off ratios >10 4 were obtained, performance similar to that of evaporated metal contacts in analogous devices.

  10. Fabrication of Spin-Transfer Nano-Oscillator by Colloidal Lithography

    Directory of Open Access Journals (Sweden)

    Bin Fang

    2015-01-01

    Full Text Available We fabricate nanoscale spin-transfer oscillators (STOs by utilizing colloidal nanoparticles as a lithographic mask. By this approach, high quality STO devices can be fabricated, and as an example the fabricated STO devices using MgO magnetic tunnel junction as the basic cell exhibit current-induced microwave emission with a large frequency tunability of 0.22 GHz/mA. Compared to the conventional approaches that involve a step of defining nanoscale elements by means of electron beam lithography, which is not readily available for many groups, our strategy for STO fabrication does not require the sophisticated equipment (~ million dollars per unit and expensive lithography resist, while being cost-effective and easy to use in laboratory level. This will accelerate efforts to implement STO into on-chip integrated high-radio frequency applications.

  11. A low cost high resolution pattern generator for electron-beam lithography

    International Nuclear Information System (INIS)

    Pennelli, G.; D'Angelo, F.; Piotto, M.; Barillaro, G.; Pellegrini, B.

    2003-01-01

    A simple, very low cost pattern generator for electron-beam lithography is presented. When it is applied to a scanning electron microscope, the system allows a high precision positioning of the beam for lithography of very small structures. Patterns are generated by a suitable software implemented on a personal computer, by using very simple functions, allowing an easy development of new writing strategies for a great adaptability to different user necessities. Hardware solutions, as optocouplers and battery supply, have been implemented for reduction of noise and disturbs on the voltages controlling the positioning of the beam

  12. Feasibility of multi-walled carbon nanotube probes in AFM anodization lithography

    International Nuclear Information System (INIS)

    Choi, Ji Sun; Bae, Sukjong; Ahn, Sang Jung; Kim, Dal Hyun; Jung, Ki Young; Han, Cheolsu; Chung, Chung Choo; Lee, Haiwon

    2007-01-01

    Multi-walled carbon nanotube (CNT) tips were used in atomic force microscope (AFM) anodization lithography to investigate their advantages over conventional tips. The CNT tip required a larger threshold voltage than the mother silicon tip due to the Schottky barrier at the CNT-Si interface. Current-to-voltage curves distinguished the junction property between CNTs and mother tips. The CNT-platinum tip, which is more conductive than the CNT-silicon tip, showed promising results for AFM anodization lithography. Finally, the nanostructures with high aspect ratio were fabricated using a pulsed bias voltage technique as well as the CNT tip

  13. Report of the second workshop on synchrotron radiation sources for x-ray lithography

    International Nuclear Information System (INIS)

    Barton, M.Q.; Craft, B.; Williams, G.P.

    1986-01-01

    The reported workshop is part of an effort to implement a US-based x-ray lithography program. Presentations include designs for three storage rings (one superconducting and two conventional) and an overview of a complete lithography program. The background of the effort described, the need for synchrotron radiation, and the international competition in the area are discussed briefly. The technical feasibility of x-ray lithography is discussed, and synchrotron performance specifications and construction options are given, as well as a near-term plan. It is recommended that a prototype synchrotron source be built as soon as possible, and that a research and development plan on critical technologies which could improve cost effectiveness of the synchrotron source be established. It is further recommended that a small number of second generation prototype synchrotrons be distributed to IC manufacturing centers to expedite commercialization

  14. Digital Textile Printing

    OpenAIRE

    Moltchanova, Julia

    2011-01-01

    Rapidly evolving technology of digital printing opens new opportunities on many markets. One of them is the printed fabric market where printing companies as well as clients benefit from new printing methods. This thesis focuses on the digital textile printing technology and its implementation for fabric-on-demand printing service in Finland. The purpose of this project was to study the technology behind digital textile printing, areas of application of this technology, the requirements ...

  15. Dynamic Properties of Individual Carbon Nanotube Emitters for Maskless Lithography

    National Research Council Canada - National Science Library

    Ribaya, Bryan P; Niemann, Darrell L; Makarewicz, Joseph; Gunther, Norman G; Nguyen, Cattien V; Rahman, Mahmud

    2008-01-01

    .... The individual CNT's low electron beam energy spread and high brightness values make it particularly desirable for advanced applications such as electron microscopy and electron beam lithography...

  16. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    Science.gov (United States)

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  17. From 2D Lithography to 3D Patterning

    NARCIS (Netherlands)

    Van Zeijl, H.W.; Wei, J.; Shen, C.; Verhaar, T.M.; Sarro, P.M.

    2010-01-01

    Lithography as developed for IC device fabrication is a high volume high accuracy patterning technology with strong 2 dimensional (2D) characteristics. This 2D nature makes it a challenge to integrate this technology in a 3 dimensional (3D) manufacturing environment. This article addresses the

  18. A laser printing based approach for printed electronics

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, T.; Hu, M.; Guo, Q.; Zhang, W.; Yang, J., E-mail: jyang@eng.uwo.ca [Department of Mechanical and Materials Engineering, Western University, London N6A 3K7 (Canada); Liu, Y.; Lau, W. [Chengdu Green Energy and Green Manufacturing Technology R& D Center, 355 Tengfei Road, 620107 Chengdu (China); Wang, X. [Department of Mechanical and Materials Engineering, Western University, London N6A 3K7 (Canada); Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2016-03-07

    Here we report a study of printing of electronics using an office use laser printer. The proposed method eliminates those critical disadvantages of solvent-based printing techniques by taking the advantages of electroless deposition and laser printing. The synthesized toner acts as a catalyst for the electroless copper deposition as well as an adhesion-promoting buffer layer between the substrate and deposited copper. The easy metallization of printed patterns and strong metal-substrate adhesion make it an especially effective method for massive production of flexible printed circuits. The proposed process is a high throughput, low cost, efficient, and environmentally benign method for flexible electronics manufacturing.

  19. A laser printing based approach for printed electronics

    International Nuclear Information System (INIS)

    Zhang, T.; Hu, M.; Guo, Q.; Zhang, W.; Yang, J.; Liu, Y.; Lau, W.; Wang, X.

    2016-01-01

    Here we report a study of printing of electronics using an office use laser printer. The proposed method eliminates those critical disadvantages of solvent-based printing techniques by taking the advantages of electroless deposition and laser printing. The synthesized toner acts as a catalyst for the electroless copper deposition as well as an adhesion-promoting buffer layer between the substrate and deposited copper. The easy metallization of printed patterns and strong metal-substrate adhesion make it an especially effective method for massive production of flexible printed circuits. The proposed process is a high throughput, low cost, efficient, and environmentally benign method for flexible electronics manufacturing.

  20. Pattern Definition with DUV-Lithography at DTU Danchip

    DEFF Research Database (Denmark)

    Keil, Matthias; Khomtchenko, Elena; Nyholt, Henrik

    2014-01-01

    Deep ultra violet (DUV) illumination generated with the help of a KrF laser can be utilized to produce components having sizes of some hundreds of nanometers. This light source with its 248nm wavelength is exploited in the DUV-lithography equipment at DTU Danchip in order to fill the resolution gap...... - as shown in fig. 2 - utilizing the possibility of beam shape variations that enables to adapt the resolution and the depth of focus of the stepper to the requirements of the fabricated device. However, generally the highest achievable resolution is dependent on the pattern type - as e.g. pillar, line...... or hole comprising patterns -, its symmetry and the separations between the different structures. The projection lithography tool FPA-3000EX4 from Canon (max. NA=0,6; 1:5 reduction) produces patterns on the wafer within a maximum chip area of 22x22mm2 that can be stitched together with an accuracy of 3σ...

  1. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    International Nuclear Information System (INIS)

    Li, Li; Zhang, Ziang; Yu, Miao; Song, Zhengxun; Weng, Zhankun; Wang, Zuobin; Li, Wenjun; Wang, Dapeng; Zhao, Le; Peng, Kuiqing

    2015-01-01

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arrays with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ 0  = 1064 nm. The minimal feature size is only several nanometers (sub λ 0 /100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser

  2. Fabrication of silicon strip detectors using a step-and-repeat lithography system

    International Nuclear Information System (INIS)

    Holland, S.

    1991-11-01

    In this work we describe the use of a step-and-repeat lithography system (stepper) for the fabrication of silicon strip detectors. Although the field size of the stepper is only 20 mm in diameter, we have fabricated much larger detectors by printing a repetitive strip detector pattern in a step-and-repeat fashion. The basic unit cell is 7 mm in length. The stepper employs a laser interferometer for stage placement, and the resulting high precision allows one to accurately place the repetitive patterns on the wafer. A small overlap between the patterns ensures a continuous strip. A detector consisting of 512 strips on a 50 μm pitch has been fabricated using this technique. The dimensions of the detector are 6.3 cm by 2.56 cm. Yields of over 99% have been achieved, where yield is defined as the percentage of strips with reverse leakage current below 1 nA. In addition to the inherent advantages of a step-and-repeat system, this technique offers great flexibility in the fabrication of large-area strip detectors since the length and width of the detector can be changed by simply reprogramming the stepper computer. Hence various geometry strip detectors can be fabricated with only one set of masks, as opposed to a separate set of masks for each geometry as would be required with a contact or proximity aligner

  3. Soft-X-Ray Projection Lithography Using a High-Repetition-Rate Laser-Induced X-Ray Source for Sub-100 Nanometer Lithography Processes

    NARCIS (Netherlands)

    E. Louis,; F. Bijkerk,; Shmaenok, L.; Voorma, H. J.; van der Wiel, M. J.; Schlatmann, R.; Verhoeven, J.; van der Drift, E. W. J. M.; Romijn, J.; Rousseeuw, B. A. C.; Voss, F.; Desor, R.; Nikolaus, B.

    1993-01-01

    In this paper we present the status of a joint development programme on soft x-ray projection lithography (SXPL) integrating work on high brightness laser plasma sources. fabrication of multilayer x-ray mirrors. and patterning of reflection masks. We are in the process of optimization of a

  4. A New 3D Printing Strategy by Harnessing Deformation, Instability, and Fracture of Viscoelastic Inks.

    Science.gov (United States)

    Yuk, Hyunwoo; Zhao, Xuanhe

    2018-02-01

    Direct ink writing (DIW) has demonstrated great potential as a multimaterial multifunctional fabrication method in areas as diverse as electronics, structural materials, tissue engineering, and soft robotics. During DIW, viscoelastic inks are extruded out of a 3D printer's nozzle as printed fibers, which are deposited into patterns when the nozzle moves. Hence, the resolution of printed fibers is commonly limited by the nozzle's diameter, and the printed pattern is limited by the motion paths. These limits have severely hampered innovations and applications of DIW 3D printing. Here, a new strategy to exceed the limits of DIW 3D printing by harnessing deformation, instability, and fracture of viscoelastic inks is reported. It is shown that a single nozzle can print fibers with resolution much finer than the nozzle diameter by stretching the extruded ink, and print various thickened or curved patterns with straight nozzle motions by accumulating the ink. A quantitative phase diagram is constructed to rationally select parameters for the new strategy. Further, applications including structures with tunable stiffening, 3D structures with gradient and programmable swelling properties, all printed with a single nozzle are demonstrated. The current work demonstrates that the mechanics of inks plays a critical role in developing 3D printing technology. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Nano-soldering to single atomic layer

    Science.gov (United States)

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  6. Flexible screen printed thick film thermoelectric generator with reduced material resistivity

    International Nuclear Information System (INIS)

    Cao, Z; Koukharenko, E; Torah, R N; Tudor, J; Beeby, S P

    2014-01-01

    This work presents a flexible thick-film Bismuth Tellurium/Antimony Tellurium (BiTe/SbTe) thermoelectric generator (TEG) with reduced material resistivity fabricated by screen printing technology. Cold isostatic pressing (CIP) was introduced to lower the resistivity of the printed thermoelectric materials. The Seebeck coefficient (α) and the resistivity (ρ) of printed materials were measured as a function of applied pressure. A prototype TEG with 8 thermocouples was fabricated on flexible polyimide substrate. The dimension of a single printed element was 20 mm × 2 mm × 78.4 pm. The coiled-up prototype produced a voltage of 36.4 mV and a maximum power of 40.3 nW from a temperature gradient of 20 °C

  7. Behavior of printable formulations of loperamide and caffeine on different substrates--effect of print density in inkjet printing

    DEFF Research Database (Denmark)

    Genina, Natalja; Fors, Daniela; Palo, Mirja

    2013-01-01

    The primary goal of the current work was to study the applicability of precision inkjet printing in fabrication of personalized doses of active pharmaceutical ingredients (APIs). Loperamide hydrochloride (LOP) and caffeine (CAF) were used as model compounds. Different doses of the drugs in a single...

  8. Semiconductor-Free Nonvolatile Resistive Switching Memory Devices Based on Metal Nanogaps Fabricated on Flexible Substrates via Adhesion Lithography

    KAUST Repository

    Semple, James; Wyatt-Moon, Gwenhivir; Georgiadou, Dimitra G.; McLachlan, Martyn A.; Anthopoulos, Thomas D.

    2017-01-01

    Electronic memory cells are of critical importance in modern-day computing devices, including emerging technology sectors such as large-area printed electronics. One technology that has being receiving significant interest in recent years is resistive switching primarily due to its low dimensionality and nonvolatility. Here, we describe the development of resistive switching memory device arrays based on empty aluminum nanogap electrodes. By employing adhesion lithography, a low-temperature and large-area compatible nanogap fabrication technique, dense arrays of memory devices are demonstrated on both rigid and flexible plastic substrates. As-prepared devices exhibit nonvolatile memory operation with stable endurance, resistance ratios >10⁴ and retention times of several months. An intermittent analysis of the electrode microstructure reveals that controlled resistive switching is due to migration of metal from the electrodes into the nanogap under the application of an external electric field. This alternative form of resistive random access memory is promising for use in emerging sectors such as large-area electronics as well as in electronics for harsh environments, e.g., space, high/low temperature, magnetic influences, radiation, vibration, and pressure.

  9. Semiconductor-Free Nonvolatile Resistive Switching Memory Devices Based on Metal Nanogaps Fabricated on Flexible Substrates via Adhesion Lithography

    KAUST Repository

    Semple, James

    2017-01-02

    Electronic memory cells are of critical importance in modern-day computing devices, including emerging technology sectors such as large-area printed electronics. One technology that has being receiving significant interest in recent years is resistive switching primarily due to its low dimensionality and nonvolatility. Here, we describe the development of resistive switching memory device arrays based on empty aluminum nanogap electrodes. By employing adhesion lithography, a low-temperature and large-area compatible nanogap fabrication technique, dense arrays of memory devices are demonstrated on both rigid and flexible plastic substrates. As-prepared devices exhibit nonvolatile memory operation with stable endurance, resistance ratios >10⁴ and retention times of several months. An intermittent analysis of the electrode microstructure reveals that controlled resistive switching is due to migration of metal from the electrodes into the nanogap under the application of an external electric field. This alternative form of resistive random access memory is promising for use in emerging sectors such as large-area electronics as well as in electronics for harsh environments, e.g., space, high/low temperature, magnetic influences, radiation, vibration, and pressure.

  10. The best printing methods to print satellite images

    Directory of Open Access Journals (Sweden)

    G.A. Yousif

    2011-12-01

    In this paper different printing systems were used to print an image of SPOT-4 satellite, caver part of Sharm Elshekh area, Sinai, Egypt, on the same type of paper as much as possible, especially in the photography. This step is followed by measuring the experimental data, and analyzed colors to determine the best printing systems for satellite image printing data. The laser system is the more printing system where produce a wider range of color and highest densities of ink and access much color detail. Followed by the offset system which it recorded the best dot gain. Moreover, the study shows that it can use the advantages of each method according to the satellite image color and quantity to be produced.

  11. An electron undulating ring for VLSI lithography

    International Nuclear Information System (INIS)

    Tomimasu, T.; Mikado, T.; Noguchi, T.; Sugiyama, S.; Yamazaki, T.

    1985-01-01

    The development of the ETL storage ring ''TERAS'' as an undulating ring has been continued to achieve a wide area exposure of synchrotron radiation (SR) in VLSI lithography. Stable vertical and horizontal undulating motions of stored beams are demonstrated around a horizontal design orbit of TERAS, using two small steering magnets of which one is used for vertical undulating and another for horizontal one. Each steering magnet is inserted into one of the periodic configulation of guide field elements. As one of useful applications of undulaing electron beams, a vertically wide exposure of SR has been demonstrated in the SR lithography. The maximum vertical deviation from the design orbit nCcurs near the steering magnet. The maximum vertical tilt angle of the undulating beam near the nodes is about + or - 2mrad for a steering magnetic field of 50 gauss. Another proposal is for hith-intensity, uniform and wide exposure of SR from a wiggler installed in TERAS, using vertical and horizontal undulating motions of stored beams. A 1.4 m long permanent magnet wiggler has been installed for this purpose in this April

  12. Microfabrication of pre-aligned fiber bundle couplers using ultraviolet lithography of SU-8

    OpenAIRE

    Yang, Ren; Soper, Steven A.; Wang, Wanjun

    2006-01-01

    This paper describes the design, microfabrication and testing of a pre-aligned array of fiber couplers using direct UV-lithography of SU-8. The fiber coupler array includes an out-of-plane refractive microlens array and two fiberport collimator arrays. With the optical axis of the pixels parallel to the substrate, each pixel of the microlens array can be pre-aligned with the corresponding pixels of the fiberport collimator array as defined by the lithography mask design. This out-of-plane pol...

  13. 3D printing application and numerical simulations in a fracture system

    Science.gov (United States)

    Yoon, H.; Martinez, M. J.

    2017-12-01

    The hydrogeological and mechanical properties in fractured and porous media are fundamental to predicting coupled multiphysics processes in the subsurface. Recent advances in experimental methods and multi-scale imaging capabilities have revolutionized our ability to quantitatively characterize geomaterials and digital counterparts are now routinely used for numerical simulations to characterize petrophysical and mechanical properties across scales. 3D printing is a very effective and creative technique that reproduce the digital images in a controlled way. For geoscience applications, 3D printing can be co-opted to print reproducible porous and fractured structures derived from CT-imaging of actual rocks and theoretical algorithms for experimental testing. In this work we used a stereolithography (SLA) method to create a single fracture network. The fracture in shale was first scanned using a microCT system and then the digital fracture network was printed into two parts and assembled. Aperture ranges from 0.3 to 1 mm. In particular, we discuss the design of single fracture network and the progress of printing practices to reproduce the fracture network system. Printed samples at different scales are used to measure the permeability and surface roughness. Various numerical simulations including (non-)reactive transport and multiphase flow cases are performed to study fluid flow characterization. We will also discuss the innovative advancement of 3D printing techniques applicable for coupled processes in the subsurface. Sandia National Laboratories is a multimission laboratory managed and operated by National Technology & Engineering Solutions of Sandia, LLC., a wholly owned subsidiary of Honeywell International, Inc., for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-NA0003525.

  14. Low-defect reflective mask blanks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Burkhart, S C; Cerjarn, C; Kearney, P; Mirkarimi, P; Ray-Chaudhuri, A; Walton, C.

    1999-01-01

    Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA road map well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm ampersand sup2; at sign 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm ampersand sup2; for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm ampersand sup2; in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10 -2 /cm ampersand sup2; level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling

  15. Emerging Carbon and Post-Carbon Nanomaterial Inks for Printed Electronics.

    Science.gov (United States)

    Secor, Ethan B; Hersam, Mark C

    2015-02-19

    Carbon and post-carbon nanomaterials present desirable electrical, optical, chemical, and mechanical attributes for printed electronics, offering low-cost, large-area functionality on flexible substrates. In this Perspective, recent developments in carbon nanomaterial inks are highlighted. Monodisperse semiconducting single-walled carbon nanotubes compatible with inkjet and aerosol jet printing are ideal channels for thin-film transistors, while inkjet, gravure, and screen-printable graphene-based inks are better-suited for electrodes and interconnects. Despite the high performance achieved in prototype devices, additional effort is required to address materials integration issues encountered in more complex systems. In this regard, post-carbon nanomaterial inks (e.g., electrically insulating boron nitride and optically active transition-metal dichalcogenides) present promising opportunities. Finally, emerging work to extend these nanomaterial inks to three-dimensional printing provides a path toward nonplanar devices. Overall, the superlative properties of these materials, coupled with versatile assembly by printing techniques, offer a powerful platform for next-generation printed electronics.

  16. Structure formation in atom lithography using geometric collimation

    NARCIS (Netherlands)

    Meijer, T.; Beardmore, J.P.; Fabrie, C.G.C.H.M.; van Lieshout, J.P.; Notermans, R.P.M.J.W.; Sang, R.T.; Vredenbregt, E.J.D.; Leeuwen, van K.A.H.

    2011-01-01

    Atom lithography uses standing wave light fields as arrays of lenses to focus neutral atom beams into line patterns on a substrate. Laser cooled atom beams are commonly used, but an atom beam source with a small opening placed at a large distance from a substrate creates atom beams which are locally

  17. Combined electron beam and UV lithography in SU-8

    DEFF Research Database (Denmark)

    Gersborg-Hansen, Morten; Thamdrup, Lasse Højlund; Mironov, Andrej

    2007-01-01

    We present combined electron beam and UV lithography (CEUL) in SU-8 as a fast and flexible lithographic technique for prototyping of functional polymer devices and pattern transfer applications. CEUL is a lithographic technique suitable for defining both micrometer and nanometer scale features...

  18. Evaluation of the gauge factor for single-walled carbon nanonets on the flexible plastic substrates by nano-transfer-printing

    International Nuclear Information System (INIS)

    Hsu, C C; Chao, R M; Liu, C W; Liang, Steven Y

    2011-01-01

    Nano-transfer-printing (nTP) is increasingly used for the micro-fabrication of nanoscale materials onto flexible plastic substrates. This paper reports a nTP process for single-walled carbon nanonets (SWCNNs) for use in strain sensors. Traditional SWCNNs grown on a silicon substrate by alcohol catalytic chemical vapor deposition (ACCVD) can serve as strain-sensing elements in strain sensors and nano-electromechanical system (NEMS) sensors, but ACCVD is not well suited to the task. To improve SWCNN fabrication, this work deposits a parylene-C thin film on SWCNNs for transfer-printing onto flexible plastic substrates with polyimide tape. Quantification of the fabricated SWCNN strain-sensing ability (gauge factor) is performed by comparing two specimens with different pattern features and substrates. The gauge factor is measured by tensile testing. SWCNN density variations relative to the observed gauge factors are discussed. Results show that SWCNN gauge factors range from 1.46 to 8.22, depending on the substrate and pattern width. It is further observed that the gauge factor of the presented SWCNN thin film increases when the width of the SWCNN decreases to the low micro-dimensions, i.e. below 40 µm, indicating a significant scaling factor

  19. A study of lip prints among Pondicherry population.

    Science.gov (United States)

    Kumar, G Sathish; Vezhavendhan, N; Vendhan, Priya

    2012-07-01

    Cheiloscopy is a forensic investigation that deals with the examination of the system of furrows on the red part of human lips. Like fingerprint, lip print is also unique for every individual. But most of the crime-detecting agencies are unaware of the importance of lip print and it is not commonly attempted in identification of the suspects. The aim of the present study is to determine the predominant lip print pattern among Pondicherry population, India, and also to determine its uniqueness. The study comprised of 60 students (30 males and 30 females), aged from 17 to 25 years, from Pondicherry population, India. A dark-colored lipstick was applied with a single stroke and the students were asked to rub both the lips to spread the applied lipstick, after which a lip print was made on butter paper. The lip print was visualized with magnifying lens. Percentage calculation method was used to identify the predominant lip pattern. One-sample T test was done to identify the statistical significance within the different types of lip pattern with P value print and Type III appears to be the most predominant pattern in males, followed by the Type II, Type IV, Type I and Type V patterns. In females, Type II appears to be the most predominant pattern followed by the Type IV, Type I, Type III and Type V patterns.

  20. Imbalance aware lithography hotspot detection: a deep learning approach

    Science.gov (United States)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  1. Durable diamond-like carbon templates for UV nanoimprint lithography

    International Nuclear Information System (INIS)

    Tao, L; Ramachandran, S; Nelson, C T; Overzet, L J; Goeckner, M; Lee, G; Hu, W; Lin, M; Willson, C G; Wu, W

    2008-01-01

    The interaction between resist and template during the separation process after nanoimprint lithography (NIL) can cause the formation of defects and damage to the templates and resist patterns. To alleviate these problems, fluorinated self-assembled monolayers (F-SAMs, i.e. tridecafluoro-1,1,2,2,tetrahydrooctyl trichlorosilane or FDTS) have been employed as template release coatings. However, we find that the FDTS coating undergoes irreversible degradation after only 10 cycles of UV nanoimprint processes with SU-8 resist. The degradation includes a 28% reduction in surface F atoms and significant increases in the surface roughness. In this paper, diamond-like carbon (DLC) films were investigated as an alternative material not only for coating but also for direct fabrication of nanoimprint templates. DLC films deposited on quartz templates in a plasma enhanced chemical vapor deposition system are shown to have better chemical and physical stability than FDTS. After the same 10 cycles of UV nanoimprints, the surface composition as well as the roughness of DLC films were found to be unchanged. The adhesion energy between the DLC surface and SU-8 is found to be smaller than that of FDTS despite the slightly higher total surface energy of DLC. DLC templates with 40 nm features were fabricated using e-beam lithography followed by Cr lift-off and reactive ion etching. UV nanoimprinting using the directly patterned DLC templates in SU-8 resist demonstrates good pattern transfer fidelity and easy template-resist separation. These results indicate that DLC is a promising material for fabricating durable templates for UV nanoimprint lithography

  2. Effects of synchrotron radiation spectrum energy on polymethyl methacrylate photosensitivity to deep x-ray lithography

    International Nuclear Information System (INIS)

    Mekaru, Harutaka; Utsumi, Yuichi; Hattori, Tadashi

    2003-01-01

    Since X-ray lithography requires a high photon flux to achieve deep resist exposure, a synchrotron radiation beam, which is not monochromatized, is generally used as a light source. If the synchrotron radiation beam is monochromatized, photon flux will decrease rapidly. Because of this reason, the wavelength dependence of the resist sensitivity has not been investigated for deep X-ray lithography. Measuring the spectrum of a white beam with a Si solid-state detector (SSD) is difficult because a white beam has a high intensity and an SSD has a high sensitivity. We were able to measure the spectrum and the photocurrent of a white beam from a beam line used for deep X-ray lithography by keeping the ring current below 0.05 mA. We evaluated the characteristics of the output beam based on the measured spectrum and photocurrent, and used them to investigate the relationship between the total exposure energy and the dose-processing depth with polymethyl methacrylate (PMMA). We found that it is possible to guess the processing depth of PMMA from the total exposure energy in deep X-ray lithography. (author)

  3. Digital printing

    Science.gov (United States)

    Sobotka, Werner K.

    1997-02-01

    Digital printing is described as a tool to replace conventional printing machines completely. Still this goal was not reached until now with any of the digital printing technologies to be described in the paper. Productivity and costs are still the main parameters and are not really solved until now. Quality in digital printing is no problem anymore. Definition of digital printing is to transfer digital datas directly on the paper surface. This step can be carried out directly or with the use of an intermediate image carrier. Keywords in digital printing are: computer- to-press; erasable image carrier; image carrier with memory. Digital printing is also the logical development of the new digital area as it is pointed out in Nicholas Negropotes book 'Being Digital' and also the answer to networking and Internet technologies. Creating images text and color in one country and publishing the datas in another country or continent is the main advantage. Printing on demand another big advantage and last but not least personalization the last big advantage. Costs and being able to coop with this new world of prepress technology is the biggest disadvantage. Therefore the very optimistic growth rates for the next few years are really nonexistent. The development of complete new markets is too slow and the replacing of old markets is too small.

  4. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Energy Technology Data Exchange (ETDEWEB)

    Pantenburg, F.J. E-mail: pantenburg@imt.fzk.de; Mohr, J

    2001-07-21

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 {mu}m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  5. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Science.gov (United States)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  6. Deep X-ray lithography for the fabrication of microstructures at ELSA

    International Nuclear Information System (INIS)

    Pantenburg, F.J.; Mohr, J.

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described

  7. Deep X-ray lithography for the fabrication of microstructures at ELSA

    CERN Document Server

    Pantenburg, F J

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 mu m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  8. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    Science.gov (United States)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  9. Recent trends in print portals and Web2Print applications

    Science.gov (United States)

    Tuijn, Chris

    2009-01-01

    For quite some time now, the printing business has been under heavy pressure because of overcapacity, dropping prices and the delocalization of the production to low income countries. To survive in this competitive world, printers have to invest in tools that, on one hand, reduce the production costs and, on the other hand, create additional value for their customers (print buyers). The creation of customer portals on top of prepress production systems allowing print buyers to upload their content, approve the uploaded pages based on soft proofs (rendered by the underlying production system) and further follow-up the generation of the printed material, has been illustrative in this respect. These developments resulted in both automation for the printer and added value for the print buyer. Many traditional customer portals assume that the printed products have been identified before they are presented to the print buyer in the portal environment. The products are, in this case, typically entered by the printing organization in a so-called MISi system after the official purchase order has been received from the print buyer. Afterwards, the MIS system then submits the product to the customer portal. Some portals, however, also support the initiation of printed products by the print buyer directly. This workflow creates additional flexibility but also makes things much more complex. We here have to distinguish between special products that are defined ad-hoc by the print buyer and standardized products that are typically selected out of catalogs. Special products are most of the time defined once and the level of detail required in terms of production parameters is quite high. Systems that support such products typically have a built-in estimation module, or, at least, a direct connection to an MIS system that calculates the prices and adds a specific mark-up to calculate a quote. Often, the markup is added by an account manager on a customer by customer basis; in this

  10. Quantum dot-micropillars: a bright source of coherent single photons

    DEFF Research Database (Denmark)

    Unsleber, Sebastian; He, Yu-Ming; Maier, Sebastian

    2016-01-01

    We present the efficient generation of coherent single photons based on quantum dots in micropillars. We utilize a scalable lithography scheme leading to quantum dot-micropillar devices with 74% extraction efficiency. Via pulsed strict resonant pumping, we show an indistinguishability of consecut...

  11. Software-based data path for raster-scanned multi-beam mask lithography

    Science.gov (United States)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  12. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei; Lin, Liang; Xu, Yelong; Guo, Xu; Liu, Xiaoping; Ge, Haixiong; Lu, Minghui; Cui, Bo; Chen, Yanfeng

    2014-01-01

    manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage

  13. Internet printing

    Science.gov (United States)

    Rahgozar, M. Armon; Hastings, Tom; McCue, Daniel L.

    1997-04-01

    The Internet is rapidly changing the traditional means of creation, distribution and retrieval of information. Today, information publishers leverage the capabilities provided by Internet technologies to rapidly communicate information to a much wider audience in unique customized ways. As a result, the volume of published content has been astronomically increasing. This, in addition to the ease of distribution afforded by the Internet has resulted in more and more documents being printed. This paper introduces several axes along which Internet printing may be examined and addresses some of the technological challenges that lay ahead. Some of these axes include: (1) submission--the use of the Internet protocols for selecting printers and submitting documents for print, (2) administration--the management and monitoring of printing engines and other print resources via Web pages, and (3) formats--printing document formats whose spectrum now includes HTML documents with simple text, layout-enhanced documents with Style Sheets, documents that contain audio, graphics and other active objects as well as the existing desktop and PDL formats. The format axis of the Internet Printing becomes even more exciting when one considers that the Web documents are inherently compound and the traversal into the various pieces may uncover various formats. The paper also examines some imaging specific issues that are paramount to Internet Printing. These include formats and structures for representing raster documents and images, compression, fonts rendering and color spaces.

  14. Fabrication of nanoparticle and protein nanostructures using nanoimprint lithography

    NARCIS (Netherlands)

    Maury, P.A.

    2007-01-01

    Nanoimprint lithography (NIL) was used as a tool to pattern self-assembled monolayers (SAMs) on silicon substrates because of its ability to pattern in the micrometer and nanometer ranges. The resulting polymer template behaved as a physical barrier preventing the formation of a SAM in the covered

  15. 3D-BioPrinting: The future of Red Biotech

    International Nuclear Information System (INIS)

    Crupi, Annunziata; Teodori, Laura

    2015-01-01

    Every day Science moves little steps forward, contributing to the progress of our society. Sometimes, however, a single invention revolutionizes the world. Indeed, the invention of woodblock printing and development of industrial-scale printing-press in the 15. century have changed our society. 3D-printing is now boosting another revolution. The production of custom-made objects from a virtual model will trigger a rapid development of a more versatile, less expensive manufacturing sector for the on-demand market. The real revolution, however, is represented by 3D-printing in biomedicine. 3D-bioprinting represents the future of the Red-Biotech. This technology, indeed, will be able to build ex-novo organs using biocompatible materials and human cells; replace the allograft transplants, eliminating waiting lists that often make the difference between life and death; and provide more predictive, less expensive experimental models, replacing animal tests. The high innovation content of this technology, can make the difference between being obsolete and new [it

  16. Zirconia UV-curable colloids for additive manufacturing via hybrid inkjet printing-stereolithography

    DEFF Research Database (Denmark)

    Rosa, Massimo; Barou, C.; Esposito, Vincenzo

    2018-01-01

    Currently, additive manufacturing of ceramics by stereolithography (SLA) is limited to single materials and by a poor thickness resolution that strongly depends on the ceramic particles-UV light interaction. Combining selective laser curing with inkjet printing represents a novel strategy...... to overcome these constrains. Nonetheless, this approach requires UV-curable inks that allow hardening of the printed material and sintering to high density. In this work, we report how to design an ink for inkjet printing of yttria stabilized zirconia (YSZ) which can be impressed by addition of UV...

  17. Digital Inkjet Textile Printing

    OpenAIRE

    Wang, Meichun

    2017-01-01

    Digital inkjet textile printing is an emerging technology developed with the rise of the digital world. It offers a possibility to print high-resolution images with unlimited color selection on fabrics. Digital inkjet printing brings a revolutionary chance for the textile printing industry. The history of textile printing shows the law how new technology replaces the traditional way of printing. This indicates the future of digital inkjet textile printing is relatively positive. Differen...

  18. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk, E-mail: gyjung@gist.ac.k, E-mail: jslee@gist.ac.k [Department of Materials Science and Engineering, Gwangju Institute of Science and Technology (GIST), 261 Cheomdan-gwagiro (Oryong-dong), Buk-gu Gwangju 500-712 (Korea, Republic of)

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  19. Printed photodetectors

    International Nuclear Information System (INIS)

    Pace, Giuseppina; Grimoldi, Andrea; Sampietro, Marco; Natali, Dario; Caironi, Mario

    2015-01-01

    Photodetectors convert light pulses into electrical signals and are fundamental building blocks for any opto-electronic system adopting light as a probe or information carrier. They have widespread technological applications, from telecommunications to sensors in industrial, medical and civil environments. Further opportunities are plastic short-range communications systems, interactive large-area surfaces and light-weight, flexible, digital imagers. These applications would greatly benefit from the cost-effective fabrication processes enabled by printing technology. While organic semiconductors are the most investigated materials for printed photodetectors, and are the main focus of the present review, there are notable examples of other inorganic or hybrid printable semiconductors for opto-electronic systems, such as quantum-dots and nanowires. Here we propose an overview on printed photodetectors, including three-terminal phototransistors. We first give a brief account of the working mechanism of these light sensitive devices, and then we review the recent progress achieved with scalable printing techniques such as screen-printing, inkjet and other non-contact technologies in the development of all-printed or hybrid systems. (paper)

  20. Printed photodetectors

    Science.gov (United States)

    Pace, Giuseppina; Grimoldi, Andrea; Sampietro, Marco; Natali, Dario; Caironi, Mario

    2015-10-01

    Photodetectors convert light pulses into electrical signals and are fundamental building blocks for any opto-electronic system adopting light as a probe or information carrier. They have widespread technological applications, from telecommunications to sensors in industrial, medical and civil environments. Further opportunities are plastic short-range communications systems, interactive large-area surfaces and light-weight, flexible, digital imagers. These applications would greatly benefit from the cost-effective fabrication processes enabled by printing technology. While organic semiconductors are the most investigated materials for printed photodetectors, and are the main focus of the present review, there are notable examples of other inorganic or hybrid printable semiconductors for opto-electronic systems, such as quantum-dots and nanowires. Here we propose an overview on printed photodetectors, including three-terminal phototransistors. We first give a brief account of the working mechanism of these light sensitive devices, and then we review the recent progress achieved with scalable printing techniques such as screen-printing, inkjet and other non-contact technologies in the development of all-printed or hybrid systems.

  1. 3D printing PLGA: a quantitative examination of the effects of polymer composition and printing parameters on print resolution.

    Science.gov (United States)

    Guo, Ting; Holzberg, Timothy R; Lim, Casey G; Gao, Feng; Gargava, Ankit; Trachtenberg, Jordan E; Mikos, Antonios G; Fisher, John P

    2017-04-12

    In the past few decades, 3D printing has played a significant role in fabricating scaffolds with consistent, complex structure that meet patient-specific needs in future clinical applications. Although many studies have contributed to this emerging field of additive manufacturing, which includes material development and computer-aided scaffold design, current quantitative analyses do not correlate material properties, printing parameters, and printing outcomes to a great extent. A model that correlates these properties has tremendous potential to standardize 3D printing for tissue engineering and biomaterial science. In this study, we printed poly(lactic-co-glycolic acid) (PLGA) utilizing a direct melt extrusion technique without additional ingredients. We investigated PLGA with various lactic acid:glycolic acid (LA:GA) molecular weight ratios and end caps to demonstrate the dependence of the extrusion process on the polymer composition. Micro-computed tomography was then used to evaluate printed scaffolds containing different LA:GA ratios, composed of different fiber patterns, and processed under different printing conditions. We built a statistical model to reveal the correlation and predominant factors that determine printing precision. Our model showed a strong linear relationship between the actual and predicted precision under different combinations of printing conditions and material compositions. This quantitative examination establishes a significant foreground to 3D print biomaterials following a systematic fabrication procedure. Additionally, our proposed statistical models can be applied to couple specific biomaterials and 3D printing applications for patient implants with particular requirements.

  2. 3D printing PLGA: a quantitative examination of the effects of polymer composition and printing parameters on print resolution

    Science.gov (United States)

    Guo, Ting; Holzberg, Timothy R; Lim, Casey G; Gao, Feng; Gargava, Ankit; Trachtenberg, Jordan E; Mikos, Antonios G; Fisher, John P

    2018-01-01

    In the past few decades, 3D printing has played a significant role in fabricating scaffolds with consistent, complex structure that meet patient-specific needs in future clinical applications. Although many studies have contributed to this emerging field of additive manufacturing, which includes material development and computer-aided scaffold design, current quantitative analyses do not correlate material properties, printing parameters, and printing outcomes to a great extent. A model that correlates these properties has tremendous potential to standardize 3D printing for tissue engineering and biomaterial science. In this study, we printed poly(lactic-co-glycolic acid) (PLGA) utilizing a direct melt extrusion technique without additional ingredients. We investigated PLGA with various lactic acid: glycolic acid (LA:GA) molecular weight ratios and end caps to demonstrate the dependence of the extrusion process on the polymer composition. Micro-computed tomography was then used to evaluate printed scaffolds containing different LA:GA ratios, composed of different fiber patterns, and processed under different printing conditions. We built a statistical model to reveal the correlation and predominant factors that determine printing precision. Our model showed a strong linear relationship between the actual and predicted precision under different combinations of printing conditions and material compositions. This quantitative examination establishes a significant foreground to 3D print biomaterials following a systematic fabrication procedure. Additionally, our proposed statistical models can be applied to couple specific biomaterials and 3D printing applications for patient implants with particular requirements. PMID:28244880

  3. Nanofabrication of Plasmonic Circuits Containing Single Photon Sources

    DEFF Research Database (Denmark)

    Siampour, Hamidreza; Kumar, Shailesh; Bozhevolnyi, Sergey I.

    2017-01-01

    Nanofabrication of photonic components based on dielectric loaded surface plasmon polariton waveguides (DLSPPWs) excited by single nitrogen vacancy (NV) centers in nanodiamonds is demonstrated. DLSPPW circuits are built around NV containing nanodiamonds, which are certified to be single-photon...... emitters, using electron-beam lithography of hydrogen silsesquioxane (HSQ) resist on silver-coated silicon substrates. A propagation length of 20 ± 5 μm for the NV single-photon emission is measured with DLSPPWs. A 5-fold enhancement in the total decay rate, and 58% coupling efficiency to the DLSPPW mode...

  4. High Excitation Efficiency of Channel Plasmon Polaritons in Tailored, UV-Lithography-Defined V-Grooves

    DEFF Research Database (Denmark)

    Smith, Cameron; Thilsted, Anil Haraksingh; Garcia-Ortiz, Cesar E.

    2014-01-01

    We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs.......We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs....

  5. Boron nitride stamp for ultra-violet nanoimprinting lithography fabricated by focused ion beam lithography

    International Nuclear Information System (INIS)

    Altun, Ali Ozhan; Jeong, Jun-Ho; Rha, Jong-Joo; Kim, Ki-Don; Lee, Eung-Sug

    2007-01-01

    Cubic boron nitride (c-BN) is one of the hardest known materials (second after diamond). It has a high level of chemical resistance and high UV transmittance. In this study, a stamp for ultra-violet nanoimprint lithography (UV-NIL) was fabricated using a bi-layered BN film deposited on a quartz substrate. Deposition of the BN was done using RF magnetron sputtering. A hexagonal boron nitride (h-BN) layer was deposited for 30 min before c-BN was deposited for 30 min. The thickness of the film was measured as 160 nm. The phase of the c-BN layer was investigated using Fourier transform infrared (FTIR) spectrometry, and it was found that the c-BN layer has a 40% cubic phase. The deposited film was patterned using focused ion beam (FIB) lithography for use as a UV-NIL stamp. Line patterns were fabricated with the line width and line distance set at 150 and 150 nm, respectively. The patterning process was performed by applying different currents to observe the effect of the current value on the pattern profile. The fabricated patterns were investigated using AFM, and it was found that the pattern fabricated by applying a current value of 50 picoamperes (pA) has a better profile with a 65 nm line depth. The UV transmittance of the 160 nm thick film was measured to be 70-86%. The hardness and modulus of the BN was measured to be 12 and 150 GPa, respectively. The water contact angle of the stamp surface was measured at 75 0 . The stamp was applied to UV-NIL without coating with an anti-adhesion layer. Successful imprinting was proved via scanning electron microscope (SEM) images of the imprinted resin

  6. Combined e-beam lithography using different energies

    Czech Academy of Sciences Publication Activity Database

    Krátký, Stanislav; Kolařík, Vladimír; Horáček, Miroslav; Meluzín, Petr; Král, Stanislav

    2017-01-01

    Roč. 177, JUN (2017), s. 30-34 ISSN 0167-9317 R&D Projects: GA TA ČR TE01020233; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : grayscale e-beam lithography * mix and match process * absorbed energy density * resist sensitivity * micro-optical elements Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Nano-processes (applications on nano-scale) Impact factor: 1.806, year: 2016

  7. TU-H-BRC-08: Use and Validation of Flexible 3D Printed Tissue Compensators for Post-Mastectomy Radiation Therapy

    Energy Technology Data Exchange (ETDEWEB)

    Craft, D; Kry, S; Salehpour, M; Howell, R [Department of Radiation Physics, The University of Texas MD Anderson Cancer Center, Houston, TX (United States); The University of Texas Graduate School of Biomedical Sciences at Houston, Houston, TX (United States); Woodward, W [The University of Texas Graduate School of Biomedical Sciences at Houston, Houston, TX (United States); Department of Radiation Oncology, The University of Texas MD Anderson Cancer Center, Houston, TX (United States); Kanke, J [Department of Radiation Oncology, The University of Texas MD Anderson Cancer Center, Houston, TX (United States)

    2016-06-15

    Purpose: Patient-specific tissue equivalent compensators can be used for post-mastectomy radiation therapy (PMRT) to achieve homogenous dose distributions with single-field treatments. However, current fabrication methods are time consuming and expensive. 3D-printing technology could overcome these limitations. The purposes of this study were to [1] evaluate materials for 3D-printed compensators [2] design and print a compensator to achieve a uniform thickness to a clinical target volume (CTV), and [3] demonstrate that a single-field electron compensator plan is a clinically feasible treatment option for PMRT. Methods: Blocks were printed with three materials; print accuracy, density, Hounsfield units (HU), and percent depth doses (PDD) were evaluated. For a CT scan of an anthropomorphic phantom, we used a ray-tracing method to design a compensator that achieved uniform thickness from compensator surface to CTV. The compensator was printed with flexible tissue equivalent material whose physical and radiological properties were most similar to soft tissue. A single-field electron compensator plan was designed and compared with two standard-of-care techniques. The compensator plan was validated with thermoluminescent dosimeter (TLD) measurements. Results: We identified an appropriate material for 3D-printed compensators that had high print accuracy (99.6%) and was similar to soft tissue; density was 1.04, HU was - 45 ± 43, and PDD curves agreed with clinical curves within 3 mm. We designed and printed a compensator that conformed well to the phantom surface and created a uniform thickness to the CTV. In-house fabrication was simple and inexpensive (<$75). Compared with the two standard plans, the compensator plan resulted in overall more homogeneous dose distributions and performed similarly in terms of lung/heart doses and 90% isodose coverage of the CTV. TLD measurements agreed well with planned doses (within 5 %). Conclusions: We have demonstrated that 3D-printed

  8. TU-H-BRC-08: Use and Validation of Flexible 3D Printed Tissue Compensators for Post-Mastectomy Radiation Therapy

    International Nuclear Information System (INIS)

    Craft, D; Kry, S; Salehpour, M; Howell, R; Woodward, W; Kanke, J

    2016-01-01

    Purpose: Patient-specific tissue equivalent compensators can be used for post-mastectomy radiation therapy (PMRT) to achieve homogenous dose distributions with single-field treatments. However, current fabrication methods are time consuming and expensive. 3D-printing technology could overcome these limitations. The purposes of this study were to [1] evaluate materials for 3D-printed compensators [2] design and print a compensator to achieve a uniform thickness to a clinical target volume (CTV), and [3] demonstrate that a single-field electron compensator plan is a clinically feasible treatment option for PMRT. Methods: Blocks were printed with three materials; print accuracy, density, Hounsfield units (HU), and percent depth doses (PDD) were evaluated. For a CT scan of an anthropomorphic phantom, we used a ray-tracing method to design a compensator that achieved uniform thickness from compensator surface to CTV. The compensator was printed with flexible tissue equivalent material whose physical and radiological properties were most similar to soft tissue. A single-field electron compensator plan was designed and compared with two standard-of-care techniques. The compensator plan was validated with thermoluminescent dosimeter (TLD) measurements. Results: We identified an appropriate material for 3D-printed compensators that had high print accuracy (99.6%) and was similar to soft tissue; density was 1.04, HU was - 45 ± 43, and PDD curves agreed with clinical curves within 3 mm. We designed and printed a compensator that conformed well to the phantom surface and created a uniform thickness to the CTV. In-house fabrication was simple and inexpensive (<$75). Compared with the two standard plans, the compensator plan resulted in overall more homogeneous dose distributions and performed similarly in terms of lung/heart doses and 90% isodose coverage of the CTV. TLD measurements agreed well with planned doses (within 5 %). Conclusions: We have demonstrated that 3D-printed

  9. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  10. Printed droplet microfluidics for on demand dispensing of picoliter droplets and cells.

    Science.gov (United States)

    Cole, Russell H; Tang, Shi-Yang; Siltanen, Christian A; Shahi, Payam; Zhang, Jesse Q; Poust, Sean; Gartner, Zev J; Abate, Adam R

    2017-08-15

    Although the elementary unit of biology is the cell, high-throughput methods for the microscale manipulation of cells and reagents are limited. The existing options either are slow, lack single-cell specificity, or use fluid volumes out of scale with those of cells. Here we present printed droplet microfluidics, a technology to dispense picoliter droplets and cells with deterministic control. The core technology is a fluorescence-activated droplet sorter coupled to a specialized substrate that together act as a picoliter droplet and single-cell printer, enabling high-throughput generation of intricate arrays of droplets, cells, and microparticles. Printed droplet microfluidics provides a programmable and robust technology to construct arrays of defined cell and reagent combinations and to integrate multiple measurement modalities together in a single assay.

  11. Mask compensation for process flare in 193nm very low k1 lithography

    Science.gov (United States)

    Lee, Jeonkyu; Lee, Taehyeong; Oh, Sangjin; Kang, Chunsoo; Kim, Jungchan; Choi, Jaeseung; Park, Chanha; Yang, Hyunjo; Yim, Donggyu; Do, Munhoe; Su, Irene; Song, Hua; Choi, Jung-Hoe; Fan, Yongfa; Wang, Anthony C.; Lee, Sung-Woo; Boone, Robert; Lucas, Kevin

    2013-04-01

    Traditional rule-based and model-based OPC methods only simulate in a very local area (generally less than 1um) to identify and correct for systematic optical or process problems. Despite this limitation, however, these methods have been very successful for many technology generations and have been a major reason for the industry being able to tremendously push down lithographic K1. This is also enabled by overall good across-exposure field lithographic process control which has been able to minimize longer range effects across the field. Now, however, the situation has now become more complex. The lithographic single exposure resolution limit with 1.35NA tools remains about 80nm pitch but the final wafer dimensions and final wafer pitches required in advanced technologies continue to scale down. This is putting severe strain on lithographic process and OPC CD control. Therefore, formerly less important 2nd order effects are now starting to have significant CD control impact if not corrected for. In this paper, we provide examples and discussion of how optical and chemical flare related effects are becoming more problematic, especially at the boundaries of large, dense memory arrays. We then introduce a practical correction method for these systematic effects which reuses some of the recent long range effect correcting OPC techniques developed for EUV pattern correction (such as EUV flare). We next provide analysis of the benefits of these OPC methods for chemical flare issues in 193nm lithography very low K1 lithography. Finally, we summarize our work and briefly mention possible future extensions.

  12. Fabrication of periodically ordered diamond nanostructures by microsphere lithography

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Štolcová, L.; Proška, J.; Kromka, Alexander

    2014-01-01

    Roč. 251, č. 12 (2014), s. 2587-2592 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 Keywords : CVD growth * diamond * microsphere lithography * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  13. Laser printing of 3D metallic interconnects

    Science.gov (United States)

    Beniam, Iyoel; Mathews, Scott A.; Charipar, Nicholas A.; Auyeung, Raymond C. Y.; Piqué, Alberto

    2016-04-01

    The use of laser-induced forward transfer (LIFT) techniques for the printing of functional materials has been demonstrated for numerous applications. The printing gives rise to patterns, which can be used to fabricate planar interconnects. More recently, various groups have demonstrated electrical interconnects from laser-printed 3D structures. The laser printing of these interconnects takes place through aggregation of voxels of either molten metal or of pastes containing dispersed metallic particles. However, the generated 3D structures do not posses the same metallic conductivity as a bulk metal interconnect of the same cross-section and length as those formed by wire bonding or tab welding. An alternative is to laser transfer entire 3D structures using a technique known as lase-and-place. Lase-and-place is a LIFT process whereby whole components and parts can be transferred from a donor substrate onto a desired location with one single laser pulse. This paper will describe the use of LIFT to laser print freestanding, solid metal foils or beams precisely over the contact pads of discrete devices to interconnect them into fully functional circuits. Furthermore, this paper will also show how the same laser can be used to bend or fold the bulk metal foils prior to transfer, thus forming compliant 3D structures able to provide strain relief for the circuits under flexing or during motion from thermal mismatch. These interconnect "ridges" can span wide gaps (on the order of a millimeter) and accommodate height differences of tens of microns between adjacent devices. Examples of these laser printed 3D metallic bridges and their role in the development of next generation electronics by additive manufacturing will be presented.

  14. Applications of laser printing for organic electronics

    Science.gov (United States)

    Delaporte, Ph.; Ainsebaa, A.; Alloncle, A.-P.; Benetti, M.; Boutopoulos, C.; Cannata, D.; Di Pietrantonio, F.; Dinca, V.; Dinescu, M.; Dutroncy, J.; Eason, R.; Feinaugle, M.; Fernández-Pradas, J.-M.; Grisel, A.; Kaur, K.; Lehmann, U.; Lippert, T.; Loussert, C.; Makrygianni, M.; Manfredonia, I.; Mattle, T.; Morenza, J.-L.; Nagel, M.; Nüesch, F.; Palla-Papavlu, A.; Rapp, L.; Rizvi, N.; Rodio, G.; Sanaur, S.; Serra, P.; Shaw-Stewart, J.; Sones, C. L.; Verona, E.; Zergioti, I.

    2013-03-01

    The development of organic electronic requires a non contact digital printing process. The European funded e-LIFT project investigated the possibility of using the Laser Induced Forward Transfer (LIFT) technique to address this field of applications. This process has been optimized for the deposition of functional organic and inorganic materials in liquid and solid phase, and a set of polymer dynamic release layer (DRL) has been developed to allow a safe transfer of a large range of thin films. Then, some specific applications related to the development of heterogeneous integration in organic electronics have been addressed. We demonstrated the ability of LIFT process to print thin film of organic semiconductor and to realize Organic Thin Film Transistors (OTFT) with mobilities as high as 4 10-2 cm2.V-1.s-1 and Ion/Ioff ratio of 2.8 105. Polymer Light Emitting Diodes (PLED) have been laser printed by transferring in a single step process a stack of thin films, leading to the fabrication of red, blue green PLEDs with luminance ranging from 145 cd.m-2 to 540 cd.m-2. Then, chemical sensors and biosensors have been fabricated by printing polymers and proteins on Surface Acoustic Wave (SAW) devices. The ability of LIFT to transfer several sensing elements on a same device with high resolution allows improving the selectivity of these sensors and biosensors. Gas sensors based on the deposition of semiconducting oxide (SnO2) and biosensors for the detection of herbicides relying on the printing of proteins have also been realized and their performances overcome those of commercial devices. At last, we successfully laser-printed thermoelectric materials and realized microgenerators for energy harvesting applications.

  15. Programmable imprint lithography template

    Science.gov (United States)

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  16. Ink-jet printing technology enables self-aligned mould patterning for electroplating in a single step

    International Nuclear Information System (INIS)

    Meissner, M V; Spengler, N; Mager, D; Wang, N; Kiss, S Z; Höfflin, J; While, P T; Korvink, J G

    2015-01-01

    We present a new self-aligned, mask-free micro-fabrication method with which to form thick-layered conductive metal micro-structures inside electroplating moulds. Seed layer patterning for electroplating was performed by ink-jet printing using a silver nano-particle ink deposited on SU-8 or Ordyl SY permanent resist. The silver ink contact angle on SU-8 was adjusted by oxygen plasma followed by a hard bake. Besides functioning as a seed layer, the printed structures further served as a shadow mask during patterning of electroplating moulds into negative photoresist. The printed silver tracks remained in strong adhesion to the substrate when exposed to the acidic chemistry of the electroplating bath. To demonstrate the process, we manufactured rectangular, low-resistivity planar micro-coils for use in magnetic resonance microscopy. MRI images of a spring onion with an in-plane resolution down to 10 µm × 10 µm were acquired using a micro-coil on an 11.7 T MRI scanner. (paper)

  17. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    Science.gov (United States)

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  18. 3D Printed Models of Cleft Palate Pathology for Surgical Education.

    Science.gov (United States)

    Lioufas, Peter A; Quayle, Michelle R; Leong, James C; McMenamin, Paul G

    2016-09-01

    To explore the potential viability and limitations of 3D printed models of children with cleft palate deformity. The advantages of 3D printed replicas of normal anatomical specimens have previously been described. The creation of 3D prints displaying patient-specific anatomical pathology for surgical planning and interventions is an emerging field. Here we explored the possibility of taking rare pediatric radiographic data sets to create 3D prints for surgical education. Magnetic resonance imaging data of 2 children (8 and 14 months) were segmented, colored, and anonymized, and stereolothographic files were prepared for 3D printing on either multicolor plastic or powder 3D printers and multimaterial 3D printers. Two models were deemed of sufficient quality and anatomical accuracy to print unamended. One data set was further manipulated digitally to artificially extend the length of the cleft. Thus, 3 models were printed: 1 incomplete soft-palate deformity, 1 incomplete anterior palate deformity, and 1 complete cleft palate. All had cleft lip deformity. The single-material 3D prints are of sufficient quality to accurately identify the nature and extent of the deformities. Multimaterial prints were subsequently created, which could be valuable in surgical training. Improvements in the quality and resolution of radiographic imaging combined with the advent of multicolor multiproperty printer technology will make it feasible in the near future to print 3D replicas in materials that mimic the mechanical properties and color of live human tissue making them potentially suitable for surgical training.

  19. Conductive Carbon Nanotube Inks for Use with Desktop Inkjet Printing Technology

    Science.gov (United States)

    Roberson, Luke; Williams, Martha; Tate, LaNetra; Fortier, Craig; Smith, David; Davia, Kyle; Gibson, Tracy; Snyder, Sarah

    2013-01-01

    Inkjet printing is a common commercial process. In addition to the familiar use in printing documents from computers, it is also used in some industrial applications. For example, wire manufacturers are required by law to print the wire type, gauge, and safety information on the exterior of each foot of manufactured wire, and this is typically done with inkjet or laser printers. The goal of this work was the creation of conductive inks that can be applied to a wire or flexible substrates via inkjet printing methods. The use of inkjet printing technology to print conductive inks has been in testing for several years. While researchers have been able to get the printing system to mechanically work, the application of conductive inks on substrates has not consistently produced adequate low resistances in the kilohm range. Conductive materials can be applied using a printer in single or multiple passes onto a substrate including textiles, polymer films, and paper. The conductive materials are composed of electrical conductors such as carbon nanotubes (including functionalized carbon nanotubes and metal-coated carbon nanotubes); graphene, a polycyclic aromatic hydrocarbon (e.g., pentacene and bisperipentacene); metal nanoparticles; inherently conductive polymers (ICP); and combinations thereof. Once the conductive materials are applied, the materials are dried and sintered to form adherent conductive materials on the substrate. For certain formulations, increased conductivity can be achieved by printing on substrates supported by low levels of magnetic field alignment. The adherent conductive materials can be used in applications such as damage detection, dust particle removal, smart coating systems, and flexible electronic circuitry. By applying alternating layers of different electrical conductors to form a layered composite material, a single homogeneous layer can be produced with improved electrical properties. It is believed that patterning alternate layers of

  20. Air-structured optical fibre drawn from a 3D-printed preform

    OpenAIRE

    Cook, Kevin; Canning, John; Leon-Saval, Sergio; Reid, Zane; Hossain, Md Arafat; Comatti, Jade-Edouard; Luo, Yanhua; Peng, Gang-Ding

    2016-01-01

    A structured optical fibre is drawn from a 3D-printed structured preform. Preforms containing a single ring of holes around the core are fabricated using filament made from a modified butadiene polymer. More broadly, 3D printers capable of processing soft glasses, silica and other materials are likely to come on line in the not-so distant future. 3D printing of optical preforms signals a new milestone in optical fibre manufacture.

  1. Screen printing as a scalable and low-cost approach for rigid and flexible thin-film transistors using separated carbon nanotubes.

    Science.gov (United States)

    Cao, Xuan; Chen, Haitian; Gu, Xiaofei; Liu, Bilu; Wang, Wenli; Cao, Yu; Wu, Fanqi; Zhou, Chongwu

    2014-12-23

    Semiconducting single-wall carbon nanotubes are very promising materials in printed electronics due to their excellent mechanical and electrical property, outstanding printability, and great potential for flexible electronics. Nonetheless, developing scalable and low-cost approaches for manufacturing fully printed high-performance single-wall carbon nanotube thin-film transistors remains a major challenge. Here we report that screen printing, which is a simple, scalable, and cost-effective technique, can be used to produce both rigid and flexible thin-film transistors using separated single-wall carbon nanotubes. Our fully printed top-gated nanotube thin-film transistors on rigid and flexible substrates exhibit decent performance, with mobility up to 7.67 cm2 V(-1) s(-1), on/off ratio of 10(4)∼10(5), minimal hysteresis, and low operation voltage (transistors (bent with radius of curvature down to 3 mm) and driving capability for organic light-emitting diode have been demonstrated. Given the high performance of the fully screen-printed single-wall carbon nanotube thin-film transistors, we believe screen printing stands as a low-cost, scalable, and reliable approach to manufacture high-performance nanotube thin-film transistors for application in display electronics. Moreover, this technique may be used to fabricate thin-film transistors based on other materials for large-area flexible macroelectronics, and low-cost display electronics.

  2. Laser-produced plasma-extreme ultraviolet light source for next generation lithography

    International Nuclear Information System (INIS)

    Nishihara, Katsunobu; Nishimura, Hiroaki; Gamada, Kouhei; Murakami, Masakatsu; Mochizuki, Takayasu; Sasaki, Akira; Sunahara, Atsushi

    2005-01-01

    Extreme ultraviolet (EUV) lithography is the most promising candidate for the next generation lithography for the 45 nm technology node and below. EUV light sources under consideration use 13.5 nm radiations from multicharged xenon, tin and lithium ions, because Mo/Si multiplayer mirrors have high reflectivity at this wavelength. A review of laser-produced plasma (LPP) EUV light sources is presented with a focus on theoretical and experimental studies under the auspices of the Leading Project promoted by MEXT. We discuss three theoretical topics: atomic processes in the LPP-EUV light source, conversion efficiency from laser light to EUV light at 13.5 nm wave-length with 2% bound width, and fast ion spectra. The properties of EUV emission from tin and xenon plasmas are also shown based on experimental results. (author)

  3. Development of compact synchrotron light source LUNA for x-ray lithography

    International Nuclear Information System (INIS)

    Takahashi, M.; Mandai, S.; Hoshi, Y.; Kohno, Y.

    1992-01-01

    A compact synchrotron light source LUNA has been developed by Ishikawajima-Harima Heavy Industries Co., Ltd. (IHI), especially for x-ray lithography. It consists of a 45-MeV linac as an electron injector and an 800-MeV synchrotron. The peak wavelength of synchrotron radiation is around 10 A. The installation of LUNA was completed in April 1989 at the Tsuchiura Facility of IHI. The synchrotron radiation was first observed in December 1989. A stored beam current of 50 mA at 800 MeV and a lifetime over 1 h have been achieved. At present, experiments are still continuing to increase the stored current and the lifetime. X-ray lithography testing is scheduled to begin in a clean room in this facility. This paper describes the outline of LUNA and the present status

  4. Smartphone Sensors for Stone Lithography Authentication

    Directory of Open Access Journals (Sweden)

    Giuseppe Schirripa Spagnolo

    2014-05-01

    Full Text Available Nowadays mobile phones include quality photo and video cameras, access to wireless networks and the internet, GPS assistance and other innovative systems. These facilities open them to innovative uses, other than the classical telephonic communication one. Smartphones are a more sophisticated version of classic mobile phones, which have advanced computing power, memory and connectivity. Because fake lithographs are flooding the art market, in this work, we propose a smartphone as simple, robust and efficient sensor for lithograph authentication. When we buy an artwork object, the seller issues a certificate of authenticity, which contains specific details about the artwork itself. Unscrupulous sellers can duplicate the classic certificates of authenticity, and then use them to “authenticate” non-genuine works of art. In this way, the buyer will have a copy of an original certificate to attest that the “not original artwork” is an original one. A solution for this problem would be to insert a system that links together the certificate and the related specific artwork. To do this it is necessary, for a single artwork, to find unique, unrepeatable, and unchangeable characteristics. In this article we propose an innovative method for the authentication of stone lithographs. We use the color spots distribution captured by means of a smartphone camera as a non-cloneable texture of the specific artworks and an information management system for verifying it in mobility stone lithography.

  5. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography.

    Science.gov (United States)

    Cummins, Cian; Bell, Alan P; Morris, Michael A

    2017-09-30

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO₃) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)- block -poly(4-vinylpyridine) (PS- b -P4VP) BCP soft template. We outline WO₃ nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO₃ nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  6. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography

    Directory of Open Access Journals (Sweden)

    Cian Cummins

    2017-09-01

    Full Text Available The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP feature patterning. An elegant route is demonstrated using directed self-assembly (DSA of BCPs for the fabrication of aligned tungsten trioxide (WO3 nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL silsesquioxane (SSQ-based trenches were utilized in order to align a cylinder forming poly(styrene-block-poly(4-vinylpyridine (PS-b-P4VP BCP soft template. We outline WO3 nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm contacted WO3 nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  7. Inspection of imprint lithography patterns for semiconductor and patterned media

    Science.gov (United States)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  8. Sub-word image clustering in Farsi printed books

    Science.gov (United States)

    Soheili, Mohammad Reza; Kabir, Ehsanollah; Stricker, Didier

    2015-02-01

    Most OCR systems are designed for the recognition of a single page. In case of unfamiliar font faces, low quality papers and degraded prints, the performance of these products drops sharply. However, an OCR system can use redundancy of word occurrences in large documents to improve recognition results. In this paper, we propose a sub-word image clustering method for the applications dealing with large printed documents. We assume that the whole document is printed by a unique unknown font with low quality print. Our proposed method finds clusters of equivalent sub-word images with an incremental algorithm. Due to the low print quality, we propose an image matching algorithm for measuring the distance between two sub-word images, based on Hamming distance and the ratio of the area to the perimeter of the connected components. We built a ground-truth dataset of more than 111000 sub-word images to evaluate our method. All of these images were extracted from an old Farsi book. We cluster all of these sub-words, including isolated letters and even punctuation marks. Then all centers of created clusters are labeled manually. We show that all sub-words of the book can be recognized with more than 99.7% accuracy by assigning the label of each cluster center to all of its members.

  9. Stabilization of glucose-oxidase in the graphene paste for screen-printed glucose biosensor

    Science.gov (United States)

    Pepłowski, Andrzej; Janczak, Daniel; Jakubowska, Małgorzata

    2015-09-01

    Various methods and materials for enzyme stabilization within screen-printed graphene sensor were analyzed. Main goal was to develop technology allowing immediate printing of the biosensors in single printing process. Factors being considered were: toxicity of the materials used, ability of the material to be screen-printed (squeezed through the printing mesh) and temperatures required in the fabrication process. Performance of the examined sensors was measured using chemical amperometry method, then appropriate analysis of the measurements was conducted. The analysis results were then compared with the medical requirements. Parameters calculated were: correlation coefficient between concentration of the analyte and the measured electrical current (0.986) and variation coefficient for the particular concentrations of the analyte used as the calibration points. Variation of the measured values was significant only in ranges close to 0, decreasing for the concentrations of clinical importance. These outcomes justify further development of the graphene-based biosensors fabricated through printing techniques.

  10. Printing Insecurity? The Security Implications of 3D-Printing of Weapons.

    Science.gov (United States)

    Walther, Gerald

    2015-12-01

    In 2013, the first gun printed out of plastic by a 3D-printer was successfully fired in the U.S. This event caused a major media hype about the dangers of being able to print a gun. Law enforcement agencies worldwide were concerned about this development and the potentially huge security implications of these functional plastic guns. As a result, politicians called for a ban of these weapons and a control of 3D-printing technology. This paper reviews the security implications of 3D-printing technology and 3D guns. It argues that current arms control and transfer policies are adequate to cover 3D-printed guns as well. However, while this analysis may hold up currently, progress in printing technology needs to be monitored to deal with future dangers pre-emptively.

  11. The DARPA compact Superconducting X-Ray Lithography Source features

    International Nuclear Information System (INIS)

    Heese, R.; Kalsi, S.; Leung, E.

    1991-01-01

    Under DARPA sponsorship, a compact Superconducting X-Ray Lithography Source (SXLS) is being designed and built by the Brookhaven National Laboratory (BNL) with industry participation from Grumman Corporation and General Dynamics. This source is optimized for lithography work for sub-micron high density computer chips, and is about the size of a billiard table (1.5 m x 4.0 m). The machine has a racetrack configuration with two 180 degree bending magnets being designed and built by General Dynamics under a subcontract with Grumman Corporation. The machine will have 18 photon ports which would deliver light peaked at a wave length of 10 Angstroms. Grumman is commercializing the SXLS device and plans to book orders for delivery of industrialized SXLS (ISXLS) versions in 1995. This paper will describe the major features of this device. The commercial machine will be equipped with a fully automated user-friendly control systems, major features of which are already working on a compact warm dipole ring at BNL. This ring has normal dipole magnets with dimensions identical to the SXLS device, and has been successfully commissioned

  12. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  13. Integration of plant viruses in electron beam lithography nanostructures

    International Nuclear Information System (INIS)

    Alonso, Jose M; Bittner, Alexander M; Ondarçuhu, Thierry

    2013-01-01

    Tobacco mosaic virus (TMV) is the textbook example of a virus, and also of a self-assembling nanoscale structure. This tubular RNA/protein architecture has also found applications as biotemplate for the synthesis of nanomaterials such as wires, as tubes, or as nanoparticle assemblies. Although TMV is, being a biological structure, quite resilient to environmental conditions (temperature, chemicals), it cannot be processed in electron beam lithography (eBL) fabrication, which is the most important and most versatile method of nanoscale structuring. Here we present adjusted eBL-compatible processes that allow the incorporation of TMV in nanostructures made of positive and negative tone eBL resists. The key steps are covering TMV by polymer resists, which are only heated to 50 °C, and development (selective dissolution) in carefully selected organic solvents. We demonstrate the post-lithography biochemical functionality of TMV by selective immunocoating of the viral particles, and the use of immobilized TMV as direct immunosensor. Our modified eBL process should be applicable to incorporate a wide range of sensitive materials in nanofabrication schemes. (paper)

  14. Direct metal transfer printing on flexible substrate for fabricating optics functional devices

    Science.gov (United States)

    Jiang, Yingjie; Zhou, Xiaohong; Zhang, Feng; Shi, Zhenwu; Chen, Linsen; Peng, Changsi

    2015-11-01

    New functional materials and devices based on metal patterns can be widely used in many new and expanding industries,such as flat panel displays, alternative energy,sensors and so on. In this paper, we introduce a new transfer printing method for fabricating metal optics functional devices. This method can directly transfer a metal pattern from a polyethylene terephthalate (PET)supported UV or polydimethylsiloxane (PDMS) pattern to another PET substrate. Purely taking advantage of the anaerobic UV curing adhesive (a-UV) on PET substrate, metal film can be easily peeled off from micro/nano-structured surface. As a result, metal film on the protrusion can be selectively transferred onto the target substrate, to make it the metal functional surface. But which on the bottom can not be transferred. This method provides low cost fabrication of metal thin film devices by avoiding high cost lithography process. Compared with conventional approach, this method can get more smooth rough edges and has wider tolerance range for the original master mold. Future developments and potential applications of this metal transfer method will be addressed.

  15. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    Science.gov (United States)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  16. Examination for optimization of synchrotron radiation spectrum for the x ray depth lithography

    Science.gov (United States)

    Dany, Raimund

    1992-06-01

    The effect of reducing the vertical distribution of synchrotron radiation on its spectral distribution is examined through resin irradiation. The resulting filter effect is compared to that of absorption filters. Transmission coefficients of titanium, gold, and polyamide were calculated from linear absorption coefficients with the Beer law. The use of a diaphragm in X-ray depth lithography, which is the first step of the LIGA (Lithography Galvanoforming Molding) process, is discussed. A calorimetric device for determining the synchrotron radiation power and distribution was developed and tested. Measurements at the ELSA storage ring show a strong dependence of the vertical emittance on the electron current.

  17. A compact SR light source for x-ray lithography 'AURORA'

    International Nuclear Information System (INIS)

    Toba, Y.

    1990-01-01

    A compact synchrotron radiation (SR) light source called AURORA has been developed for industrial use. It is specially designed for X-ray lithography. AURORA consists of a storage ring, injector microtron and SR light beam lines. The storage ring is a superconducting single magnet machine, designed to accelerate a 150 MeV electron beam to 650 MeV and to store as high as 300 mA current. The injector is a racetrack microtron (RTM) producing a pulsed 150 MeV beam. As many as 16 SR light beam channels are available for AURORA. Prototypes of the storage ring and RTM are constructed, and beam commissioning is performed. A hundred and fifty MeV electron beam of a pulsed current 10 μA from the RTM is successfully injected to the ring and accelerated to 600 MeV and 10 mA current stored with a lifetime of more than 20 hours. The half-integer method investigated is shown to work well with the injection efficiency being found to be very high. Improvement of the design is now under way. (N.K.)

  18. „New approaches to atomic force microscope lithography on silicon"

    DEFF Research Database (Denmark)

    Birkelund, Karen; Thomsen, Erik Vilain; Rasmussen, Jan Pihl

    1997-01-01

    We have investigated new approaches to the formation of conducting nanowires on crystalline silicon surfaces using atomic force microscope (AFM) lithography. To increase processing speed and reduce wear of the AFM tip, large-scale structures are formed with a direct laser write setup, while the AFM...

  19. High-quality global hydrogen silsequioxane contact planarization for nanoimprint lithography

    NARCIS (Netherlands)

    Büyükköse, S.; Vratzov, Boris; van der Wiel, Wilfred Gerard

    2011-01-01

    The authors present a novel global contact planarization technique based on the spin-on-glass material hydrogen silsequioxane (HSQ) and demonstrate its excellent performance on patterns of 70 nm up to several microns generated by UV-based nanoimprint lithography. The HSQ layer (∼165 nm) is spin

  20. Reverse pattern duplication utilizing a two-step metal lift-off process via nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Sun-Sik; Kim, Eun-Uk; Jung, Hee-Soo; Kim, Ki-Seok; Jung, Gun-Young

    2009-01-01

    A two-step metal lift-off process using a selective etching recipe was demonstrated as a new technique for the reverse pattern fabrication of the features of a master stamp via a UV-based nanoimprint lithography technique. A transparent master stamp with repeated pillars (150 nm diameter at 300 nm pitch) was fabricated by using laser interference lithography and the subsequent dry-etching process. After nanoimprint lithography and the following gold (Au) lift-off process, the corresponding gold dots (20 nm height) were generated. A thin chromium layer (Cr, 5 nm) was then deposited and subjected to the aqua regia solution, which dissolved only Au dots. By using a selective wet etching recipe between gold (Au) and chromium (Cr) materials, a Cr layer with holes was reliably generated, which was used as an etching mask to transfer holes into the silicon substrate in the subsequent dry-etching process. Hole patterns with a diameter of 146 nm were inversely replicated faithfully from the master stamp with the corresponding pillars without a notable feature size distortion

  1. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Jingfeng; Lu, Haidong; Gruverman, Alexei; Ducharme, Stephen; Li, Shumin; Tan, Li

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics. (paper)

  2. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    Science.gov (United States)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  3. Influence of surface chemistry on inkjet printed carbon nanotube films

    International Nuclear Information System (INIS)

    Hopkins, Alan R.; Straw, David C.; Spurrell, Kathryn C.

    2011-01-01

    Carbon nanotube ink chemistry and the proper formulation are crucial for direct-write printing of nanotubes. Moreover, the correct surface chemistry of the self-assembled monolayers that assist the direct deposition of carbon nanotubes onto the substrate is equally important to preserve orientation of the printed carbon nanotubes. We report that the successful formulation of two single walled carbon nanotube (SWNT) inks yields a consistent, homogenous printing pattern possessing the requisite viscosities needed for flow through the microcapillary nozzles of the inkjet printer with fairly modest drying times. The addition of an aqueous sodium silicate allows for a reliable method for forming a uniform carbon nanotube network deposited directly onto unfunctionalized surfaces such as glass or quartz via inkjet deposition. Furthermore, this sodium silicate ingredient helps preserve applied orientation to the printed SWNT solution. Sheet resistivity of this carbon nanotube ink formula printed on quartz decreases as a function of passes and is independent of the substrate. SWNTs were successfully patterned on Au. This amine-based surface chemistry dramatically helps improve the isolation stabilization of the printed SWNTs as seen in the atomic force microscopy (AFM) image. Lastly, using our optimized SWNT ink formula and waveform parameters in the Fuji materials printer, we are able to directly write/print SWNTs into 2D patterns. Dried ink pattern expose and help orient roped carbon nanotubes that are suspended in ordered arrays across the cracks.

  4. Laser micromachining of screen-printed graphene for forming electrode structures

    International Nuclear Information System (INIS)

    Chang, Tien-Li; Chen, Zhao-Chi; Tseng, Shih-Feng

    2016-01-01

    Highlights: • Homogeneous graphene films were prepared by the screen-printing process. • Optimal single-line ablation was performed by ultraviolet nanosecond laser pulses. • Influence of ablation parameters on graphene/glass substrate was clarified. • Electrical measurements of ablated graphene-based device can be investigated. - Abstract: There has been increasing research interest in electronic applications of graphene-based devices fabricated using electrode patterning techniques. This study presents a laser ablation technique along with a screen printing process for fabricating graphene patterns on a glass substrate. First, homogeneous multilayer films on the glass substrate are coated with graphene ink by using the screen printing process. Subsequently, optimal ablation was performed using an ultraviolet nanosecond laser, and the effective number of pulses decreased with an increase in the scanning speed and a decrease in the overlapping rate. Here, the pulsed overlap of a laser spot was determined to be approximately 90% for 75 pulses at a scanning speed of 250 mm/s. Experimental results showed continuous single-line ablation along the laser scanning path in the graphene films. Furthermore, linear current–voltage (I–V) curves showed the multilayer graphene characteristics of ablated devices for forming electrode structures.

  5. Prospective use of the 3D printing technology for the microstructural engineering of Solid Oxide Fuel Cell components

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Rodriguez, E. M.; Acosta-Mora, P.; Mendez-Ramos, J.; Borges Chinea, E.; Esparza Ferrera, P.; Canales-Vazquez, J.; Nunez, P.; Ruiz-Morales, J.

    2014-07-01

    A cost-effective micro-manufacturing process to accurately build 3D microstructures for their prospective use in the fabrication of Solid Oxide Fuel Cells components has been tested. The 3D printing method, based on the stereo lithography, allows solidifying layer by layer a dispersion of ceramic material in a liquid photosensitive organic monomer. A simple projector, a computer-controlled z-stage and a few PowerPoint slides may be used for the fabrication of a wide range of complex 3D microstructures in few minutes. In this work, 3D ceramic microstructures based on the yttria-stabilized zirconia (YSZ) were successfully fabricated. The micro structured ceramic components produced were stable after sintering at 1400 degree centigrade for 4 h. Impedance measurements show that the fabrication process does not have any detrimental effect on the electrical properties of the structured material. (Author)

  6. Prospective use of the 3D printing technology for the microstructural engineering of Solid Oxide Fuel Cell components

    International Nuclear Information System (INIS)

    Hernandez-Rodriguez, E. M.; Acosta-Mora, P.; Mendez-Ramos, J.; Borges Chinea, E.; Esparza Ferrera, P.; Canales-Vazquez, J.; Nunez, P.; Ruiz-Morales, J.

    2014-01-01

    A cost-effective micro-manufacturing process to accurately build 3D microstructures for their prospective use in the fabrication of Solid Oxide Fuel Cells components has been tested. The 3D printing method, based on the stereo lithography, allows solidifying layer by layer a dispersion of ceramic material in a liquid photosensitive organic monomer. A simple projector, a computer-controlled z-stage and a few PowerPoint slides may be used for the fabrication of a wide range of complex 3D microstructures in few minutes. In this work, 3D ceramic microstructures based on the yttria-stabilized zirconia (YSZ) were successfully fabricated. The micro structured ceramic components produced were stable after sintering at 1400 degree centigrade for 4 h. Impedance measurements show that the fabrication process does not have any detrimental effect on the electrical properties of the structured material. (Author)

  7. The Design of 3D-Printed Lattice-Reinforced Thickness-Varying Shell Molds for Castings.

    Science.gov (United States)

    Shangguan, Haolong; Kang, Jinwu; Yi, Jihao; Zhang, Xiaochuan; Wang, Xiang; Wang, Haibin; Huang, Tao

    2018-03-30

    3D printing technologies have been used gradually for the fabrication of sand molds and cores for castings, even though these molds and cores are dense structures. In this paper, a generation method for lattice-reinforced thickness-varying shell molds is proposed and presented. The first step is the discretization of the STL (Stereo Lithography) model of a casting into finite difference meshes. After this, a shell is formed by surrounding the casting with varying thickness, which is roughly proportional to the surface temperature distribution of the casting that is acquired by virtually cooling it in the environment. A regular lattice is subsequently constructed to support the shell. The outside surface of the shell and lattice in the cubic mesh format is then converted to STL format to serve as the external surface of the new shell mold. The internal surface of the new mold is the casting's surface with the normals of all of the triangles in STL format reversed. Experimental verification was performed on an Al alloy wheel hub casting. Its lattice-reinforced thickness-varying shell mold was generated by the proposed method and fabricated by the binder jetting 3D printing. The poured wheel hub casting was sound and of good surface smoothness. The cooling rate of the wheel hub casting was greatly increased due to the shell mold structure. This lattice-reinforced thickness-varying shell mold generation method is of great significance for mold design for castings to achieve cooling control.

  8. Nano imprint lithography of textures for light trapping in thin film silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Soppe, W.J.; Dorenkamper, M.S.; Notta, J.B.; Pex, P.P.A.C. [ECN-Solliance, High Tech Campus 5, 5656 AE Eindhoven (Netherlands); Schipper, W.; Wilde, R. [Nanoptics GmbH, Innungsstrasse 5, 21244 Buchholz (Germany)

    2012-09-15

    Nano Imprint Lithography (NIL) is a versatile and commercially viable technology for fabrication of structures for light trapping in solar cells. We demonstrate the applicability of NIL in thin film silicon solar cells in substrate configuration, where NIL is used to fabricate a textured rear contact of the solar cells. We applied random structures, based on the natural texture of SnO:F grown by APCVD, and designed 2D periodic structures and show that for single junction {mu}c-Si cells these textured rear contacts lead to an increase of Jsc of more than 40 % in comparison to cells with flat rear contacts. Cells on optimized periodic textures showed higher fill factors which can be attributed to reduced microcrack formation, leading to less shunting in comparison to cells on random textures.

  9. [Use of four kinds of three-dimensional printing guide plate in bone tumor resection and reconstruction operation].

    Science.gov (United States)

    Fu, Jun; Guo, Zheng; Wang, Zhen; Li, Xiangdong; Fan, Hongbin; Li, Jing; Pei, Yanjun; Pei, Guoxian; Li, Dan

    2014-03-01

    To explore the effectiveness of excision and reconstruction of bone tumor by using operation guide plate made by variety of three-dimensional (3-D) printing techniques, and to compare the advantages and disadvantages of different 3-D printing techniques in the manufacture and application of operation guide plate. Between September 2012 and January 2014, 31 patients with bone tumor underwent excision and reconstruction of bone tumor by using operation guide plate. There were 19 males and 12 females, aged 6-67 years (median, 23 years). The disease duration ranged from 15 days to 12 months (median, 2 months). There were 13 cases of malignant tumor and 18 cases of benign tumor. The tumor located in the femur (9 cases), the spine (7 cases), the tibia (6 cases), the pelvis (5 cases), the humerus (3 cases), and the fibula (1 case). Four kinds of 3-D printing technique were used in processing operation guide plate: fused deposition modeling (FDM) in 9 cases, stereo lithography appearance (SLA) in 14 cases, 3-D printing technique in 5 cases, and selective laser sintering (SLS) in 3 cases; the materials included ABS resin, photosensitive resin, plaster, and aluminum alloy, respectively. Before operation, all patients underwent thin layer CT scanning (0.625 mm) in addition to conventional imaging. The data were collected for tumor resection design, and operation guide plate was designed on the basis of excision plan. Preoperatively, the operation guide plates were made by 3-D printing equipment. After sterilization, the guide plates were used for excision and reconstruction of bone tumor. The time of plates processing cycle was recorded to analyse the efficiency of 4 kinds of 3-D printing techniques. The time for design and operation and intraoperative fluoroscopy frequency were recorded. Twenty-eight patients underwent similar operations during the same period as the control group. The processing time of operation guide plate was (19.3 +/- 6.5) hours in FDM, (5.2 +/- 1

  10. Fast thermal nanoimprint lithography by a stamp with integrated heater

    DEFF Research Database (Denmark)

    Tormen, Massimo; Malureanu, Radu; Pedersen, Rasmus Haugstrup

    2008-01-01

    We propose fast nanoimprinting lithography (NIL) process based on the use of stamps with integrated heater. The latter consists of heavily ion implantation n-type doped silicon layer buried below the microstructured surface of the stamp. The stamp is heated by Joule effect, by 50 μs 25 Hz...

  11. Influence of cell printing on biological characters of chondrocytes.

    Science.gov (United States)

    Qu, Miao; Gao, Xiaoyan; Hou, Yikang; Shen, Congcong; Xu, Yourong; Zhu, Ming; Wang, Hengjian; Xu, Haisong; Chai, Gang; Zhang, Yan

    2015-01-01

    To establish a two-dimensional biological printing technique of chondrocytes and compare the difference of related biological characters between printed chondrocytes and unprinted cells so as to control the cell transfer process and keep cell viability after printing. Primary chondrocytes were obtained from human mature and fetal cartilage tissues and then were regularly sub-cultured to harvest cells at passage 2 (P2), which were adjusted to the single cell suspension at a density of 1×10(6)/mL. The experiment was divided into 2 groups: experimental group P2 chondrocytes were transferred by rapid prototype biological printer (driving voltage value 50 V, interval in x-axis 300 μm, interval in y-axis 1500 μm). Afterwards Live/Dead viability Kit and flow cytometry were respectively adopted to detect cell viability; CCK-8 Kit was adopted to detect cell proliferation viability; immunocytochemistry, immunofluorescence and RT-PCR was employed to identify related markers of chondrocytes; control group steps were the same as the printing group except that cell suspension received no printing. Fluorescence microscopy and flow cytometry analyses showed that there was no significant difference between experimental group and control group in terms of cell viability. After 7-day in vitro culture, control group exhibited higher O.D values than experimental group from 2nd day to 7th day but there was no distinct difference between these two groups (P>0.05). Inverted microscope observation demonstrated that the morphology of these two groups had no significant difference either. Similarly, Immunocytochemistry, immunofluorescence and RT-PCR assays also showed that there was no significant difference in the protein and gene expression of type II collagen and aggrecan between these two groups (P>0.05). Conclusion Cell printing has no distinctly negative effect on cell vitality, proliferation and phenotype of chondrocytes. Biological printing technique may provide a novel approach

  12. Print Finishing: From Manual to Automated Print Finishing

    Directory of Open Access Journals (Sweden)

    Gareth Ward

    2004-12-01

    Full Text Available Meeting the demand for faster turnrounds and shorter print runs goes beyond making the printing press easier to set up and change. There is little point in producing plates and then sheets from a press if the post press area does not change to keep abreast of developments in prepress and the print room. The greatest impact is going to come from JDF, the end to end production data format which is finding wide spread acceptance in print areas. To date finishing equipment manufacturers are not as well represented within the CIP4 organisation as prepress and press vendors, but the major manufacturers are members. All are working to the goal of complete connectivity.The idea of JDF is that if the format of a print product like a magazine is known during the creation phases, the information can be used to preset machinery that is going to be used to produce it, so avoiding input errors and saving manufacturing time.A second aspect to JDF is that information about performance and progress is gathered and can be retrieved from a central point or made available to a customer. Production scheduling and costing becomes more accurate and customer relationships are deepened. However JDF to its fullest extent is not yet in use in connecting the finishing area to the rest of the printing plant. Around the world different companies are testing the idea of JDF to connect saddle stitchers, guillotines and binders with frantic work underway to be able to show results soon.

  13. Multi-shape active composites by 3D printing of digital shape memory polymers.

    Science.gov (United States)

    Wu, Jiangtao; Yuan, Chao; Ding, Zhen; Isakov, Michael; Mao, Yiqi; Wang, Tiejun; Dunn, Martin L; Qi, H Jerry

    2016-04-13

    Recent research using 3D printing to create active structures has added an exciting new dimension to 3D printing technology. After being printed, these active, often composite, materials can change their shape over time; this has been termed as 4D printing. In this paper, we demonstrate the design and manufacture of active composites that can take multiple shapes, depending on the environmental temperature. This is achieved by 3D printing layered composite structures with multiple families of shape memory polymer (SMP) fibers - digital SMPs - with different glass transition temperatures (Tg) to control the transformation of the structure. After a simple single-step thermomechanical programming process, the fiber families can be sequentially activated to bend when the temperature is increased. By tuning the volume fraction of the fibers, bending deformation can be controlled. We develop a theoretical model to predict the deformation behavior for better understanding the phenomena and aiding the design. We also design and print several flat 2D structures that can be programmed to fold and open themselves when subjected to heat. With the advantages of an easy fabrication process and the controllable multi-shape memory effect, the printed SMP composites have a great potential in 4D printing applications.

  14. Multi-shape active composites by 3D printing of digital shape memory polymers

    Science.gov (United States)

    Wu, Jiangtao; Yuan, Chao; Ding, Zhen; Isakov, Michael; Mao, Yiqi; Wang, Tiejun; Dunn, Martin L.; Qi, H. Jerry

    2016-04-01

    Recent research using 3D printing to create active structures has added an exciting new dimension to 3D printing technology. After being printed, these active, often composite, materials can change their shape over time; this has been termed as 4D printing. In this paper, we demonstrate the design and manufacture of active composites that can take multiple shapes, depending on the environmental temperature. This is achieved by 3D printing layered composite structures with multiple families of shape memory polymer (SMP) fibers - digital SMPs - with different glass transition temperatures (Tg) to control the transformation of the structure. After a simple single-step thermomechanical programming process, the fiber families can be sequentially activated to bend when the temperature is increased. By tuning the volume fraction of the fibers, bending deformation can be controlled. We develop a theoretical model to predict the deformation behavior for better understanding the phenomena and aiding the design. We also design and print several flat 2D structures that can be programmed to fold and open themselves when subjected to heat. With the advantages of an easy fabrication process and the controllable multi-shape memory effect, the printed SMP composites have a great potential in 4D printing applications.

  15. A high speed electrohydrodynamic (EHD) jet printing method for line printing

    International Nuclear Information System (INIS)

    Phung, Thanh Huy; Kim, Seora; Kwon, Kye-Si

    2017-01-01

    Electrohydrodynamic (EHD) jet printing has drawn attention due to its capability to produce smaller dots and patterns with finer lines when compared to those obtained from using conventional inkjet printing. Previous studies have suggested that drop-on-demand EHD-patterning applications should be limited to very slow printing cases with speeds far less than 10 mm s −1 due to the small dot size and limited jetting frequency. In this study, a new EHD printing method is proposed to significantly increase the line-patterning printing speed by modifying the ink and thereby changing the relic shape. The proposed method has the additional advantage of reducing the line-pattern width. The results of the experiment show that the pattern width could be reduced from 20 µ m to 4 µ m by increasing the printing speed from 10 mm s −1 to 50 mm s −1 , respectively. (paper)

  16. Photosensitive naturally derived resins toward optical 3-D printing

    Science.gov (United States)

    Skliutas, Edvinas; Kasetaite, Sigita; Jonušauskas, Linas; Ostrauskaite, Jolita; Malinauskas, Mangirdas

    2018-04-01

    Recent advances in material engineering have shown that renewable raw materials, such as plant oils or glycerol, can be applied for synthesis of polymers due to ready availability, inherent biodegradability, limited toxicity, and existence of modifiable functional groups and eventually resulting to a potentially lower cost. After additional chemical modifications (epoxidation, acrylation, double bonds metathesis, etc.), they can be applied in such high-tech areas as stereolithography, which allows fabrication of three-dimensional (3-D) objects. "Autodesk's" 3-D optical printer "Ember" using 405-nm light was implemented for dynamic projection lithography. It enabled straightforward spatio-selective photopolymerization on demand, which allows development of various photosensitive materials. The bio-based resins' photosensitivity was compared to standard "Autodesk" "PR48" and "Formlabs" "Clear" materials. It turned out that the bioresins need a higher energy dose to be cured (a least 16 J · cm - 2 for a single layer varying from 100 to 130 μm). Despite this, submillimeter range 2.5-D structural features were formed, and their morphology was assessed by optical profilometer and scanning electron microscope. It was revealed that a higher exposition dose (up to 26 J · cm - 2) results in a linear increase in the formed structures height, proving controllability of the undergoing process. Overall, the provided results show that naturally derived resins are suitable candidates for tabletop gray-tone lithography.

  17. Engraving Print Classification

    International Nuclear Information System (INIS)

    Hoelck, Daniel; Barbe, Joaquim

    2008-01-01

    A print is a mark, or drawing, made in or upon a plate, stone, woodblock or other material which is cover with ink and then is press usually into a paper reproducing the image on the paper. Engraving prints usually are image composed of a group of binary lines, specially those are made with relief and intaglio techniques. Varying the number and the orientation of lines, the drawing of the engraving print is conformed. For this reason we propose an application based on image processing methods to classify engraving prints

  18. 3D-printed microfluidic chips with patterned, cell-laden hydrogel constructs.

    Science.gov (United States)

    Knowlton, Stephanie; Yu, Chu Hsiang; Ersoy, Fulya; Emadi, Sharareh; Khademhosseini, Ali; Tasoglu, Savas

    2016-06-20

    Three-dimensional (3D) printing offers potential to fabricate high-throughput and low-cost fabrication of microfluidic devices as a promising alternative to traditional techniques which enables efficient design iterations in the development stage. In this study, we demonstrate a single-step fabrication of a 3D transparent microfluidic chip using two alternative techniques: a stereolithography-based desktop 3D printer and a two-step fabrication using an industrial 3D printer based on polyjet technology. This method, compared to conventional fabrication using relatively expensive materials and labor-intensive processes, presents a low-cost, rapid prototyping technique to print functional 3D microfluidic chips. We enhance the capabilities of 3D-printed microfluidic devices by coupling 3D cell encapsulation and spatial patterning within photocrosslinkable gelatin methacryloyl (GelMA). The platform presented here serves as a 3D culture environment for long-term cell culture and growth. Furthermore, we have demonstrated the ability to print complex 3D microfluidic channels to create predictable and controllable fluid flow regimes. Here, we demonstrate the novel use of 3D-printed microfluidic chips as controllable 3D cell culture environments, advancing the applicability of 3D printing to engineering physiological systems for future applications in bioengineering.

  19. Printing Insecurity? The Security Implications of 3D-Printing of Weapons

    OpenAIRE

    Walther, Gerald

    2014-01-01

    In 2013, the first gun printed out of plastic by a 3D-printer was successfully fired in the US. This event caused a major media hype about the dangers of being able to print a gun. Law enforcement agencies worldwide were concerned about this development and the potentially huge security implications of these functional plastic guns. As a result, politicians called for a ban of these weapons and a control of 3D-printing technology. This paper reviews the security implications of 3D-printing te...

  20. Methodical bases of perceptual mapping of printing industry companies

    Directory of Open Access Journals (Sweden)

    Kalinin Pavel

    2017-01-01

    Full Text Available This is to study the methodological foundations of perceptual mapping in printing industry enterprises. This research has a practice focus which affects the choice of its methodological framework. The authors use such scientific research as analysis of cause-effect relationships, synthesis, problem analysis, expert evaluation and image visualization methods. In this paper, the authors present their assessment of the competitive environment of major printing industry companies in Kirov oblast; their assessment employs perceptual mapping enables by Minitab 14. This technique can be used by experts in the field of marketing and branding to assess the competitive environment in any market. The object of research is printing industry in Kirov oblast. The most important conclusion of this study is that in perceptual mapping, all the parameters are integrated in a single system and provide a more objective view of the company’s market situation.

  1. Preparing patterned carbonaceous nanostructures directly by overexposure of PMMA using electron-beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Duan Huigao; Zhao Jianguo; Zhang Yongzhe; Xie Erqing [School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Han Li [Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China)], E-mail: duanhg@gmail.com, E-mail: xieeq@lzu.edu.cn

    2009-04-01

    The overexposure process of poly(methyl methacrylate) (PMMA) was studied in detail using electron-beam lithography. It was found that PMMA films could be directly patterned without development due to the electron-beam-induced collapse of PMMA macromolecular chains. By analyzing the evolution of surface morphologies and compositions of the overexposed PMMA films, it was also found that the transformation of PMMA from positive to negative resist was a carbonization process, so patterned carbonaceous nanostructures could be prepared directly by overexposure of PMMA using electron-beam lithography. This simple one-step process for directly obtaining patterned carbonaceous nanostructures has promising potential application as a tool to make masks and templates, nanoelectrodes, and building blocks for MEMS and nanophotonic devices.

  2. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    Science.gov (United States)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  3. Assessment of printability for printed electronics patterns by measuring geometric dimensions and defining assessment parameters

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Sung Woong [Dept. of Robotics Engineering, Daegu Gyeongbuk Institute of Science and Technology (DGIST), Daegu (Korea, Republic of); Kim, Cheol; Kim, Chung Hwan [Chungnam National University, Daejeon (Korea, Republic of)

    2016-12-15

    The printability of patterns for printed electronic devices determines the performance, yield rate, and reliability of the devices; therefore, it should be assessed quantitatively. In this paper, parameters for printability assessment of printed patterns for width, pinholes, and edge waviness are suggested. For quantitative printability assessment, printability grades for each parameter are proposed according to the parameter values. As examples of printability assessment, printed line patterns and mesh patterns obtained using roll-to-roll gravure printing are used. Both single-line patterns and mesh patterns show different levels of printability, even in samples obtained using the same printing equipment and conditions. Therefore, for reliable assessment, it is necessary to assess the printability of the patterns by enlarging the sampling area and increasing the number of samples. We can predict the performance of printed electronic devices by assessing the printability of the patterns that constitute them.

  4. Assessment of printability for printed electronics patterns by measuring geometric dimensions and defining assessment parameters

    International Nuclear Information System (INIS)

    Jeon, Sung Woong; Kim, Cheol; Kim, Chung Hwan

    2016-01-01

    The printability of patterns for printed electronic devices determines the performance, yield rate, and reliability of the devices; therefore, it should be assessed quantitatively. In this paper, parameters for printability assessment of printed patterns for width, pinholes, and edge waviness are suggested. For quantitative printability assessment, printability grades for each parameter are proposed according to the parameter values. As examples of printability assessment, printed line patterns and mesh patterns obtained using roll-to-roll gravure printing are used. Both single-line patterns and mesh patterns show different levels of printability, even in samples obtained using the same printing equipment and conditions. Therefore, for reliable assessment, it is necessary to assess the printability of the patterns by enlarging the sampling area and increasing the number of samples. We can predict the performance of printed electronic devices by assessing the printability of the patterns that constitute them

  5. Use of the optical lithography in the development of disposable carbon based electrodes - doi: 10.4025/actascitechnol.v35i1.11915

    Directory of Open Access Journals (Sweden)

    Lucilene Dornelles Mello

    2013-01-01

    Full Text Available In this study, carbon-based electrodes for disposable use were constructed using the technique of optical lithography. The process consisted in the irradiation of UV light on a layer of photosensitive resin (SU-8 50 deposited on a substrate of PVC. The pattern obtained electrode was filled with carbon paste. The electrodes were characterized by cyclic voltammetric using the reversible system Fe(CN63-/Fe(CN64- in KCl 0.1 mol L-1 and electrochemical impedance spectroscopy (EIS. The electrodes showed an E°’ = ½ (Epa + Epc » 229 (± 2 mV vs SCE (n = 4, with DEp » 235 (± 14 mV (n = 4. Other studies showed a linear behavior of the peak current (Ip both anode and cathode with v1/2, probably due to diffuse contribution and/or electron transfer kinetics of the reaction. These parameters are in accordance to with those obtained for screen-printed electrode described in the literature. The good results obtained show the suitability of the electrodes for analytical applications such as development of sensors.  

  6. Driving imaging and overlay performance to the limits with advanced lithography optimization

    Science.gov (United States)

    Mulkens, Jan; Finders, Jo; van der Laan, Hans; Hinnen, Paul; Kubis, Michael; Beems, Marcel

    2012-03-01

    Immersion lithography is being extended to 22-nm and even below. Next to generic scanner system improvements, application specific solutions are needed to follow the requirements for CD control and overlay. Starting from the performance budgets, this paper discusses how to improve (in volume manufacturing environment) CDU towards 1-nm and overlay towards 3-nm. The improvements are based on deploying the actuator capabilities of the immersion scanner. The latest generation immersion scanners have extended the correction capabilities for overlay and imaging, offering freeform adjustments of lens, illuminator and wafer grid. In order to determine the needed adjustments the recipe generation per user application is based on a combination wafer metrology data and computational lithography methods. For overlay, focus and CD metrology we use an angle resolved optical scatterometer.

  7. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication

    Science.gov (United States)

    Zhao, Yiping; Jansen, Henri; de Boer, Meint; Berenschot, Erwin; Bouwes, Dominique; Gironès, Miriam; Huskens, Jurriaan; Tas, Niels

    2010-09-01

    Edge lithography in combination with fluorine-based plasma etching is employed to avoid the dependence on crystal orientation in single crystal silicon to create monolithic nanoridges with arbitrary contours. This is demonstrated by using a mask with circular structures and Si etching at cryogenic temperature with SF6+O2 plasma mixtures. Initially, the explored etch recipe was used with Cr as the masking material. Although nanoridges with perfect vertical sidewalls have been achieved, Cr causes severe sidewall roughness due to line edge roughness. Therefore, an SU-8 polymer is used instead. Although the SU-8 pattern definition needs further improvement, we demonstrate the possibility of fabricating Si nanoridges of arbitrary contours providing a width below 50 nm and a height between 25 and 500 nm with smooth surface finish. Artifacts in the ridge profile are observed and are mainly caused by the bird's beak phenomenon which is characteristic for the used LOCOS process.

  8. Adult rat retinal ganglion cells and glia can be printed by piezoelectric inkjet printing

    International Nuclear Information System (INIS)

    Lorber, Barbara; Martin, Keith R; Hsiao, Wen-Kai; Hutchings, Ian M

    2014-01-01

    We have investigated whether inkjet printing technology can be extended to print cells of the adult rat central nervous system (CNS), retinal ganglion cells (RGC) and glia, and the effects on survival and growth of these cells in culture, which is an important step in the development of tissue grafts for regenerative medicine, and may aid in the cure of blindness. We observed that RGC and glia can be successfully printed using a piezoelectric printer. Whilst inkjet printing reduced the cell population due to sedimentation within the printing system, imaging of the printhead nozzle, which is the area where the cells experience the greatest shear stress and rate, confirmed that there was no evidence of destruction or even significant distortion of the cells during jet ejection and drop formation. Importantly, the viability of the cells was not affected by the printing process. When we cultured the same number of printed and non-printed RGC/glial cells, there was no significant difference in cell survival and RGC neurite outgrowth. In addition, use of a glial substrate significantly increased RGC neurite outgrowth, and this effect was retained when the cells had been printed. In conclusion, printing of RGC and glia using a piezoelectric printhead does not adversely affect viability and survival/growth of the cells in culture. Importantly, printed glial cells retain their growth-promoting properties when used as a substrate, opening new avenues for printed CNS grafts in regenerative medicine. (paper)

  9. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    Science.gov (United States)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  10. Fabrication of biopolymer cantilevers using nanoimprint lithography

    DEFF Research Database (Denmark)

    Keller, Stephan Sylvest; Feidenhans'l, Nikolaj Agentoft; Fisker-Bødker, Nis

    2011-01-01

    The biodegradable polymer poly(l-lactide) (PLLA) was introduced for the fabrication of micromechanical devices. For this purpose, thin biopolymer films with thickness around 10 μm were spin-coated on silicon substrates. Patterning of microcantilevers is achieved by nanoimprint lithography. A major...... challenge was the high adhesion between PLLA and silicon stamp. Optimized stamp fabrication and the deposition of a 125 nm thick fluorocarbon anti-stiction coating on the PLLA allowed the fabrication of biopolymer cantilevers. Resonance frequency measurements were used to estimate the Young’s modulus...

  11. Stop Flow Lithography Synthesis and Characterization of Structured Microparticles

    Directory of Open Access Journals (Sweden)

    David Baah

    2014-01-01

    Full Text Available In this study, the synthesis of nonspherical composite particles of poly(ethylene glycol diacrylate (PEG-DA/SiO2 and PEG-DA/Al2O3 with single or multiple vias and the corresponding inorganic particles of SiO2 and Al2O3 synthesized using the Stop Flow Lithography (SFL method is reported. Precursor suspensions of PEG-DA, 2-hydroxy-2-methylpropiophenone, and SiO2 or Al2O3 nanoparticles were prepared. The precursor suspension flows through a microfluidic device mounted on an upright microscope and is polymerized in an automated process. A patterned photomask with transparent geometric features masks UV light to synthesize the particles. Composite particles with vias were synthesized and corresponding inorganic SiO2 and Al2O3 particles were obtained through polymer burn-off and sintering of the composites. The synthesis of porous inorganic particles of SiO2 and Al2O3 with vias and overall dimensions in the range of ~35–90 µm was achieved. BET specific surface area measurements for single via inorganic particles were 56–69 m2/g for SiO2 particles and 73–81 m2/g for Al2O3 particles. Surface areas as high as 114 m2/g were measured for multivia cubic SiO2 particles. The findings suggest that, with optimization, the particles should have applications in areas where high surface area is important such as catalysis and sieving.

  12. Fabrication of phosphor micro-grids using proton beam lithography

    International Nuclear Information System (INIS)

    Rossi, Paolo; Antolak, Arlyn J.; Provencio, Paula Polyak; Doyle, Barney Lee; Malmqvist, Klas; Hearne, Sean Joseph; Nilsson, Christer; Kristiansson, Per; Wegden, Marie; Elfman, Mikael; Pallon, Jan; Auzelyte, Vaida

    2005-01-01

    A new nuclear microscopy technique called ion photon emission microscopy or IPEM was recently invented. IPEM allows analysis involving single ions, such as ion beam induced charge (IBIC) or single event upset (SEU) imaging using a slightly modified optical microscope. The spatial resolution of IPEM is currently limited to more than 10 (micro)m by the scattering and reflection of ion-induced photons, i.e. light blooming or spreading, in the ionoluminescent phosphor layer. We are developing a 'Microscopic Gridded Phosphor' (also called Black Matrix) where the phosphor nanocrystals are confined within the gaps of a micrometer scale opaque grid, which limits the amount of detrimental light blooming. MeV-energy proton beam lithography is ideally suited to lithographically form masks for the grid because of high aspect ratio, pattern density and sub-micron resolution of this technique. In brief, the fabrication of the grids was made in the following manner: (1) a MeV proton beam focused to 1.5-2 (micro)m directly fabricated a matrix of pillars in a 15 (micro)m thick SU-8 lithographic resist; (2) 7:1 aspect ratio pillars were then formed by developing the proton exposed area; (3) Ni (Au) was electrochemically deposited onto Cu-coated Si from a sulfamate bath (or buffered CN bath); (4) the SU-8 pillars were removed by chemical etching; finally (5) the metal micro-grid was freed from its substrate by etching the underlying Cu layer. Our proposed metal micro-grids promise an order-of-magnitude improvement in the resolution of IPEM.

  13. Laser printed interconnects for flexible electronics

    Science.gov (United States)

    Pique, Alberto; Beniam, Iyoel; Mathews, Scott; Charipar, Nicholas

    Laser-induced forward transfer (LIFT) can be used to generate microscale 3D structures for interconnect applications non-lithographically. The laser printing of these interconnects takes place through aggregation of voxels of either molten metal or dispersed metallic nanoparticles. However, the resulting 3D structures do not achieve the bulk conductivity of metal interconnects of the same cross-section and length as those formed by wire bonding or tab welding. It is possible, however, to laser transfer entire structures using a LIFT technique known as lase-and-place. Lase-and-place allows whole components and parts to be transferred from a donor substrate onto a desired location with one single laser pulse. This talk will present the use of LIFT to laser print freestanding solid metal interconnects to connect individual devices into functional circuits. Furthermore, the same laser can bend or fold the thin metal foils prior to transfer, thus forming compliant 3D structures able to provide strain relief due to flexing or thermal mismatch. Examples of these laser printed 3D metallic bridges and their role in the development of next generation flexible electronics by additive manufacturing will be presented. This work was funded by the Office of Naval Research (ONR) through the Naval Research Laboratory Basic Research Program.

  14. Advances in Home Photo Printing

    Institute of Scientific and Technical Information of China (English)

    Qian Lin; Brian Atkins; Huitao Luo

    2004-01-01

    With digital camera adoptions going main stream, consumers capture a record number of photos.Currently, the majority of the digital photos are printed at home. One of the key enablers of this transformation is the advancement of home photo printing technologies. In the past few years, inkjet printing technologies have continued to deliver smaller drop size, larger number of inks, and longer-lasting prints. In the mean time, advanced image processing automatically enhances captured digital photos while being printed. The combination of the above two forces has closed the gap between the home photo prints and AgX prints. It will give an overview of the home photo printing market and technology trends, and discuss major advancements in automatic image processing.

  15. Printed Electronics

    Science.gov (United States)

    Korkut, Sibel (Inventor); Chiang, Katherine S. (Inventor); Crain, John M. (Inventor); Aksay, Ilhan A. (Inventor); Lettow, John S. (Inventor); Chen, Chuan-Hua (Inventor); Prud'Homme, Robert K. (Inventor)

    2018-01-01

    Printed electronic device comprising a substrate onto at least one surface of which has been applied a layer of an electrically conductive ink comprising functionalized graphene sheets and at least one binder. A method of preparing printed electronic devices is further disclosed.

  16. 3D Printing and Digital Rock Physics for Geomaterials

    Science.gov (United States)

    Martinez, M. J.; Yoon, H.; Dewers, T. A.

    2015-12-01

    Imaging techniques for the analysis of porous structures have revolutionized our ability to quantitatively characterize geomaterials. Digital representations of rock from CT images and physics modeling based on these pore structures provide the opportunity to further advance our quantitative understanding of fluid flow, geomechanics, and geochemistry, and the emergence of coupled behaviors. Additive manufacturing, commonly known as 3D printing, has revolutionized production of custom parts with complex internal geometries. For the geosciences, recent advances in 3D printing technology may be co-opted to print reproducible porous structures derived from CT-imaging of actual rocks for experimental testing. The use of 3D printed microstructure allows us to surmount typical problems associated with sample-to-sample heterogeneity that plague rock physics testing and to test material response independent from pore-structure variability. Together, imaging, digital rocks and 3D printing potentially enables a new workflow for understanding coupled geophysical processes in a real, but well-defined setting circumventing typical issues associated with reproducibility, enabling full characterization and thus connection of physical phenomena to structure. In this talk we will discuss the possibilities that these technologies can bring to geosciences and present early experiences with coupled multiscale experimental and numerical analysis using 3D printed fractured rock specimens. In particular, we discuss the processes of selection and printing of transparent fractured specimens based on 3D reconstruction of micro-fractured rock to study fluid flow characterization and manipulation. Micro-particle image velocimetry is used to directly visualize 3D single and multiphase flow velocity in 3D fracture networks. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U

  17. Printing Has a Future

    Directory of Open Access Journals (Sweden)

    Hans Georg Wenke

    2004-12-01

    Full Text Available Printing will also be done in the future. Printed items meet basic needs and are deeply anchored in people’s habits. Being able to handle and collect printed matter is highly attractive. And paper is now more alive than ever. It is therefore too shortsighted to disclaim the importance of one of the still large economic sectors just because of a few looming-recession instigated market shifts.The exciting aspect of drupa 2004 is: printing will be reinvented, so to speak. Much more printing will be done in the future than at present. On the one hand, people are concentrating on process optimization and automation to ensure this. Measuring and testing, process control and optimization, and linking up "office software" with printing technology will be very central topics at drupa 2004. Electronics and print are not rivals; a symbiosis exists. And printing is high-tech: hardly any other multifaceted sector which has been so successful for centuries is as computerized as the printing industry.A series of "new chapters" in the variety of printing possibilities will be opened at drupa. Talk will be generated by further technical developments, often the connection between paper/cardboard and electronics, the link between the office world and graphics industry, text databases and their link-up to graphic page production tools, and "on the fly" dynamic printing over networks.All of this and more belongs to future potentialities, which are so substantial overall, the outlook is by no means black for the "black art". Like its predecessors, drupa 2004 is also a product trade fair. However, more than ever before in its history, it is also an "information village". The exhibits are useful, because they occasionally make what this means visible.

  18. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  19. Printing quality control automation

    Science.gov (United States)

    Trapeznikova, O. V.

    2018-04-01

    One of the most important problems in the concept of standardizing the process of offset printing is the control the quality rating of printing and its automation. To solve the problem, a software has been developed taking into account the specifics of printing system components and the behavior in printing process. In order to characterize the distribution of ink layer on the printed substrate the so-called deviation of the ink layer thickness on the sheet from nominal surface is suggested. The geometric data construction the surface projections of the color gamut bodies allows to visualize the color reproduction gamut of printing systems in brightness ranges and specific color sectors, that provides a qualitative comparison of the system by the reproduction of individual colors in a varying ranges of brightness.

  20. Solvents interactions with thermochromic print

    Directory of Open Access Journals (Sweden)

    Mirela Rožić

    2017-12-01

    Full Text Available In this study, the interactions between different solvents (benzene, acetone, cyclohexanone, various alcohols and water and thermochromic printing ink were investigated. Thermochromic printing ink was printed on metal surface. Components of thermochromic printing inks are polymeric microcapsules and classic yellow offset printing ink. Below its activation temperature, dye and developer within the microcapsules form a blue coloured complex. Therefore, thermochromic print is green. By heating above the activation temperature, blue colour of the complex turns into the leuco dye colourless state and the green colour of the prints turns into the yellow colour of the classic offset pigment. The results of the interaction with various solvents show that the thermochromic print is stable in all tested solvents except in ethanol, acetone and cyclohexanone. In ethanol, the green colour of the print becomes yellow. SEM analysis shows that microcapsules are dissolved. In acetone and cyclohexanone, the green colour of the print turns into blue, and the microcapsules become significantly more visible. Thus, the yellow pigment interacts with examined ketones. Based on the obtained interactions it can be concluded that the microcapsules have more polar nature than the classical pigment particles. Solvent-thermocromic print interactions were analysed using Hansen solubility parameters that rank the solvents based on their estimated interaction capabilities.

  1. Coagulation and Adsorption Treatment of Printing Ink Wastewater

    Directory of Open Access Journals (Sweden)

    Maja Klančnik

    2015-03-01

    Full Text Available The intention of the study was to improve the efficiency of total organic carbon (TOC and colour removal from the wastewater samples polluted with flexographic printing ink following coagulation treatments with further adsorption onto activated carbons and ground orange peel. The treatment efficiencies were compared to those of further flocculation treatments and of coagulation and adsorption processes individually. Coagulation was a relatively effective single-treatment method, removing 99.7% of the colour and 86.9% of the organic substances (TOC from the printing ink wastewater samples. Further flocculation did not further eliminate organic pollutants, whereas subsequent adsorption with 7 g/l of granular activated carbon further reduced organic substances by 35.1%, and adsorption with 7 g/l of powdered activated carbon further reduced organic substances by 59.3%. Orange peel was an inappropriate adsorbent for wastewater samples with low amounts of pollution, such as water that had been treated by coagulation. However, in highly polluted printing ink wastewater samples, the adsorption treatment with ground orange peel achieved efficiencies comparable to those of the granular activated carbon treatments.

  2. Innovation-Prototype. Making hydraulic and/or pneumatic plates using 3D printing technology

    Science.gov (United States)

    Alexa, V.; Rațiu, S. A.; Kiss, I.; Cioată, V. G.; Rackov, M.

    2018-01-01

    Start 3D printing allows hydraulic and/or pneumatic plates to be obtained from a single technological process without requiring further post-production operations. 3D printing with innovative materials in a rich colour range has several advantages such as: time-saving, cost is the same for any type of plate and its reported just to volume, fast and impossible realization of hydraulic and/or pneumatic links compared to traditional and high accuracy technologies.

  3. Nanomanipulation of 2 inch wafer fabrication of vertically aligned carbon nanotube arrays by nanoimprint lithography

    DEFF Research Database (Denmark)

    Bu, Ian Y. Y.; Eichhorn, Volkmar; Carlson, Kenneth

    2011-01-01

    Carbon nanotube (CNT) arrays are typically defined by electron beam lithography (EBL), and hence limited to small areas due to the low throughput. To obtain wafer‐scale fabrication we propose large area thermal nanoimprint lithography (NIL). A 2‐inch stamp master is defined using EBL for subsequent......, efficient production of wafer‐scale/larger arrays of CNTs has been achieved. The CNTs have been deposited by wafer‐scale plasma enhanced chemical vapour deposition (PECVD) of C2H2/NH3. Substrates containing such nanotubes have been used to automate nanorobotic manipulation sequences of individual CNTs...

  4. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    Science.gov (United States)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  5. Quadratic nonlinear optics to assess the morphology of riboflavin doped chitosan for eco-friendly lithography

    Science.gov (United States)

    Ray, Cédric; Caillau, Mathieu; Jonin, Christian; Benichou, Emmanuel; Moulin, Christophe; Salmon, Estelle; Maldonado, Melissa E.; Gomes, Anderson S. L.; Monnier, Virginie; Laurenceau, Emmanuelle; Leclercq, Jean-Louis; Chevolot, Yann; Delair, Thierry; Brevet, Pierre-François

    2018-06-01

    We report the use of the Second Harmonic Generation response from a riboflavin doped chitosan film as a characterization method of the film morphology. This film is of particular interest in the development of new and bio-sourced material for eco-friendly UV lithography. The method allows us to determine how riboflavin is distributed as a function of film depth in the sample. This possibility is of importance in order to have a better understanding of the riboflavin influence in chitosan films during the lithography process. On the contrary, linear optical techniques provide no information beyond the mere confirmation of the riboflavin presence.

  6. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun

    2009-04-06

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold was placed on a spin-coated UV-curable resin on a substrate. The polymer then moved into the cavity of the mold by capillary action and then solidified after exposure to UV radiation. The uncured resin was forced to migrate into the cavity of a micropatterned PDMS mold by capillarity, and then exposed to UV radiation under a high-energy mercury lamp with intensity. A rotary pump was then turned on, decreasing the air pressure in the chamber. SEM images were taken with a high-resolution SEM at an acceleration voltage greater than 15 kV. It was observed that when the air pressure was rapidly reduced to a low vacuum, the top layer moved into the nanochannels with a meniscus at the interface between the nanoscale PUA and the base structure.

  7. Ion projection lithography: November 2000 status and sub-70-nm prospects

    Science.gov (United States)

    Kaesmaier, Rainer; Wolter, Andreas; Loeschner, Hans; Schunck, Stefan

    2000-10-01

    Among all next generation lithography (NGL) options Ion Projection Lithography (IPL) offers the smallest (particle) wavelength of 5x10- 5nm (l00keV Helium ions). Thus, 4x reduction ion-optics has diffraction limits IOS) has been realized and assembled. In parallel to the PDT-IOS effort, at Leica Jena a test bench for a vertical vacuum 300mm-wafer stage has been realized. Operation of magnetic bearing supported stage movement has already been demonstrated. As ASML vacuum compatible optical wafer alignment system, with 3nm(3(sigma) ) precision demonstrated in air, has been integrated to this wafer test bench system recently. Parallel to the IPL tool development, Infineon Technologies Mask House and the Institute for Microelectronics Stuttgart are intensively working on the development of IPL stencil masks with success in producing 150mm and 200mm stencil masks as reported elsewhere. This paper is focused on information about the status of the PDT-IOS tool.

  8. New self-assembly strategies for next generation lithography

    Science.gov (United States)

    Schwartz, Evan L.; Bosworth, Joan K.; Paik, Marvin Y.; Ober, Christopher K.

    2010-04-01

    Future demands of the semiconductor industry call for robust patterning strategies for critical dimensions below twenty nanometers. The self assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. One approach is to use block copolymers that can be lithographically patterned by incorporating a negative-tone photoresist as the majority (matrix) phase of the block copolymer, paired with photoacid generator and a crosslinker moiety. In this system, poly(α-methylstyrene-block-hydroxystyrene)(PαMS-b-PHOST), the block copolymer is spin-coated as a thin film, processed to a desired microdomain orientation with long-range order, and then photopatterned. Therefore, selfassembly of the block copolymer only occurs in select areas due to the crosslinking of the matrix phase, and the minority phase polymer can be removed to produce a nanoporous template. Using bulk TEM analysis, we demonstrate how the critical dimension of this block copolymer is shown to scale with polymer molecular weight using a simple power law relation. Enthalpic interactions such as hydrogen bonding are used to blend inorganic additives in order to enhance the etch resistance of the PHOST block. We demonstrate how lithographically patternable block copolymers might fit in to future processing strategies to produce etch-resistant self-assembled features at length scales impossible with conventional lithography.

  9. Inkjet printing and inkjet infiltration of functional coatings for SOFCs fabrication

    Directory of Open Access Journals (Sweden)

    Tomov Rumen I.

    2016-01-01

    Full Text Available Inkjet printing fabrication and modification of electrodes and electrolytes of SOFCs were studied. Electromagnetic print-heads were utilized to reproducibly dispense droplets of inks at rates of several kHz on demand. Printing parameters including pressure, nozzle opening time and drop spreading were studied in order to optimize the inks jetting and delivery. Scanning electron microscopy revealed highly conformal ~ 6-10 μm thick dense electrolyte layers routinely produced on cermet and metal porous supports. Open circuit voltages ranging from 0.95 to 1.01 V, and a maximum power density of ~180 mW.cm−2 were measured at 750 °C on Ni-8YSZ/YSZ/LSM single cell 50×50 mm in size. The effect of anode and cathode microstructures on the electrochemical performance was investigated. Two - step fabrication of the electrodes using inkjet printing infiltration was implemented. In the first step the porous electrode scaffold was created printing suspension composite inks. During the second step inkjet printing infiltration was utilized for controllable loading of active elements and a formation of nano-grid decorations on the scaffolds radically reducing the activation polarization losses of both electrodes. Symmetrical cells of both types were characterized by impedance spectroscopy in order to reveal the relation between the microstructure and the electrochemical performance.

  10. Three-dimensional bio-printing.

    Science.gov (United States)

    Gu, Qi; Hao, Jie; Lu, YangJie; Wang, Liu; Wallace, Gordon G; Zhou, Qi

    2015-05-01

    Three-dimensional (3D) printing technology has been widely used in various manufacturing operations including automotive, defence and space industries. 3D printing has the advantages of personalization, flexibility and high resolution, and is therefore becoming increasingly visible in the high-tech fields. Three-dimensional bio-printing technology also holds promise for future use in medical applications. At present 3D bio-printing is mainly used for simulating and reconstructing some hard tissues or for preparing drug-delivery systems in the medical area. The fabrication of 3D structures with living cells and bioactive moieties spatially distributed throughout will be realisable. Fabrication of complex tissues and organs is still at the exploratory stage. This review summarize the development of 3D bio-printing and its potential in medical applications, as well as discussing the current challenges faced by 3D bio-printing.

  11. Printing at CERN

    CERN Multimedia

    Otto, R

    2007-01-01

    For many years CERN had a very sophisticated print server infrastructure which supported several different protocols (AppleTalk, IPX and TCP/IP) and many different printing standards. Today’s situation differs a lot: we have a much more homogenous network infrastructure, where TCP/IP is used everywhere and we have less printer models, which almost all work using current standards (i.e. they all provide PostScript drivers). This change gave us the possibility to review the printing architecture aiming at simplifying the infrastructure in order to achieve full automation of the service. The new infrastructure offers both: LPD service exposing print queues to Linux and Mac OS X computers and native printing for Windows based clients. The printer driver distribution is automatic and native on Windows and automated by custom mechanisms on Linux, where the appropriate Foomatic drivers are configured. Also the process of printer registration and queue creation is completely automated following the printer regis...

  12. Masks for high aspect ratio x-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.; Jackson, K.H.; Bonivert, W.D.; Hruby, J.

    1997-01-01

    Fabrication of very high aspect ratio microstructures, as well as ultra-high precision manufacturing is of increasing interest in a multitude of applications. Fields as diverse as micromechanics, robotics, integrated optics, and sensors benefit from this technology. The scale-length of this spatial regime is between what can be achieved using classical machine tool operations and that which is used in microelectronics. This requires new manufacturing techniques, such as the LIGA process, which combines x-ray lithography, electroforming, and plastic molding

  13. Scanning probe lithography for fabrication of Ti metal nanodot arrays

    International Nuclear Information System (INIS)

    Jung, B.; Jo, W.; Gwon, M.J.; Lee, E.; Kim, D.-W.

    2010-01-01

    We report fabrication of Ti metal nanodot arrays by scanning probe microscopic indentation. A thin poly-methylmethacrylate (PMMA) layer was spin-coated on Si substrates with thickness of 70 nm. Nanometer-size pore arrays were formed by indenting the PMMA layer using a cantilever of a scanning probe microscope. Protuberances with irregular boundaries appeared during the indentation process. Control of approach and pulling-out speed during indentation was able to dispose of the protrusions. Ti metal films were deposited on the patterned PMMA layers by a radio-frequency sputtering method and subsequently lifted off to obtain metal nanodot arrays. The fabricated metal nanodot arrays have 200 nm of diameter and 500 nm of interdistance, which corresponds to a density of 4x10 8 /cm 2 . Scanning probe-based measurement of current-voltage (I-V) behaviors for a single Ti metal nanodot showed asymmetric characteristics. Applying external bias is likely to induce oxidation of Ti metal, since the conductance decreased and volume change of the dots was observed. I-V behaviors of Ti metal nanodots by conventional e-beam lithography were also characterized for comparison.

  14. REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

    Science.gov (United States)

    McCord, Mark A.; Petric, Paul; Ummethala, Upendra; Carroll, Allen; Kojima, Shinichi; Grella, Luca; Shriyan, Sameet; Rettner, Charles T.; Bevis, Chris F.

    2012-03-01

    REBL (Reflective Electron Beam Lithography) is a novel concept for high speed maskless projection electron beam lithography. Originally targeting 45 nm HP (half pitch) under a DARPA funded contract, we are now working on optimizing the optics and architecture for the commercial silicon integrated circuit fabrication market at the equivalent of 16 nm HP. The shift to smaller features requires innovation in most major subsystems of the tool, including optics, stage, and metrology. We also require better simulation and understanding of the exposure process. In order to meet blur requirements for 16 nm lithography, we are both shrinking the pixel size and reducing the beam current. Throughput will be maintained by increasing the number of columns as well as other design optimizations. In consequence, the maximum stage speed required to meet wafer throughput targets at 16 nm will be much less than originally planned for at 45 nm. As a result, we are changing the stage architecture from a rotary design to a linear design that can still meet the throughput requirements but with more conventional technology that entails less technical risk. The linear concept also allows for simplifications in the datapath, primarily from being able to reuse pattern data across dies and columns. Finally, we are now able to demonstrate working dynamic pattern generator (DPG) chips, CMOS chips with microfabricated lenslets on top to prevent crosstalk between pixels.

  15. Cost effective solution using inverse lithography OPC for DRAM random contact layer

    Science.gov (United States)

    Jun, Jinhyuck; Hwang, Jaehee; Choi, Jaeseung; Oh, Seyoung; Park, Chanha; Yang, Hyunjo; Dam, Thuc; Do, Munhoe; Lee, Dong Chan; Xiao, Guangming; Choi, Jung-Hoe; Lucas, Kevin

    2017-04-01

    Many different advanced devices and design layers currently employ double patterning technology (DPT) as a means to overcome lithographic and OPC limitations at low k1 values. Certainly device layers with k1 value below 0.25 require DPT or other pitch splitting methodologies. DPT has also been used to improve patterning of certain device layers with k1 values slightly above 0.25, due to the difficulty of achieving sufficient pattern fidelity with only a single exposure. Unfortunately, this broad adoption of DPT also came with a significant increase in patterning process cost. In this paper, we discuss the development of a single patterning technology process using an integrated Inverse Lithography Technology (ILT) flow for mask synthesis. A single pattering technology flow will reduce the manufacturing cost for a k1 > 0.25 full chip random contact layer in a memory device by replacing the more expensive DPT process with ILT flow, while also maintaining good lithographic production quality and manufacturable OPC/RET production metrics. This new integrated flow consists of applying ILT to the difficult core region and traditional rule-based assist features (RBAFs) with OPC to the peripheral region of a DRAM contact layer. Comparisons of wafer results between the ILT process and the non-ILT process showed the lithographic benefits of ILT and its ability to enable a robust single patterning process for this low-k1 device layer. Advanced modeling with a negative tone develop (NTD) process achieved the accuracy levels needed for ILT to control feature shapes through dose and focus. Details of these afore mentioned results will be described in the paper.

  16. The Design of 3D-Printed Lattice-Reinforced Thickness-Varying Shell Molds for Castings

    Science.gov (United States)

    Shangguan, Haolong; Kang, Jinwu; Yi, Jihao; Zhang, Xiaochuan; Wang, Xiang; Wang, Haibin; Huang, Tao

    2018-01-01

    3D printing technologies have been used gradually for the fabrication of sand molds and cores for castings, even though these molds and cores are dense structures. In this paper, a generation method for lattice-reinforced thickness-varying shell molds is proposed and presented. The first step is the discretization of the STL (Stereo Lithography) model of a casting into finite difference meshes. After this, a shell is formed by surrounding the casting with varying thickness, which is roughly proportional to the surface temperature distribution of the casting that is acquired by virtually cooling it in the environment. A regular lattice is subsequently constructed to support the shell. The outside surface of the shell and lattice in the cubic mesh format is then converted to STL format to serve as the external surface of the new shell mold. The internal surface of the new mold is the casting’s surface with the normals of all of the triangles in STL format reversed. Experimental verification was performed on an Al alloy wheel hub casting. Its lattice-reinforced thickness-varying shell mold was generated by the proposed method and fabricated by the binder jetting 3D printing. The poured wheel hub casting was sound and of good surface smoothness. The cooling rate of the wheel hub casting was greatly increased due to the shell mold structure. This lattice-reinforced thickness-varying shell mold generation method is of great significance for mold design for castings to achieve cooling control. PMID:29601543

  17. The Design of 3D-Printed Lattice-Reinforced Thickness-Varying Shell Molds for Castings

    Directory of Open Access Journals (Sweden)

    Haolong Shangguan

    2018-03-01

    Full Text Available 3D printing technologies have been used gradually for the fabrication of sand molds and cores for castings, even though these molds and cores are dense structures. In this paper, a generation method for lattice-reinforced thickness-varying shell molds is proposed and presented. The first step is the discretization of the STL (Stereo Lithography model of a casting into finite difference meshes. After this, a shell is formed by surrounding the casting with varying thickness, which is roughly proportional to the surface temperature distribution of the casting that is acquired by virtually cooling it in the environment. A regular lattice is subsequently constructed to support the shell. The outside surface of the shell and lattice in the cubic mesh format is then converted to STL format to serve as the external surface of the new shell mold. The internal surface of the new mold is the casting’s surface with the normals of all of the triangles in STL format reversed. Experimental verification was performed on an Al alloy wheel hub casting. Its lattice-reinforced thickness-varying shell mold was generated by the proposed method and fabricated by the binder jetting 3D printing. The poured wheel hub casting was sound and of good surface smoothness. The cooling rate of the wheel hub casting was greatly increased due to the shell mold structure. This lattice-reinforced thickness-varying shell mold generation method is of great significance for mold design for castings to achieve cooling control.

  18. Epitaxial patterning of thin-films: conventional lithographies and beyond

    International Nuclear Information System (INIS)

    Zhang, Wei; Krishnan, Kannan M

    2014-01-01

    Thin-film based novel magnetic and electronic devices have entered a new era in which the film crystallography, structural coherence, and epitaxy play important roles in determining their functional properties. The capabilities of controlling such structural and functional properties are being continuously developed by various physical deposition technologies. Epitaxial patterning strategies further allow the miniaturization of such novel devices, which incorporates thin-film components into nanoscale architectures while keeping their functional properties unmodified from their ideal single-crystal values. In the past decade, epitaxial patterning methods on the laboratory scale have been reported to meet distinct scientific inquires, in which the techniques and processes used differ from one to the other. In this review we summarize many of these pioneering endeavors in epitaxial patterning of thin-film devices that use both conventional and novel lithography techniques. These methods demonstrate epitaxial patterning for a broad range of materials (metals, oxides, and semiconductors) and cover common device length scales from micrometer to sub-hundred nanometer. Whilst we have been motivated by magnetic materials and devices, we present our outlook on developing systematic-strategies for epitaxial patterning of functional materials which will pave the road for the design, discovery and industrialization of next-generation advanced magnetic and electronic nano-devices. (topical review)

  19. Sequential infiltration synthesis for advanced lithography

    Energy Technology Data Exchange (ETDEWEB)

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih; Peng, Qing

    2017-10-10

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.

  20. Recent advances in X-ray lithography

    International Nuclear Information System (INIS)

    Cerrina, F.

    1992-01-01

    We report some significant developments in the area of X-ray technology, in the area of the modeling of image formation, in distortion control and in mask replication. Early simple models have been replaced by complete optical calculations based on physical optics and including all relevant factors. These models provide good agreement with the available experimental results. In the area of mask distortions, the use of finite element analysis models has clarified the roles played by the various sources of stress and explained in greater detail the origin of temperature changes. These progress have paved the way to the optimization of the exposure system and to the achievement of the large exposure latitude potential of X-ray lithography. (author)

  1. Using micro-3D printing to build acoustically driven microswimmers.

    Science.gov (United States)

    Bertin, Nicolas; Stephan, Olivier; Marmottant, Philippe; Spelman, Tamsin; Lauga, Eric; Dyfcom Team; Complex; Biological Fluids Team

    2015-11-01

    With no protection, a micron-sized free air bubble at room temperature in water has a life span shorter than a few tens of seconds. Using two-photon lithography, which is similar to 3D printing at the micron scale, we can build ``armors'' for these bubbles: micro-capsules with an opening to contain the bubble and extend its life to several hours in biological buffer solutions. When excited by an ultrasound transducer, a 20 μm bubble performs large amplitude oscillations in the capsule opening and generates a powerful acoustic streaming flow (velocity up to dozens of mm/s). A collaboration with the Dept. of Applied Mathematics and Theoretical Physics, University of Cambridge, is helping us predict the true resonance of these capsules and the full surrounding streaming flow. The present Bubbleboost project aims at creating red blood cell sized capsules (~ 10-20 μm) that can move on their own with a non-contact acoustic excitation for drug delivery applications. Another application of this research is in microfluidics: we are able to fabricate fields of capsules able to generate mixing effects in microchannels, or use the bubble-generated flow to guide passing objects at a junction. ERC Grant Agreement Bubbleboost no. 614655.

  2. The micro-droplet behavior of a molten lead-free solder in an inkjet printing process

    International Nuclear Information System (INIS)

    Tsai, M H; Chou, H H; Hwang, W S

    2009-01-01

    An experimental investigation on the droplet formation of molten Sn3.0 wt%Ag0.5 wt%Cu alloy by an inkjet printing process was conducted. The printing process used a piezoelectric print head with a nozzle orifice diameter of 50 µm. Micro-droplets of a molten lead-free solder were ejected at 230 °C. The print head was driven by a bipolar pulse 40 V in amplitude. The major variables for this study were two pulse times; t rise /t finalrise and t fall , as well as N 2 back-pressure in the molten solder reservoir. Under various printing conditions, extrusion of the liquid column, contraction of liquid thread and pinch-off of liquid thread at nozzle exit were observed by monitoring the dynamics of the molten solder droplet ejection process. The droplet formation was found to be insensitive to t rise and t finalrise in the range of 250–1000 µs. The behavior of droplet formation was, however, significantly affected by the transfer rate, t fall , in the range of 30–60 µs and t fall of 50 µs yielded the most desirable condition of single droplet formation. The N 2 back-pressure was also found to be critical, where a back pressure between 10 and 21 kPa could give the desirable single-droplet formation condition

  3. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    Science.gov (United States)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-02-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1-1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  4. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    International Nuclear Information System (INIS)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-01-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1–1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  5. Metallic and 3D-printed dielectric helical terahertz waveguides.

    Science.gov (United States)

    Vogt, Dominik Walter; Anthony, Jessienta; Leonhardt, Rainer

    2015-12-28

    We investigate guidance of Terahertz (THz) radiation in metallic and 3D-printed dielectric helical waveguides in the frequency range from 0.2 to 1 THz. Our experimental results obtained from THz time-domain spectroscopy (THz-TDS) measurements are in very good agreement with finite-difference time-domain (FDTD) simulations. We observe single-mode, low loss and low dispersive propagation of THz radiation in metallic helical waveguides over a broad bandwidth. The 3D-printed dielectric helical waveguides have substantially extended the bandwidth of a low loss dielectric tube waveguide as observed from the experimental and simulation results. The high flexibility of the helical design allows an easy incorporation into bench top THz devices.

  6. Accelerating orthodontic tooth movement: A new, minimally-invasive corticotomy technique using a 3D-printed surgical template

    Science.gov (United States)

    Giansanti, Matteo

    2016-01-01

    Background A reduction in orthodontic treatment time can be attained using corticotomies. The aggressive nature of corticotomy due to the elevation of muco-periosteal flaps and to the duration of the surgery raised reluctance for its employ among patients and dental community. This study aims to provide detailed information on the design and manufacture of a 3D-printed CAD-CAM (computer-aided design and computer-aided manufacturing) surgical guide which can aid the clinician in achieving a minimally-invasive, flapless corticotomy. Material and Methods An impression of dental arches was created; the models were digitally-acquired using a 3D scanner and saved as STereoLithography ( STL ) files. The patient underwent cone beam computed tomography (CBCT): images of jaws and teeth were transformed into 3D models and saved as an STL file. An acrylic template with the design of a surgical guide was manufactured and scanned. The STLs of jaws, scanned casts, and acrylic templates were matched. 3D modeling software allowed the view of the 3D models from different perspectives and planes with perfect rendering. The 3D model of the acrylic template was transformed into a surgical guide with slots designed to guide, at first, a scalpel blade and then a piezoelectric cutting insert. The 3D STL model of the surgical guide was printed. Results This procedure allowed the manufacturing of a 3D-printed CAD/CAM surgical guide, which overcomes the disadvantages of the corticotomy, removing the need for flap elevation. No discomfort, early surgical complications or unexpected events were observed. Conclusions The effectiveness of this minimally-invasive surgical technique can offer the clinician a valid alternative to other methods currently in use. Key words:Corticotomy, orthodontics, CAD/CAM, minimally invasive, surgical template, 3D printer. PMID:27031067

  7. Printing nanotube/nanowire for flexible microsystems

    Science.gov (United States)

    Tortorich, Ryan P.; Choi, Jin-Woo

    2014-04-01

    Printing has become an emerging manufacturing technology for mechanics, electronics, and consumer products. Additionally, both nanotubes and nanowires have recently been used as materials for sensors and electrodes due to their unique electrical and mechanical properties. Printed electrodes and conductive traces particularly offer versatility of fabricating low-cost, disposable, and flexible electrical devices and microsystems. While various printing methods such as screen printing have been conventional methods for printing conductive traces and electrodes, inkjet printing has recently attracted great attention due to its unique advantages including no template requirement, rapid printing at low cost, on-demand printing capability, and precise control of the printed material. Computer generated conductive traces or electrode patterns can simply be printed on a thin film substrate with proper conductive ink consisting of nanotubes or nanowires. However, in order to develop nanotube or nanowire ink, there are a few challenges that need to be addressed. The most difficult obstacle to overcome is that of nanotube/nanowire dispersion within a solution. Other challenges include adjusting surface tension and controlling viscosity of the ink as well as treating the surface of the printing substrate. In an attempt to pave the way for nanomaterial inkjet printing, we present a method for preparing carbon nanotube ink as well as its printing technique. A fully printed electrochemical sensor using inkjet-printed carbon nanotube electrodes is also demonstrated as an example of the possibilities for this technology.

  8. Deep lithography with protons Modelling and predicting the performances of a novel fabrication technology for micro-optical components

    CERN Document Server

    Volckaerts, B; Veretennicoff, I; Thienpont, H

    2002-01-01

    We developed a simulation package that predicts 3D-dose distributions in proton irradiated poly(methylmetacrylate) samples considering primary energy transfer and scattering phenomena. In this paper, we apply this code to predict the surface flatness and maximum thickness of micro-optical and mechanical structures fabricated with deep lithography with protons (DLP). We compare these simulation results with experimental data and highlight the fundamental differences between DLP and deep X-ray lithography.

  9. Integrated lithography to prepare periodic arrays of nano-objects

    International Nuclear Information System (INIS)

    Sipos, Áron; Szalai, Anikó; Csete, Mária

    2013-01-01

    We present an integrated lithography method to prepare versatile nano-objects with variable shape and nano-scaled substructure, in wavelength-scaled periodic arrays with arbitrary symmetry. The idea is to illuminate colloid sphere monolayers by polarized beams possessing periodic lateral intensity modulations. Finite element method was applied to determine the effects of the wavelength, polarization and angle of incidence of the incoming beam, and to predict the characteristics of nano-objects, which can be fabricated on thin metal layer covered substrates due to the near-field enhancement under silica colloid spheres. The inter-object distance is controlled by varying the relative orientation of the periodic intensity modulation with respect to the silica colloid sphere monolayer. It is shown that illuminating silica colloid sphere monolayers by two interfering beams, linear patterns made of elliptical holes appear in case of linear polarization, while circularly polarized beams result in co-existent rounded objects, as more circular nano-holes and nano-crescents. The size of the nano-objects and their sub-structure is determined by the spheres diameter and by the wavelength. We present various complex plasmonic patterns made of versatile nano-objects that can be uniquely fabricated applying the inherent symmetry breaking possibilities in the integrated lithography method.

  10. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    Science.gov (United States)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  11. Fabrication of sub-wavelength photonic structures by nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kontio, J.

    2013-11-01

    Nanoimprint lithography (NIL) is a novel but already a mature lithography technique. In this thesis it is applied to the fabrication of nanophotonic devices using its main advantage: the fast production of sub-micron features in high volume in a cost-effective way. In this thesis, fabrication methods for conical metal structures for plasmonic applications and sub-wavelength grating based broad-band mirrors are presented. Conical metal structures, nanocones, with plasmonic properties are interesting because they enable concentrating the energy of light in very tight spots resulting in very high local intensities of electromagnetic energy. The nanocone formation process is studied with several metals. Enhanced second harmonic generation using gold nanocones is presented. Bridged-nanocones are used to enhance Raman scattering from a dye solution. The sub-wavelength grating mirror is an interesting structure for photonics because it is very simple to fabricate and its reflectivity can be extended to the far infrared wavelength range. It also has polarization dependent properties which are used in this thesis to stabilize the output beam of infrared semiconductor disk laser. NIL is shown to be useful a technique in the fabrication of nanophotonic devices in the novel and rapidly growing field of plasmonics and also in more traditional, but still developing, semiconductor laser applications (orig.)

  12. Colour changes in prints during long-term dark storage of prints

    International Nuclear Information System (INIS)

    Parraman, Carinna

    2010-01-01

    The most significant impact on colour fading in prints is exposure to light and air. However what happens to coloured prints during long-term storage in boxes, drawers and on shelves? Measurements of samples, printed in July 2005, stored in a range of light and darkened storage conditions have shown some interesting initial results. As more emphasis is placed on the effects of light, the dark stability of inkjet prints is relatively overlooked when considering how to preserve or store coloured prints. This study and presentation builds on previous research [1] and has concentrated on the changes to colour during storage. With reference to ASTM F2035 - 00(2006) Standard Practice for Measuring the Dark Stability of Ink Jet Prints, the Standards outline points out that whilst natural aging is the most reliable method of assessing image stability, materials and inks any data that is produced quickly becomes redundant; therefore accelerated aging is more preferred. However, the fine art materials in this study are still very much in circulation. The leading fine art papers, and pigmented ink-sets used in these trials are still being used by artists. We can therefore demonstrate the characteristics of colour changes and the impact of ink on paper that utilises natural aging methods.

  13. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  14. Magnetic anisotropy in a permalloy microgrid fabricated by near-field optical lithography

    International Nuclear Information System (INIS)

    Li, S. P.; Lebib, A.; Peyrade, D.; Natali, M.; Chen, Y.; Lew, W. S.; Bland, J. A. C.

    2001-01-01

    We report the fabrication and magnetic properties of permalloy microgrids prepared by near-field optical lithography and characterized using high-sensitivity magneto-optical Kerr effect techniques. A fourfold magnetic anisotropy induced by the grid architecture is identified. [copyright] 2001 American Institute of Physics

  15. Fully Screen-Printed, Large-Area, and Flexible Active-Matrix Electrochromic Displays Using Carbon Nanotube Thin-Film Transistors.

    Science.gov (United States)

    Cao, Xuan; Lau, Christian; Liu, Yihang; Wu, Fanqi; Gui, Hui; Liu, Qingzhou; Ma, Yuqiang; Wan, Haochuan; Amer, Moh R; Zhou, Chongwu

    2016-11-22

    Semiconducting single-wall carbon nanotubes are ideal semiconductors for printed electronics due to their advantageous electrical and mechanical properties, intrinsic printability in solution, and desirable stability in air. However, fully printed, large-area, high-performance, and flexible carbon nanotube active-matrix backplanes are still difficult to realize for future displays and sensing applications. Here, we report fully screen-printed active-matrix electrochromic displays employing carbon nanotube thin-film transistors. Our fully printed backplane shows high electrical performance with mobility of 3.92 ± 1.08 cm 2 V -1 s -1 , on-off current ratio I on /I off ∼ 10 4 , and good uniformity. The printed backplane was then monolithically integrated with an array of printed electrochromic pixels, resulting in an entirely screen-printed active-matrix electrochromic display (AMECD) with good switching characteristics, facile manufacturing, and long-term stability. Overall, our fully screen-printed AMECD is promising for the mass production of large-area and low-cost flexible displays for applications such as disposable tags, medical electronics, and smart home appliances.

  16. Shadow edge lithography for nanoscale patterning and manufacturing

    International Nuclear Information System (INIS)

    Bai, John G; Chang, C-L; Chung, Jae-Hyun; Lee, Kyong-Hoon

    2007-01-01

    We demonstrate a wafer-scale nanofabrication method using the shadow effect in physical vapor deposition. An analytical model is presented to predict the formation of nanoscale gaps created by the shadow effect of a prepatterned edge on a deposition plane. The theoretical prediction agrees quantitatively with the widths of the fabricated nanogaps and nanochannels. In the diffusion experiments, both λ-DNA and fluorescein molecules were successfully introduced into the nanochannels. The proposed shadow edge lithography has potential to be a candidate for mass-producing nanostructures

  17. Three-Dimensional Printing of Hollow-Struts-Packed Bioceramic Scaffolds for Bone Regeneration.

    Science.gov (United States)

    Luo, Yongxiang; Zhai, Dong; Huan, Zhiguang; Zhu, Haibo; Xia, Lunguo; Chang, Jiang; Wu, Chengtie

    2015-11-04

    Three-dimensional printing technologies have shown distinct advantages to create porous scaffolds with designed macropores for application in bone tissue engineering. However, until now, 3D-printed bioceramic scaffolds only possessing a single type of macropore have been reported. Generally, those scaffolds with a single type of macropore have relatively low porosity and pore surfaces, limited delivery of oxygen and nutrition to surviving cells, and new bone tissue formation in the center of the scaffolds. Therefore, in this work, we present a useful and facile method for preparing hollow-struts-packed (HSP) bioceramic scaffolds with designed macropores and multioriented hollow channels via a modified coaxial 3D printing strategy. The prepared HSP scaffolds combined high porosity and surface area with impressive mechanical strength. The unique hollow-struts structures of bioceramic scaffolds significantly improved cell attachment and proliferation and further promoted formation of new bone tissue in the center of the scaffolds, indicating that HSP ceramic scaffolds can be used for regeneration of large bone defects. In addition, the strategy can be used to prepare other HSP ceramic scaffolds, indicating a universal application for tissue engineering, mechanical engineering, catalysis, and environmental materials.

  18. A simple, low-cost conductive composite material for 3D printing of electronic sensors.

    Science.gov (United States)

    Leigh, Simon J; Bradley, Robert J; Purssell, Christopher P; Billson, Duncan R; Hutchins, David A

    2012-01-01

    3D printing technology can produce complex objects directly from computer aided digital designs. The technology has traditionally been used by large companies to produce fit and form concept prototypes ('rapid prototyping') before production. In recent years however there has been a move to adopt the technology as full-scale manufacturing solution. The advent of low-cost, desktop 3D printers such as the RepRap and Fab@Home has meant a wider user base are now able to have access to desktop manufacturing platforms enabling them to produce highly customised products for personal use and sale. This uptake in usage has been coupled with a demand for printing technology and materials able to print functional elements such as electronic sensors. Here we present formulation of a simple conductive thermoplastic composite we term 'carbomorph' and demonstrate how it can be used in an unmodified low-cost 3D printer to print electronic sensors able to sense mechanical flexing and capacitance changes. We show how this capability can be used to produce custom sensing devices and user interface devices along with printed objects with embedded sensing capability. This advance in low-cost 3D printing with offer a new paradigm in the 3D printing field with printed sensors and electronics embedded inside 3D printed objects in a single build process without requiring complex or expensive materials incorporating additives such as carbon nanotubes.

  19. A simple, low-cost conductive composite material for 3D printing of electronic sensors.

    Directory of Open Access Journals (Sweden)

    Simon J Leigh

    Full Text Available 3D printing technology can produce complex objects directly from computer aided digital designs. The technology has traditionally been used by large companies to produce fit and form concept prototypes ('rapid prototyping' before production. In recent years however there has been a move to adopt the technology as full-scale manufacturing solution. The advent of low-cost, desktop 3D printers such as the RepRap and Fab@Home has meant a wider user base are now able to have access to desktop manufacturing platforms enabling them to produce highly customised products for personal use and sale. This uptake in usage has been coupled with a demand for printing technology and materials able to print functional elements such as electronic sensors. Here we present formulation of a simple conductive thermoplastic composite we term 'carbomorph' and demonstrate how it can be used in an unmodified low-cost 3D printer to print electronic sensors able to sense mechanical flexing and capacitance changes. We show how this capability can be used to produce custom sensing devices and user interface devices along with printed objects with embedded sensing capability. This advance in low-cost 3D printing with offer a new paradigm in the 3D printing field with printed sensors and electronics embedded inside 3D printed objects in a single build process without requiring complex or expensive materials incorporating additives such as carbon nanotubes.

  20. The superconducting x-ray lithography source program at Brookhaven

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G. P.; Heese, R. N.; Vignola, G.; Murphy, J. B.; Godel, J. B.; Hsieh, H.; Galayda, J.; Seifert, A.; Knotek, M. L.

    1989-07-01

    A compact electron storage ring with superconducting dipole magnets, is being developed at the National Synchrotron Light Source at Brookhaven. The parameters of the source have been optimized for its future use as an x-ray source for lithography. This first ring is a prototype which will be used to study the operating characteristics of machines of this type with particular attention being paid to low-energy injection and long beam lifetime.

  1. Dual patterning of a poly(acrylic acid) layer by electron-beam and block copolymer lithographies.

    Science.gov (United States)

    Pearson, Anthony C; Linford, Matthew R; Harb, John N; Davis, Robert C

    2013-06-18

    We show the controllable patterning of palladium nanoparticles in both one and two dimensions using electron-beam lithography and reactive ion etching of a thin film of poly(acrylic acid) (PAA). After the initial patterning of the PAA, a monolayer of polystyrene-b-poly-2-vinylpyridine micelles is spun cast onto the surface. A short reactive ion etch is then used to transfer the micelle pattern into the patterned poly(acrylic acid). Finally, PdCl2 is loaded from solution into the patterned poly(acrylic acid) features, and a reactive-ion etching process is used to remove the remaining polymer and form Pd nanoparticles. This method yields location-controlled patches of nanoparticles, including single- and double-file lines and nanoparticle pairs. A locational accuracy of 9 nm or less in one direction was achieved by optimizing the size of the PAA features.

  2. Deterministic fabrication of dielectric loaded waveguides coupled to single nitrogen vacancy centers in nanodiamonds

    DEFF Research Database (Denmark)

    Siampour, Hamidreza; Kumar, Shailesh; Bozhevolnyi, Sergey I.

    We report on the fabrication of dielectric-loaded-waveguides which are excited by single-nitrogen-vacancy (NV) centers in nanodiamonds. The waveguides are deterministically written onto the pre-characterized nanodiamonds by using electron beam lithography of hydrogen silsesquioxane (HSQ) resist...... on silver-coated silicon substrate. Change in lifetime for NV-centers is observed after fabrication of waveguides and an antibunching in correlation measurement confirms that nanodiamonds contain single NV-centers....

  3. Security printing of covert quick response codes using upconverting nanoparticle inks

    Science.gov (United States)

    Meruga, Jeevan M.; Cross, William M.; May, P. Stanley; Luu, QuocAnh; Crawford, Grant A.; Kellar, Jon J.

    2012-10-01

    Counterfeiting costs governments and private industries billions of dollars annually due to loss of value in currency and other printed items. This research involves using lanthanide doped β-NaYF4 nanoparticles for security printing applications. Inks comprised of Yb3+/Er3+ and Yb3+/Tm3+ doped β-NaYF4 nanoparticles with oleic acid as the capping agent in toluene and methyl benzoate with poly(methyl methacrylate) (PMMA) as the binding agent were used to print quick response (QR) codes. The QR codes were made using an AutoCAD file and printed with Optomec direct-write aerosol jetting®. The printed QR codes are invisible under ambient lighting conditions, but are readable using a near-IR laser, and were successfully scanned using a smart phone. This research demonstrates that QR codes, which have been used primarily for information sharing applications, can also be used for security purposes. Higher levels of security were achieved by printing both green and blue upconverting inks, based on combinations of Er3+/Yb3+ and Tm3+/Yb3+, respectively, in a single QR code. The near-infrared (NIR)-to-visible upconversion luminescence properties of the two-ink QR codes were analyzed, including the influence of NIR excitation power density on perceived color, in term of the CIE 1931 chromaticity index. It was also shown that this security ink can be optimized for line width, thickness and stability on different substrates.

  4. Security printing of covert quick response codes using upconverting nanoparticle inks

    International Nuclear Information System (INIS)

    Meruga, Jeevan M; Cross, William M; Crawford, Grant A; Kellar, Jon J; Stanley May, P; Luu, QuocAnh

    2012-01-01

    Counterfeiting costs governments and private industries billions of dollars annually due to loss of value in currency and other printed items. This research involves using lanthanide doped β-NaYF 4 nanoparticles for security printing applications. Inks comprised of Yb 3+ /Er 3+ and Yb 3+ /Tm 3+ doped β-NaYF 4 nanoparticles with oleic acid as the capping agent in toluene and methyl benzoate with poly(methyl methacrylate) (PMMA) as the binding agent were used to print quick response (QR) codes. The QR codes were made using an AutoCAD file and printed with Optomec direct-write aerosol jetting ® . The printed QR codes are invisible under ambient lighting conditions, but are readable using a near-IR laser, and were successfully scanned using a smart phone. This research demonstrates that QR codes, which have been used primarily for information sharing applications, can also be used for security purposes. Higher levels of security were achieved by printing both green and blue upconverting inks, based on combinations of Er 3+ /Yb 3+ and Tm 3+ /Yb 3+ , respectively, in a single QR code. The near-infrared (NIR)-to-visible upconversion luminescence properties of the two-ink QR codes were analyzed, including the influence of NIR excitation power density on perceived color, in term of the CIE 1931 chromaticity index. It was also shown that this security ink can be optimized for line width, thickness and stability on different substrates. (paper)

  5. Colour printing techniques

    OpenAIRE

    Parraman, C.

    2017-01-01

    Invited chapter in the book Colour Design: Theories and Applications. In PART 3 COLOUR, DESIGN AND COLORATION this chapter covers:\\ud - Hardcopy colour: analogue versus digital\\ud - Colour theory in relation to printing\\ud - Overview of halftoning and digital print technologies\\ud - Overview and development of inks\\ud - Inkjet papers and inks\\ud - Recent and future trends in colour, printing inks and hardware.\\ud \\ud This book differs from other existing books in the field, with the aim of an...

  6. Print and Manuscript

    OpenAIRE

    Erne, Lukas Christian

    2007-01-01

    Positioning Shakespeare at the "crossroads of manuscript and print" and exploring what the choice of print or manuscript reveals about the poet's intended audience and the social persona the poet wanted to assume and fashion, argues that "Shakespeare's authorial self-presentation begins as a poet and, more specifically, as a print-published poet" with the publication of Venus and Adonis in 1593 and the allusion to the publication of Rape of Lucrece in the next year. Yet also considers the imp...

  7. Printed Electronics

    Science.gov (United States)

    Wade, Jessica; Hollis, Joseph Razzell; Wood, Sebastian

    2018-04-01

    The combination of printing technology with manufacturing electronic devices enables a new paradigm of printable electronics, where 'smart' functionality can be readily incorporated into almost any product at low cost. Over recent decades, rapid progress has been made in this field, which is now emerging into the industrial andcommercial realm. However, successful development and commercialisation on a large scale presents some significant technical challenges. For fully-printable electronic systems, all the component parts must be deposited from solutions (inks), requiring the development of new inorganic, organic and hybrid materials.A variety of traditional printing techniques are being explored and adapted forprinting these new materials in ways that result in the best performing electronicdevices. Whilst printed electronics research has initially focused on traditional typesof electronic device such as light-emitting diodes, transistors, and photovoltaics, it is increasingly apparent that a much wider range of applications can be realised. The soft and stretchable nature of printable materials makes them perfect candidates forbioelectronics, resulting in a wealth of research looking at biocompatible printable inks and biosensors. Regardless of application, the properties of printed electronicmaterials depend on the chemical structures, processing conditions, device architecture,and operational conditions, the complex inter-relationships of which aredriving ongoing research. We focus on three particular 'hot topics', where attention is currently focused: novel materials, characterisation techniques, and device stability. With progress advancing very rapidly, printed electronics is expected to grow over the next decade into a key technology with an enormous economic and social impact.

  8. Fabrication of nanochannels on polyimide films using dynamic plowing lithography

    Science.gov (United States)

    Stoica, Iuliana; Barzic, Andreea Irina; Hulubei, Camelia

    2017-12-01

    Three distinct polyimide films were analyzed from the point of view of their morphology in order to determine if their surface features can be adapted for applications where surface anisotropy is mandatory. Channels of nanometric dimensions were created on surface of the specimens by using a less common atomic force microscopy (AFM) method, namely Dynamic Plowing Lithography (DPL). The changes generated by DPL procedure were monitored through the surface texture and other functional parameters, denoting the surface orientation degree and also bearing and fluid retention properties. The results revealed that in the same nanolithography conditions, the diamine and dianhydride moieties have affected the characteristics of the nanochannels. This was explained based on the aliphatic/aromatic nature of the monomers and the backbone flexibility. The reported data are of great importance in designing custom nanostructures with enhanced anisotropy on surface of polyimide films for liquid crystal orientation or guided cell growth purposes. At the end, to track the effect of the nanolithography process on the tip sharpness, degradation and contamination, the blind tip reconstruction was performed on AFM probe, before and after lithography experiments, using TGT1 test grating AFM image.

  9. Business dynamics of lithography at very low k1 factors

    Science.gov (United States)

    Harrell, Sam; Preil, Moshe E.

    1999-07-01

    Lithography is the largest capital investment and the largest operating cost component of leading edge semiconductor fabs. In addition, it is the dominant factor in determining the performance of a semiconductor device and is important in determining the yield and thus the economics of a semiconductor circuit. To increase competitiveness and broaden adoption of circuits and the end products in which they are used, there has been and continues to be a dramatic acceleration in the industry roadmap. A critical factor in the acceleration is driving the lithographic images to smaller feature size. There has always been economic tension between the pace of change and the resultant circuit cost. The genius of the semiconductor industry has been in its ability to balance its technology with economic factors and deliver outstanding value to those using the circuits to add value to their end products. The critical question today is whether optical lithography can be successfully and economically extended to maintain and improve the economic benefits of higher complexity circuits. In this paper we will discuss some of these significant tradeoffs required to maintain optically based lithographic progress on the roadmap at acceptable cost.

  10. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  11. Properties and Printability of Inkjet and Screen-Printed Silver Patterns for RFID Antennas

    Science.gov (United States)

    Salmerón, José F.; Molina-Lopez, Francisco; Briand, Danick; Ruan, Jason J.; Rivadeneyra, Almudena; Carvajal, Miguel A.; Capitán-Vallvey, L. F.; de Rooij, Nico F.; Palma, Alberto J.

    2014-02-01

    We report the modeling, and geometrical and electrical characterization, of inkjet and screen-printed patterns on different polymeric substrates for use as antennas in radio-frequency identification (RFID) applications. We compared the physical and electrical characteristics of two silver nanoparticle-based commercial inkjet-printable inks and one screen-printable silver paste, when deposited on polyimide (PI), polyethylene terephthalate (PET), and polyetherimide (PEI) substrates. First, the thickness of the inkjet-printed patterns was predicted by use of an analytical model based on printing conditions and ink composition. The predicted thickness was confirmed experimentally, and geometrical characterization of the lines was completed by measuring the root-mean-square roughness of the patterns. Second, direct-current electrical characterization was performed to identify the printing conditions yielding the lowest resistivity and sheet resistance. The minimum resistivity for the inkjet-printing method was 8.6 ± 0.8 μΩ cm, obtained by printing four stacked layers of one of the commercial inks on PEI, whereas minimum resistivity of 44 ± 7 μΩ cm and 39 ± 4 μΩ cm were obtained for a single layer of screen-printed ink on polyimide (PI) with 140 threads/cm mesh and 90 threads/cm mesh, respectively. In every case, these minimum values of resistivity were obtained for the largest tested thickness. Coplanar waveguide transmission lines were then designed and characterized to analyze the radio-frequency (RF) performance of the printed patterns; minimum transmission losses of 0.0022 ± 0.0012 dB/mm and 0.0016 ± 0.0012 dB/mm measured at 13.56 MHz, in the high-frequency (HF) band, were achieved by inkjet printing on PEI and screen printing on PI, respectively. At 868 MHz, in the ultra-high-frequency band, the minimum values of transmission loss were 0.0130 ± 0.0014 dB/mm for inkjet printing on PEI and 0.0100 ± 0.0014 dB/mm for screen printing on PI. Although the

  12. Cost-estimating for commercial digital printing

    Science.gov (United States)

    Keif, Malcolm G.

    2007-01-01

    The purpose of this study is to document current cost-estimating practices used in commercial digital printing. A research study was conducted to determine the use of cost-estimating in commercial digital printing companies. This study answers the questions: 1) What methods are currently being used to estimate digital printing? 2) What is the relationship between estimating and pricing digital printing? 3) To what extent, if at all, do digital printers use full-absorption, all-inclusive hourly rates for estimating? Three different digital printing models were identified: 1) Traditional print providers, who supplement their offset presswork with digital printing for short-run color and versioned commercial print; 2) "Low-touch" print providers, who leverage the power of the Internet to streamline business transactions with digital storefronts; 3) Marketing solutions providers, who see printing less as a discrete manufacturing process and more as a component of a complete marketing campaign. Each model approaches estimating differently. Understanding and predicting costs can be extremely beneficial. Establishing a reliable system to estimate those costs can be somewhat challenging though. Unquestionably, cost-estimating digital printing will increase in relevance in the years ahead, as margins tighten and cost knowledge becomes increasingly more critical.

  13. Multilevel fluidic flow control in a rotationally-driven polyester film microdevice created using laser print, cut and laminate.

    Science.gov (United States)

    Ouyang, Yiwen; Li, Jingyi; Phaneuf, Christopher; Riehl, Paul S; Forest, Craig; Begley, Matthew; Haverstick, Doris M; Landers, James P

    2016-01-21

    This paper presents a simple and cost-effective polyester toner microchip fabricated with laser print and cut lithography (PCL) to use with a battery-powered centrifugal platform for fluid handling. The combination of the PCL microfluidic disc and centrifugal platform: (1) allows parallel aliquoting of two different reagents of four different volumes ranging from nL to μL with an accuracy comparable to a piston-driven air pipette; (2) incorporates a reciprocating mixing unit driven by a surface-tension pump for further dilution of reagents, and (3) is amenable to larger scale integration of assay multiplexing (including all valves and mixers) without substantially increasing fabrication cost and time. For a proof of principle, a 10 min colorimetric assay for the quantitation of the protein level in the human blood plasma samples is demonstrated on chip with a limit of detection of ∼5 mg mL(-1) and coefficient of variance of ∼7%.

  14. Inkjet printing of aqueous rivulets: Formation, deposition, and applications

    Science.gov (United States)

    Bromberg, Vadim

    The past two decades have seen an explosion of research and development into nanotechnology, ranging from synthesis of novel materials that exhibit unique behavior to the assembly of fully functional devices that hold the potential to benefit all sectors of industry and society as a whole. One significant challenge for this emerging technology is the scaling of newly developed processes to the industrial level where manufacturing should be cheap, fast and with high throughput. One approach to this problem has been to develop processes of material deposition and device fabrication via solution-based additive manufacturing techniques such as printing. Specifically, it is envisioned that (in)organic functional nanomaterial that can be processed into solution form can be deposited in a precise manner (i.e., printed) onto sheets of flexible plastic/glass in a process similar to the printing of newspaper (formally, the process is dubbed Roll-to-Roll). This work is focused on experimentally studying and developing one type of solution-based material deposition technique---drop-on-demand ink-jet printing. This technique allows highly-repeatable deposition of small (pico-liter) droplets of functional ink in precise locations on a given target substrate. Although the technology has been in existence and in continuous use for many decades in the paper graphics industry, its application to nanotechnology-based fabrication processes on non-porous substrates presents many challenges stemming from the coupling of the wetting, material transport, evaporation and solid deposition phenomena that occur when printing patterns more complex than single droplets. The focus of this research has been to investigate these phenomena for the case of printed rivulets of water-based inks. A custom ink-jet apparatus has been assembled to allow direct optical observation of the flow and deposition that occur during printing. Experimental results show the importance of substrate surface energy and

  15. Illumination system for X-ray lithography

    International Nuclear Information System (INIS)

    Buckley, W.D.

    1989-01-01

    An X-ray lithography system is described, comprising: a point source of X-Ray radiation; a wafer plane disposed in spaced relation to the point source of X-Ray radiation; a mask disposed between the point source of X-Ray radiation and the wafer plane whereby X-Ray radiation from the point source of X-ray radiation passes through the mask to the water plane; and X-Ray absorbent means mounted between the point source of X-Ray radiation and the wafer plane, the X-Ray absorbent means being of quadratically absorption from maximum absorption at the center to minimum absorption at the edge so as to have a radial absorption gradient profile to compensate for radial flux variation of the X-Ray radiation

  16. A simple electron-beam lithography system

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Madsen, Dorte Nørgaard; Bøggild, Peter

    2005-01-01

    A large number of applications of electron-beam lithography (EBL) systems in nanotechnology have been demonstrated in recent years. In this paper we present a simple and general-purpose EBL system constructed by insertion of an electrostatic deflector plate system at the electron-beam exit...... of the column of a scanning electron microscope (SEM). The system can easily be mounted on most standard SEM systems. The tested setup allows an area of up to about 50 x 50 pm to be scanned, if the upper limit for acceptable reduction of the SEM resolution is set to 10 run. We demonstrate how the EBL system can...... be used to write three-dimensional nanostructures by electron-beam deposition. (C) 2004 Elsevier B.V. All rights reserved....

  17. Review of vacuum systems for x-ray lithography light sources

    International Nuclear Information System (INIS)

    Schuchman, J.C.

    1990-01-01

    This paper will review and give a status report on vacuum systems for X-Ray lithography light sources. It will include conventional machines and compact machines (machines using superconducting magnets). The vacuum systems will be described and compared with regard to basic machine parameters, pumping systems, types of pumps, chamber design and material, gauging and diagnostics, and machine performane. 23 refs., 8 figs., 1 tab

  18. A STUDY OF RELATIVE CORRELATION BETWEEN THE PATTERN OF FINGER PRINTS AND LIP PRINTS

    OpenAIRE

    Murugan; Karikalan

    2014-01-01

    BACKGROUND AND OBJECTIVE: The use of conventional methods such as dactylography (study of finger prints) & cheiloscopy (study of lip prints) is of paramount importance, since personal identification by other means such as DNA analysis is sophisticated and not available in rural and developing countries. Fingerprint in its narrow sense is an impression left by the friction ridges of human fingers. The second prints of interest are lip prints. Studies of association between ...

  19. Preparation of Octadecyltrichlorosilane Nanopatterns Using Particle Lithography: An Atomic Force Microscopy Laboratory

    Science.gov (United States)

    Highland, Zachary L.; Saner, ChaMarra K.; Garno, Jayne C.

    2018-01-01

    Experiments are described that involve undergraduates learning concepts of nanoscience and chemistry. Students prepare nanopatterns of organosilane films using protocols of particle lithography. A few basic techniques are needed to prepare samples, such as centrifuging, mixing, heating, and drying. Students obtain hands-on skills with nanoscale…

  20. CERN printing infrastructure

    International Nuclear Information System (INIS)

    Otto, R; Sucik, J

    2008-01-01

    For many years CERN had a very sophisticated print server infrastructure [13] which supported several different protocols (AppleTalk, IPX and TCP/IP) and many different printing standards. Today's situation differs a lot: we have a much more homogenous network infrastructure, where TCP/IP is used everywhere and we have less printer models, which almost all work using current standards (i.e. they all provide PostScript drivers). This change gave us the possibility to review the printing architecture aiming at simplifying the infrastructure in order to achieve full automation of the service. The new infrastructure offers both: LPD service exposing print queues to Linux and Mac OS X computers and native printing for Windows based clients. The printer driver distribution is automatic and native on Windows and automated by custom mechanisms on Linux, where the appropriate Foomatic drivers are configured. Also the process of printer registration and queue creation is completely automated following the printer registration in the network database. At the end of 2006 we have moved all (∼1200) CERN printers and all users' connections at CERN to the new service. This paper will describe the new architecture and summarize the process of migration

  1. Report of the workshop on transferring X-ray Lithography Synchrotron (XLS) technology to industry

    Energy Technology Data Exchange (ETDEWEB)

    Marcuse, W.

    1987-01-01

    This paper reports on plans to develop an x-ray synchrotron for use in lithography. The primary concern of the present paper is technology transfer from national laboratories to private industry. (JDH)

  2. Experimental Investigation of Pool Boiling for Single and Double Heaters Using Printed Circuit Board

    International Nuclear Information System (INIS)

    Han, Won Seok; Lee, Jae Young

    2012-01-01

    Over the past several decades, a considerable number of studies have been conducted on boiling heat transfer in pool boiling. Boiling heat transfer is used in a variety of cooling applications, such as heat exchangers, high powered electronics, and nuclear reactors. Nucleate boiling is one of the most efficient heat transfer mechanisms in boiling regime, but it is imperative that the critical heat flux(CHF) should not be exceeded. CHF phenomenon leads to a dramatic rise in wall temperature, decreased heat transfer, and material failure. Although numerous attempts have been made by researchers to demonstrate the CHF, there is little agreement with the CHF mechanism. In recent years, many researchers have been focusing on surface condition using nanoparticles and surface enhancements, such as a micro structure and artificial cavities, due to enhancement of the CHF point. Cooke and Kandlikar used chips etched with microchannels to prove that these structure has the most enhancement effect. They found that the most efficient boiling surface is with a larger channel size and deep etch. The purpose of this paper is to evaluate the heat transfer and CHF of double heaters on printed circuit board(PCB) in pool boiling. In addition, bubble dynamics of nucleate boiling were observed with high speed observation on single and double heaters using PCB heater

  3. The fabrication and single electron transport of Au nano-particles placed between Nb nanogap electrodes

    International Nuclear Information System (INIS)

    Nishino, T; Negishi, R; Ishibashi, K; Kawao, M; Nagata, T; Ozawa, H

    2010-01-01

    We have fabricated Nb nanogap electrodes using a combination of molecular lithography and electron beam lithography. Au nano-particles with anchor molecules were placed in the gap, the width of which could be controlled on a molecular scale (∼2 nm). Three different anchor molecules which connect the Au nano-particles and the electrodes were tested to investigate their contact resistance, and a local gate was fabricated underneath the Au nano-particles. The electrical transport measurements at liquid helium temperatures indicated single electron transistor (SET) characteristics with a charging energy of about ∼ 5 meV, and a clear indication of the effect of superconducting electrodes was not observed, possibly due to the large tunnel resistance.

  4. Layout compliance for triple patterning lithography: an iterative approach

    Science.gov (United States)

    Yu, Bei; Garreton, Gilda; Pan, David Z.

    2014-10-01

    As the semiconductor process further scales down, the industry encounters many lithography-related issues. In the 14nm logic node and beyond, triple patterning lithography (TPL) is one of the most promising techniques for Metal1 layer and possibly Via0 layer. As one of the most challenging problems in TPL, recently layout decomposition efforts have received more attention from both industry and academia. Ideally the decomposer should point out locations in the layout that are not triple patterning decomposable and therefore manual intervention by designers is required. A traditional decomposition flow would be an iterative process, where each iteration consists of an automatic layout decomposition step and manual layout modification task. However, due to the NP-hardness of triple patterning layout decomposition, automatic full chip level layout decomposition requires long computational time and therefore design closure issues continue to linger around in the traditional flow. Challenged by this issue, we present a novel incremental layout decomposition framework to facilitate accelerated iterative decomposition. In the first iteration, our decomposer not only points out all conflicts, but also provides the suggestions to fix them. After the layout modification, instead of solving the full chip problem from scratch, our decomposer can provide a quick solution for a selected portion of layout. We believe this framework is efficient, in terms of performance and designer friendly.

  5. Tunnel barrier design in donor nanostructures defined by hydrogen-resist lithography

    Science.gov (United States)

    Pascher, Nikola; Hennel, Szymon; Mueller, Susanne; Fuhrer, Andreas

    2016-08-01

    A four-terminal donor quantum dot (QD) is used to characterize potential barriers between degenerately doped nanoscale contacts. The QD is fabricated by hydrogen-resist lithography on Si(001) in combination with n-type doping by phosphine. The four contacts have different separations (d = 9, 12, 16 and 29 nm) to the central 6 nm × 6 nm QD island, leading to different tunnel and capacitive coupling. Cryogenic transport measurements in the Coulomb-blockade (CB) regime are used to characterize these tunnel barriers. We find that field enhancement near the apex of narrow dopant leads is an important effect that influences both barrier breakdown and the magnitude of the tunnel current in the CB transport regime. From CB-spectroscopy measurements, we extract the mutual capacitances between the QD and the four contacts, which scale inversely with the contact separation d. The capacitances are in excellent agreement with numerical values calculated from the pattern geometry in the hydrogen resist. Furthermore, we show that by engineering the source-drain tunnel barriers to be asymmetric, we obtain a much simpler excited-state spectrum of the QD, which can be directly linked to the orbital single-particle spectrum.

  6. The NIH 3D Print Exchange: A Public Resource for Bioscientific and Biomedical 3D Prints.

    Science.gov (United States)

    Coakley, Meghan F; Hurt, Darrell E; Weber, Nick; Mtingwa, Makazi; Fincher, Erin C; Alekseyev, Vsevelod; Chen, David T; Yun, Alvin; Gizaw, Metasebia; Swan, Jeremy; Yoo, Terry S; Huyen, Yentram

    2014-09-01

    The National Institutes of Health (NIH) has launched the NIH 3D Print Exchange, an online portal for discovering and creating bioscientifically relevant 3D models suitable for 3D printing, to provide both researchers and educators with a trusted source to discover accurate and informative models. There are a number of online resources for 3D prints, but there is a paucity of scientific models, and the expertise required to generate and validate such models remains a barrier. The NIH 3D Print Exchange fills this gap by providing novel, web-based tools that empower users with the ability to create ready-to-print 3D files from molecular structure data, microscopy image stacks, and computed tomography scan data. The NIH 3D Print Exchange facilitates open data sharing in a community-driven environment, and also includes various interactive features, as well as information and tutorials on 3D modeling software. As the first government-sponsored website dedicated to 3D printing, the NIH 3D Print Exchange is an important step forward to bringing 3D printing to the mainstream for scientific research and education.

  7. High order field-to-field corrections for imaging and overlay to achieve sub 20-nm lithography requirements

    Science.gov (United States)

    Mulkens, Jan; Kubis, Michael; Hinnen, Paul; de Graaf, Roelof; van der Laan, Hans; Padiy, Alexander; Menchtchikov, Boris

    2013-04-01

    Immersion lithography is being extended to the 20-nm and 14-nm node and the lithography performance requirements need to be tightened further to enable this shrink. In this paper we present an integral method to enable high-order fieldto- field corrections for both imaging and overlay, and we show that this method improves the performance with 20% - 50%. The lithography architecture we build for these higher order corrections connects the dynamic scanner actuators with the angle resolved scatterometer via a separate application server. Improvements of CD uniformity are based on enabling the use of freeform intra-field dose actuator and field-to-field control of focus. The feedback control loop uses CD and focus targets placed on the production mask. For the overlay metrology we use small in-die diffraction based overlay targets. Improvements of overlay are based on using the high order intra-field correction actuators on a field-tofield basis. We use this to reduce the machine matching error, extending the heating control and extending the correction capability for process induced errors.

  8. Playing with small objects Nano lithography and manipulation A.K. ...

    Indian Academy of Sciences (India)

    Table of contents. Playing with small objects Nano lithography and manipulation A.K.Raychaudhuri Department of Physics IISc · PowerPoint Presentation · Slide 3 · Slide 4 · Slide 5 · Slide 6 · Atomic Force Microscopy · Slide 8 · Slide 9 · Slide 10 · Slide 11 · Slide 12 · Slide 13 · Slide 14 · Slide 15 · Slide 16 · Slide 17 · Slide 18.

  9. Topology optimization for optical projection lithography with manufacturing uncertainties

    DEFF Research Database (Denmark)

    Zhou, Mingdong; Lazarov, Boyan Stefanov; Sigmund, Ole

    2014-01-01

    to manufacturing without additional optical proximity correction (OPC). The performance of the optimized device is robust toward the considered process variations. With the proposed unified approach, the design for photolithography is achieved by considering the optimal device performance and manufacturability......This article presents a topology optimization approach for micro-and nano-devices fabricated by optical projection lithography. Incorporating the photolithography process and the manufacturing uncertainties into the topology optimization process results in a binary mask that can be sent directly...

  10. Fracture Toughness (KIC) of Lithography Based Manufactured Alumina Ceramic

    Science.gov (United States)

    Nindhia, T. G. T.; Schlacher, J.; Lube, T.

    2018-04-01

    Precision shaped ceramic components can be obtained by an emerging technique called Lithography based Ceramic Manufacturing (LCM). A green part is made from a slurry consisting of a ceramic powder in a photocurable binder with addition of dispersant and plasticizer. Components are built in a layer–by-layer way by exposing the desired cross- sections to light. The parts are subsequently sintered to their final density. It is a challenge to produce ceramic component with this method that yield the same mechanical properties in all direction. The fracture toughness (KIc) of of LCM-alumina (prepared at LITHOZ GmbH, Austria) was tested by using the Single-Edge-V-Notched Beam (SEVNB) method. Notches are made into prismatic bend-bars in all three direction X, Y and Z to recognize the value of fracture toughness of the material in all three directions. The microstructure was revealed with optical microscopy as well as Scanning Electron Microscopy (SEM). The results indicate that the fracture toughness in Y-direction has the highest value (3.10 MPam1/2) that is followed by the one in X-direction which is just a bit lower (2.90 MPam1/2). The Z-direction is found to have a similar fracture toughness (2.95 MPam1/2). This is supported by a homogeneous microstructure showing no hint of the layers used during production.

  11. 3D Printing A Survey

    Directory of Open Access Journals (Sweden)

    Muhammad Zulkifl Hasan

    2017-08-01

    Full Text Available Solid free fabrication SFF are produced to enhance the printing instrument utilizing distinctive strategies like Piezo spout control multi-spout injet printers or STL arrange utilizing cutting information. The procedure is utilized to diminish the cost and enhance the speed of printing. A few techniques take long at last because of extra process like dry the printing. This study will concentrate on SFFS utilizing UV gum for 3D printing.

  12. 40 keV Shaped electron beam lithography for LIGA intermediate mask fabrication

    NARCIS (Netherlands)

    Luttge, R.; Adam, D.; Burkhardt, F.; Hoke, F.; Schacke, H.; Schmidt, M.; Wolf, H.; Schmidt, A.

    1999-01-01

    High precision LIGA masks require a soft X-ray pattern transfer from intermediate masks by means of electron beam lithography. Such a process has been realized using an upgraded Leica ZBA 23 machine with an acceleration voltage of 40 kV. Three process variations of the developer system, so called GG

  13. CERN printing infrastructure

    Energy Technology Data Exchange (ETDEWEB)

    Otto, R; Sucik, J [CERN, Geneva (Switzerland)], E-mail: Rafal.Otto@cern.ch, E-mail: Juraj.Sucik@cern.ch

    2008-07-15

    For many years CERN had a very sophisticated print server infrastructure [13] which supported several different protocols (AppleTalk, IPX and TCP/IP) and many different printing standards. Today's situation differs a lot: we have a much more homogenous network infrastructure, where TCP/IP is used everywhere and we have less printer models, which almost all work using current standards (i.e. they all provide PostScript drivers). This change gave us the possibility to review the printing architecture aiming at simplifying the infrastructure in order to achieve full automation of the service. The new infrastructure offers both: LPD service exposing print queues to Linux and Mac OS X computers and native printing for Windows based clients. The printer driver distribution is automatic and native on Windows and automated by custom mechanisms on Linux, where the appropriate Foomatic drivers are configured. Also the process of printer registration and queue creation is completely automated following the printer registration in the network database. At the end of 2006 we have moved all ({approx}1200) CERN printers and all users' connections at CERN to the new service. This paper will describe the new architecture and summarize the process of migration.

  14. Drug-printing by flexographic printing technology--a new manufacturing process for orodispersible films.

    Science.gov (United States)

    Janssen, Eva Maria; Schliephacke, Ralf; Breitenbach, Armin; Breitkreutz, Jörg

    2013-01-30

    Orodispersible films (ODFs) are intended to disintegrate within seconds when placed onto the tongue. The common way of manufacturing is the solvent casting method. Flexographic printing on drug-free ODFs is introduced as a highly flexible and cost-effective alternative manufacturing method in this study. Rasagiline mesylate and tadalafil were used as model drugs. Printing of rasagiline solutions and tadalafil suspensions was feasible. Up to four printing cycles were performed. The possibility to employ several printing cycles enables a continuous, highly flexible manufacturing process, for example for individualised medicine. The obtained ODFs were characterised regarding their mechanical properties, their disintegration time, API crystallinity and homogeneity. Rasagiline mesylate did not recrystallise after the printing process. Relevant film properties were not affected by printing. Results were comparable to the results of ODFs manufactured with the common solvent casting technique, but the APIs are less stressed through mixing, solvent evaporation and heat. Further, loss of material due to cutting jumbo and daughter rolls can be reduced. Therefore, a versatile new manufacturing technology particularly for processing high-potent low-dose or heat sensitive drugs is introduced in this study. Copyright © 2012 Elsevier B.V. All rights reserved.

  15. A Modular Microfluidic Device via Multimaterial 3D Printing for Emulsion Generation.

    Science.gov (United States)

    Ji, Qinglei; Zhang, Jia Ming; Liu, Ying; Li, Xiying; Lv, Pengyu; Jin, Dongping; Duan, Huiling

    2018-03-19

    3D-printing (3DP) technology has been developing rapidly. However, limited studies on the contribution of 3DP technology, especially multimaterial 3DP technology, to droplet-microfluidics have been reported. In this paper, multimaterial 3D-printed devices for the pneumatic control of emulsion generation have been reported. A 3D coaxial flexible channel with other rigid structures has been designed and printed monolithically. Numerical and experimental studies have demonstrated that this flexible channel can be excited by the air pressure and then deform in a controllable way, which can provide the active control of droplet generation. Furthermore, a novel modular microfluidic device for double emulsion generation has been designed and fabricated, which consists of three modules: function module, T-junction module, and co-flow module. The function module can be replaced by (1) Single-inlet module, (2) Pneumatic Control Unit (PCU) module and (3) Dual-inlet module. Different modules can be easily assembled for different double emulsion production. By using the PCU module, double emulsions with different number of inner droplets have been successfully produced without complicated operation of flow rates of different phases. By using single and dual inlet module, various double emulsions with different number of encapsulated droplets or encapsulated droplets with different compositions have been successfully produced, respectively.

  16. The best printing methods to print satellite images

    OpenAIRE

    G.A. Yousif; R.Sh. Mohamed

    2011-01-01

    Printing systems operate in general as a system of color its color scale is limited as compared with the system color satellite images. Satellite image is building from very small cell named pixel, which represents the picture element and the unity of color when the image is displayed on the screen, this unit becomes lesser in size and called screen point. This unit posseses different size and shape from the method of printing to another, depending on the output resolution, tools and material...

  17. MolPrint3D: Enhanced 3D Printing of Ball-and-Stick Molecular Models

    Science.gov (United States)

    Paukstelis, Paul J.

    2018-01-01

    The increased availability of noncommercial 3D printers has provided instructors and students improved access to printing technology. However, printing complex ball-and-stick molecular structures faces distinct challenges, including the need for support structures that increase with molecular complexity. MolPrint3D is a software add-on for the…

  18. Coagulation and Adsorption Treatment of Printing Ink Wastewater

    OpenAIRE

    Klančnik, Maja

    2014-01-01

    The intention of the study was to improve the efficiency of total organic carbon (TOC) and colour removal from the wastewater samples polluted with flexographic printing ink following coagulation treatments with further adsorption onto activated carbons and ground orange peel. The treatment efficiencies were compared to those of further flocculation treatments and of coagulation and adsorption processes individually. Coagulation was a relatively effective single-treatment method, removing 99...

  19. Lithography-induced limits to scaling of design quality

    Science.gov (United States)

    Kahng, Andrew B.

    2014-03-01

    Quality and value of an IC product are functions of power, performance, area, cost and reliability. The forthcoming 2013 ITRS roadmap observes that while manufacturers continue to enable potential Moore's Law scaling of layout densities, the "realizable" scaling in competitive products has for some years been significantly less. In this paper, we consider aspects of the question, "To what extent should this scaling gap be blamed on lithography?" Non-ideal scaling of layout densities has been attributed to (i) layout restrictions associated with multi-patterning technologies (SADP, LELE, LELELE), as well as (ii) various ground rule and layout style choices that stem from misalignment, reliability, variability, device architecture, and electrical performance vs. power constraints. Certain impacts seem obvious, e.g., loss of 2D flexibility and new line-end placement constraints with SADP, or algorithmically intractable layout stitching and mask coloring formulations with LELELE. However, these impacts may well be outweighed by weaknesses in design methodology and tooling. Arguably, the industry has entered a new era in which many new factors - (i) standard-cell library architecture, and layout guardbanding for automated place-and-route: (ii) performance model guardbanding and signoff analyses: (iii) physical design and manufacturing handoff algorithms spanning detailed placement and routing, stitching and RET; and (iv) reliability guardbanding - all contribute, hand in hand with lithography, to a newly-identified "design capability gap". How specific aspects of process and design enablements limit the scaling of design quality is a fundamental question whose answer must guide future RandD investment at the design-manufacturing interface. terface.

  20. Influence of printing speed on production of embossing tools using FDM 3D printing technology

    Directory of Open Access Journals (Sweden)

    Jelena Žarko

    2017-06-01

    Full Text Available Manufacturing of the embossing tools customary implies use of metals such as zinc, magnesium, copper, and brass. In the case of short run lengths, a conventional manufacturing process and the material itself represent a significant cost, not only in the terms of material costs and the need for using complex technological systems which are necessary for their production, but also in the terms of the production time. Alternatively, 3D printing can be used for manufacturing similar embossing tools with major savings in production time and costs. However, due to properties of materials used in the 3D printing technology, expected results of embossing by 3D printed tools cannot be identical to metal ones. This problem is emphasized in the case of long run lengths and high accuracy requirement for embossed elements. The objective of this paper is primarily focused on investigating the influence of the printing speed on reproduction quality of the embossing tools printed with FDM (Fused Deposition Modelling technology. The obtained results confirmed that printing speed as a process parameter affects the reproduction quality of the embossing tools printed with FDM technology: in the case of deposition rate of 90 mm/s was noted the poorest dimensional accuracy in relation to the 3D model, which is more emphasised in case of circular and square elements. Elements printed with the highest printing speed have a greater dimensional accuracy, but with evident cracks on the surface.