WorldWideScience

Sample records for single mask uv-photolithography

  1. Polarized phase shift mask: concept, design, and potential advantages to photolithography process and physical design

    Science.gov (United States)

    Wang, Ruoping; Grobman, Warren D.; Reich, Alfred J.; Thompson, Matthew A.

    2002-03-01

    In this paper we introduce the concept and design of a novel phase shift mask technology, Polarized Phase Shift Mask (P:PSM). The P:PSM technology utilizes non-interference between orthogonally polarized light sources to avoid undesired destructive interference seen in conventional two-phase shift mask technology. Hence P:PSM solves the well-known 'phase edge' or 'phase conflict' problem. By obviating the 2nd exposure and 2nd mask in current Complementary Phase Shift Mask (C:PSM) technology, this single mask/single exposure technology offers significant advantages towards photolithography process as well as pattern design. We use examples of typical design and process difficulties associated with the C:PSM technology to illustrate the advantages of the P:PSM technology. We present preliminary aerial image simulation results that support the potential of this new reticle technology for enhanced design flexibility. We also propose possible mask structures and manufacturing methods for building a P:PSM.

  2. Suspended microstructures of epoxy based photoresists fabricated with UV photolithography

    DEFF Research Database (Denmark)

    Hemanth, Suhith; Anhøj, Thomas Aarøe; Caviglia, Claudia

    2017-01-01

    In this work we present an easy, fast, reliable and low cost microfabrication technique for fabricating suspended microstructures of epoxy based photoresistswith UV photolithography. Two different fabrication processes with epoxy based resins (SU-8 and mr-DWL) using UV exposures at wavelengths...... of 313 nm and 405 nm were optimized and compared in terms of structural stability, control of suspended layer thickness and resolution limits. A novel fabrication process combining the two photoresists SU-8 and mr-DWL with two UV exposures at 365 nm and 405 nm respectively provided a wider processing...... window for definition of well-defined suspended microstructures with lateral dimensions down to 5 μmwhen compared to 313 nm or 365 nm UV photolithography processes....

  3. Ferromagnetic shadow mask for spray coating of polymer patterns

    DEFF Research Database (Denmark)

    Keller, Stephan Sylvest; Bosco, Filippo; Boisen, Anja

    2013-01-01

    We present the fabrication of a wafer-scale shadow mask with arrays of circular holes with diameters of 150–400 μm. Standard UV photolithography is used to define 700 μm thick SU-8 structures followed by electroplating of nickel and etching of the template. The ferromagnetic properties of the sha......We present the fabrication of a wafer-scale shadow mask with arrays of circular holes with diameters of 150–400 μm. Standard UV photolithography is used to define 700 μm thick SU-8 structures followed by electroplating of nickel and etching of the template. The ferromagnetic properties...

  4. Automatic pattern localization across layout database and photolithography mask

    Science.gov (United States)

    Morey, Philippe; Brault, Frederic; Beisser, Eric; Ache, Oliver; Röth, Klaus-Dieter

    2016-03-01

    Advanced process photolithography masks require more and more controls for registration versus design and critical dimension uniformity (CDU). The distribution of the measurement points should be distributed all over the whole mask and may be denser in areas critical to wafer overlay requirements. This means that some, if not many, of theses controls should be made inside the customer die and may use non-dedicated patterns. It is then mandatory to access the original layout database to select patterns for the metrology process. Finding hundreds of relevant patterns in a database containing billions of polygons may be possible, but in addition, it is mandatory to create the complete metrology job fast and reliable. Combining, on one hand, a software expertise in mask databases processing and, on the other hand, advanced skills in control and registration equipment, we have developed a Mask Dataprep Station able to select an appropriate number of measurement targets and their positions in a huge database and automatically create measurement jobs on the corresponding area on the mask for the registration metrology system. In addition, the required design clips are generated from the database in order to perform the rendering procedure on the metrology system. This new methodology has been validated on real production line for the most advanced process. This paper presents the main challenges that we have faced, as well as some results on the global performances.

  5. Free-floating magnetic microstructures by mask photolithography

    Science.gov (United States)

    Huong Au, Thi; Thien Trinh, Duc; Bich Do, Danh; Phu Nguyen, Dang; Cong Tong, Quang; Diep Lai, Ngoc

    2018-03-01

    This work explores the fabrication of free-floating magnetic structures on a photocurable nanocomposite consisting of superparamagnetic magnetite nanoparticles (Fe3O4) and a commercial SU-8 negative tone photoresist. The nanocomposite was synthesized by mixing magnetic nanoparticles with different kinds of SU-8 resin. We demonstrated that the dispersion of Fe3O4 nanoparticles in nanocomposite solution strongly depended on the particles concentration, the viscosity of SU-8 polymer, and the mixing time. The influence of these factors was demonstrated by examining the structures fabricated by mask photolithography technique. We obtained the best quality of structures at a low concentration, below 5 wt%, of Fe3O4 nanoparticles in SU-8 2005 photoresist for a mixing time of about 20 days. The manipulation of free-floating magnetic microstructures by an external magnetic field was also demonstrated showing promising applications of this magnetic nanocomposite.

  6. High aspect ratio silicon nanomoulds for UV embossing fabricated by directional thermal oxidation using an oxidation mask

    International Nuclear Information System (INIS)

    Chen, L Q; Chan-Park, Mary B; Yan, Y H; Zhang Qing; Li, C M; Zhang Jun

    2007-01-01

    Nanomoulding is simple and economical but moulds with nanoscale features are usually prohibitively expensive to fabricate because nanolithographic techniques are mostly serial and time-consuming for large-area patterning. This paper describes a novel, simple and inexpensive parallel technique for fabricating nanoscale pattern moulds by silicon etching followed by thermal oxidation. The mask pattern can be made by direct photolithography or photolithography followed by metal overetching for submicron- and nanoscale features, respectively. To successfully make nanoscale channels having a post-oxidation cross-sectional shape similar to that of the original channel, an oxidation mask to promote unidirectional (specifically horizontal) oxide growth is found to be essential. A silicon nitride or metal mask layer prevents vertical oxidation of the Si directly beneath it. Without this mask, rectangular channels become smaller but are V-shaped after oxidation. By controlling the silicon etch depth and oxidation time, moulds with high aspect ratio channels having widths ranging from 500 to 50 nm and smaller can be obtained. The nanomould, when passivated with a Teflon-like layer, can be used for first-generation replication using ultraviolet (UV) nanoembossing and second-generation replication in other materials, such as polydimethylsiloxane (PDMS). The PDMS stamp, which was subsequently coated with Au, was used for transfer printing of Au electrodes with a 600 nm gap which will find applications in plastics nanoelectronics

  7. Fabricating a multi-level barrier-integrated microfluidic device using grey-scale photolithography

    International Nuclear Information System (INIS)

    Nam, Yoonkwang; Kim, Minseok; Kim, Taesung

    2013-01-01

    Most polymer-replica-based microfluidic devices are mainly fabricated by using standard soft-lithography technology so that multi-level masters (MLMs) require multiple spin-coatings, mask alignments, exposures, developments, and bakings. In this paper, we describe a simple method for fabricating MLMs for planar microfluidic channels with multi-level barriers (MLBs). A single photomask is necessary for standard photolithography technology to create a polydimethylsiloxane grey-scale photomask (PGSP), which adjusts the total amount of UV absorption in a negative-tone photoresist via a wide range of dye concentrations. Since the PGSP in turn adjusts the degree of cross-linking of the photoresist, this method enables the fabrication of MLMs for an MLB-integrated microfluidic device. Since the PGSP-based soft-lithography technology provides a simple but powerful fabrication method for MLBs in a microfluidic device, we believe that the fabrication method can be widely used for micro total analysis systems that benefit from MLBs. We demonstrate an MLB-integrated microfluidic device that can separate microparticles. (paper)

  8. Photolithography-free laser-patterned HF acid-resistant chromium-polyimide mask for rapid fabrication of microfluidic systems in glass

    International Nuclear Information System (INIS)

    Zamuruyev, Konstantin O; Zrodnikov, Yuriy; Davis, Cristina E

    2017-01-01

    Excellent chemical and physical properties of glass, over a range of operating conditions, make it a preferred material for chemical detection systems in analytical chemistry, biology, and the environmental sciences. However, it is often compromised with SU8, PDMS, or Parylene materials due to the sophisticated mask preparation requirements for wet etching of glass. Here, we report our efforts toward developing a photolithography-free laser-patterned hydrofluoric acid-resistant chromium-polyimide tape mask for rapid prototyping of microfluidic systems in glass. The patterns are defined in masking layer with a diode-pumped solid-state laser. Minimum feature size is limited to the diameter of the laser beam, 30 µ m; minimum spacing between features is limited by the thermal shrinkage and adhesive contact of the polyimide tape to 40 µ m. The patterned glass substrates are etched in 49% hydrofluoric acid at ambient temperature with soft agitation (in time increments, up to 60 min duration). In spite of the simplicity, our method demonstrates comparable results to the other current more sophisticated masking methods in terms of the etched depth (up to 300 µ m in borosilicate glass), feature under etch ratio in isotropic etch (∼1.36), and low mask hole density. The method demonstrates high yield and reliability. To our knowledge, this method is the first proposed technique for rapid prototyping of microfluidic systems in glass with such high performance parameters. The proposed method of fabrication can potentially be implemented in research institutions without access to a standard clean-room facility. (paper)

  9. Patterning of nanoparticulate transparent conductive ITO films using UV light irradiation and UV laser beam writing

    International Nuclear Information System (INIS)

    Solieman, A.; Moharram, A.H.; Aegerter, M.A.

    2010-01-01

    Indium tin oxide (ITO) thin film is one of the most widely used as transparent conductive electrodes in all forms of flat panel display (FPD) and microelectronic devices. Suspension of already crystalline conductive ITO nanoparticles fully dispersed in alcohol was spun, after modifying with coupling agent, on glass substrates. The low cost, simple and versatile traditional photolithography process without complication of the photoresist layer was used for patterning ITO films. Using of UV light irradiation through mask and direct UV laser beam writing resulted in an accurate linear, sharp edge and very smooth patterns. Irradiated ITO film showed a high transparency (∼85%) in the visible region. The electrical sheet resistance decrease with increasing time of exposure to UV light and UV laser. Only 5 min UV light irradiation is enough to decrease the electrical sheet resistance down to 5 kΩ□.

  10. Printed wax masks for 254 nm deep-UV pattering of PMMA-based microfluidics

    KAUST Repository

    Fan, Yiqiang; Liu, Yang; Li, Huawei; Foulds, Ian G.

    2012-01-01

    This paper reports a new technique for masking deep-UV exposure of poly(methyl methacrylate) (PMMA) using a printed wax mask. This technique provides an inexpensive and bulk fabrication method for PMMA structures. The technique involves the direct

  11. Printed wax masks for 254 nm deep-UV pattering of PMMA-based microfluidics

    International Nuclear Information System (INIS)

    Fan, Yiqiang; Liu, Yang; Li, Huawei; Foulds, Ian G

    2012-01-01

    This paper reports a new technique for masking deep-UV exposure of poly(methyl methacrylate) (PMMA) using a printed wax mask. This technique provides an inexpensive and bulk fabrication method for PMMA structures. The technique involves the direct printing of the mask onto a polymer sheet using a commercial wax printer. The wax layer was then transferred to a PMMA substrate using a thermal laminator, exposed using deep-UV (with a wavelength of 254 nm), developed in an IPA:water solution, and completed by bonding on a PMMA cap layer. A sample microfluidic device fabricated with this method is also presented, with the microchannel as narrow as 50 µm. The whole process is easy to perform without the requirement for any microfabrication facilities. (technical note)

  12. Multiple-height microstructure fabricated by deep reactive ion etching and soft resist masks combined with UV curing

    International Nuclear Information System (INIS)

    Sato, R; Sawada, T; Kumagai, S; Sasaki, M

    2014-01-01

    Multiple-height microstructures are realized by deep reactive ion etching and UV-cured photoresist used in the embedded mask process. Although the UV-cured photoresist is a soft mask, its material property becomes stable against resist thinner and UV exposure. A layered resist pattern can be realized by stacking normal photoresist on the UV-cured photoresist. The normal photoresist can be selectively removed by the flush exposure and developing after the first Si etching. This technique is applied to two MEMS devices

  13. Printed wax masks for 254 nm deep-UV pattering of PMMA-based microfluidics

    KAUST Repository

    Fan, Yiqiang

    2012-01-13

    This paper reports a new technique for masking deep-UV exposure of poly(methyl methacrylate) (PMMA) using a printed wax mask. This technique provides an inexpensive and bulk fabrication method for PMMA structures. The technique involves the direct printing of the mask onto a polymer sheet using a commercial wax printer. The wax layer was then transferred to a PMMA substrate using a thermal laminator, exposed using deep-UV (with a wavelength of 254 nm), developed in an IPA:water solution, and completed by bonding on a PMMA cap layer. A sample microfluidic device fabricated with this method is also presented, with the microchannel as narrow as 50 μm. The whole process is easy to perform without the requirement for any microfabrication facilities. © 2012 IOP Publishing Ltd.

  14. Low cost batch fabrication of microdevices using ultraviolet light-emitting diode photolithography technique

    Science.gov (United States)

    Lee, Neam Heng; Swamy, Varghese; Ramakrishnan, Narayanan

    2016-01-01

    Solid-state technology has enabled the use of light-emitting diodes (LEDs) in lithography systems due to their low cost, low power requirement, and higher efficiency relative to the traditional mercury lamp. Uniform irradiance distribution is essential for photolithography to ensure the critical dimension (CD) of the feature fabricated. However, light illuminated from arrays of LEDs can have nonuniform irradiance distribution, which can be a problem when using LED arrays as a source to batch-fabricate multiple devices on a large wafer piece. In this study, the irradiance distribution of an UV LED array was analyzed, and the separation distance between light source and mask optimized to obtain maximum irradiance uniformity without the use of a complex lens. Further, employing a diffuser glass enhanced the fabrication process and the CD loss was minimized to an average of 300 nm. To assess the performance of the proposed technology, batch fabrication of surface acoustic wave devices on lithium niobate substrate was carried out, and all the devices exhibited identical insertion loss of -18 dB at a resonance frequency of 39.33 MHz. The proposed low-cost UV lithography setup can be adapted in academic laboratories for research and teaching on microdevices.

  15. Single-color, in situ photolithography marking of individual CdTe/ZnTe quantum dots containing a single Mn{sup 2+} ion

    Energy Technology Data Exchange (ETDEWEB)

    Sawicki, K.; Malinowski, F. K.; Gałkowski, K.; Jakubczyk, T.; Kossacki, P.; Pacuski, W.; Suffczyński, J., E-mail: Jan.Suffczynski@fuw.edu.pl [Institute of Experimental Physics, Faculty of Physics, University of Warsaw, Pasteura 5 St., PL-02-093 Warsaw (Poland)

    2015-01-05

    A simple, single-color method for permanent marking of the position of individual self-assembled semiconductor Quantum Dots (QDs) at cryogenic temperatures is reported. The method combines in situ photolithography with standard micro-photoluminescence spectroscopy. Its utility is proven by a systematic magnetooptical study of a single CdTe/ZnTe QD containing a Mn{sup 2+} ion, where a magnetic field of up to 10 T in two orthogonal, Faraday and Voigt, configurations is applied to the same QD. The presented approach can be applied to a wide range of solid state nanoemitters.

  16. Flexible Bistable Smectic-A Liquid Crystal Device Using Photolithography and Photoinduced Phase Separation

    Directory of Open Access Journals (Sweden)

    Yang Lu

    2012-01-01

    Full Text Available A flexible bistable smectic-A liquid crystal (SmA LC device using pixel-isolated mode was demonstrated, in which SmA LC molecules were isolated in pixels by vertical polymer wall and horizontal polymer layer. The above microstructure was achieved by using ultraviolet (UV photolithography and photoinduced phase separation. The polymer wall was fabricated by photolithography, and then the SmA LC was encapsulated in pixels between polymer wall through UV-induced phase separation, in which the polymer wall acts as supporting structure from mechanical pressure and maintains the cell gap from bending, and the polymer layer acts as adhesive for tight attachment of two substrates. The results demonstrated that all the intrinsic bistable properties of the SmA LC are preserved, and good electrooptical characteristics such as high contrast ratio and excellent stability of the bistable states were characterized. This kind of SmA bistable flexible display has high potential to be used as electronic paper, smart switchable reflective windows, and so forth.

  17. Sinusoidal masks for single channel speech separation

    DEFF Research Database (Denmark)

    Mowlaee, Pejman; Christensen, Mads Græsbøll; Jensen, Søren Holdt

    2010-01-01

    In this paper we present a new approach for binary and soft masks used in single-channel speech separation. We present a novel approach called the sinusoidal mask (binary mask and Wiener filter) in a sinusoidal space. Theoretical analysis is presented for the proposed method, and we show...... that the proposed method is able to minimize the target speech distortion while suppressing the crosstalk to a predetermined threshold. It is observed that compared to the STFTbased masks, the proposed sinusoidal masks improve the separation performance in terms of objective measures (SSNR and PESQ) and are mostly...

  18. Self-aligned photolithography for the fabrication of fully transparent high-voltage devices

    Science.gov (United States)

    Zhang, Yonghui; Mei, Zengxia; Huo, Wenxing; Wang, Tao; Liang, Huili; Du, Xiaolong

    2018-05-01

    High-voltage devices, working in the range of hundreds of volts, are indispensable elements in the driving or readout circuits for various kinds of displays, integrated microelectromechanical systems and x-ray imaging sensors. However, the device performances are found hardly uniform or repeatable due to the misalignment issue, which are extremely common for offset drain high-voltage devices. To resolve this issue, this article reports a set of self-aligned photolithography technology for the fabrication of high-voltage devices. High-performance fully-transparent high-voltage thin film transistors, diodes and logic inverters are successfully fabricated with this technology. Unlike other self-aligned routes, opaque masks are introduced on the backside of the transparent substrate to facilitate proximity exposure method. The photolithography process is simulated and analyzed with technology computer aided design simulation to explain the working principle of the proximity exposure method. The substrate thickness is found to be vital for the implementation of this technology based on both simulation and experimental results. The electrical performance of high-voltage devices is dependent on the offset length, which can be delicately modulated by changing the exposure dose. The presented self-aligned photolithography technology is proved to be feasible in high-voltage circuits, demonstrating its huge potential in practical industrial applications.

  19. Double layer resist process scheme for metal lift-off with application in inductive heating of microstructures

    DEFF Research Database (Denmark)

    Ouattara, Lassana; Knutzen, Michael; Keller, Stephan Urs

    2010-01-01

    We present a new method to define metal electrodes on top of high-aspect-ratio microstructures using standard photolithography equipment and a single chromium mask. A lift-off resist (LOR) layer is implemented in an SU-8 photolithography process to selectively remove metal at the end of the proce......We present a new method to define metal electrodes on top of high-aspect-ratio microstructures using standard photolithography equipment and a single chromium mask. A lift-off resist (LOR) layer is implemented in an SU-8 photolithography process to selectively remove metal at the end...

  20. Large scale ZnTe nanostructures on polymer micro patterns via capillary force photolithography

    Energy Technology Data Exchange (ETDEWEB)

    Florence, S. Sasi, E-mail: sshanmugaraj@jazanu.edu.sa; Can, N.; Adam, H. [Department of Physics, Jazan University, Jizan-114 (Saudi Arabia); Sachan, P.; Gupta, R. K. [DST-Unit on Nanosciences, Indian Institute of Technology, Kanpur (India); Arockiasamy, L. [King Abdullah Institute for Nanotechnology, King Saud University, Riyadh 11451 (Saudi Arabia); Umadevi, M. [Department of Physics, Mother Teresa Women’s University, Kodaikanal-624101 (India)

    2016-06-10

    A novel approach to prepare micro patterns ZnTe nanostructures on Si (100) substrate using thermal evaporation is proposed by capillary Force Lithography (CFL) technique on a self-assembled sacrificial Polystyrene mask. Polystyrene thin films on Si substrates are used to fabricate surface micro-relief patterns. ZnTe nanoparticles have been deposited by thermal evaporation method. The deposited ZnTe nanoparticles properties were assessed by Atomic Force Microscope (AFM), Scanning Electron Microscope (SEM). SEM studies indicated that the particles are uniform in size and shape, well dispersed and spherical in shape. This study reports the micro-arrays of ZnTe nanoparticles on a self-assembled sacrificial PS mask using a capillary flow photolithography process which showed excellent, morphological properties which can be used in photovoltaic devices for anti-reflection applications.

  1. Combined nano-imprint and photolithography (CNP) of integrated polymer optics

    DEFF Research Database (Denmark)

    Christiansen, Mads Brøkner; Schøler, Mikkel; Kristensen, Anders

    2007-01-01

    is softened by heating, and UV exposed. Hereby the mm to /mi sized features are defined by the UV exposure through the metal mask, while nm-scale features are formed by mechanical deformation (nanoimprinting). The UV exposed (and imprinted) SU-8 is crosslinked by a post-exposure bake, before the stamp...

  2. Ion Beam Etching: Replication of Micro Nano-structured 3D Stencil Masks

    International Nuclear Information System (INIS)

    Weber, Patrick; Guibert, Edouard; Mikhailov, Serguei; Bruegger, Juergen; Villanueva, Guillermo

    2009-01-01

    Ion beam LIGA allows the etching of 3D nano-structures by direct writing with a nano-sized beam. However, this is a relatively time consuming process. We propose here another approach for etching structures on large surfaces and faster, compared to the direct writing process. This approach consists of replicating 3D structured masks, by scanning an unfocused ion beam. A polymer substrate is placed behind the mask, as in UV photolithography. But the main advantage is that the 3D structure of the mask can be replicated into the polymer. For that purpose, the masks (developped at LMIS1, EPFL) are made of a silicon nitride membrane 100 nm thick, on which 3D gold structures up to 200 nm thick, are deposited. The 3D Au structures are made with the nanostencil method, based on successive gold deposition. The IMA institute, from HE-Arc, owns a High Voltage Engineering 1.7 MV Tandetron with both solid and gaseous negative ion sources, able to generate ions from almost every chemical element in a broad range of energies comprised between 400 keV and 6.8 MeV. The beam composition and energy are chosen in such a way, that ions lose a significant fraction of their energy when passing through the thickest regions of the mask. Ions passing through thinner regions of the mask loose a smaller fraction of their energy and etch the polymer with larger thicknesses, allowing a replication of the mask into the polymer. For our trials, we have used a carbon beam with an energy of 500 keV. The beam was focussed to a diameter of 5 mm with solid slits, in order to avoid border effects and thus ensure a homogeneous dose distribution on the beam diameter. The feasibility of this technique has been demonstrated, allowing industrial applications for micro-mould fabrication, micro-fluidics and micro-optics.

  3. Directly UV written silica-on-silicon planar waveguides with low insertion loss

    DEFF Research Database (Denmark)

    Zauner, Dan; Svalgaard, Mikael; Kristensen, Martin

    1998-01-01

    in waveguide geometry, and excellent control of the refractive index step. Direct UV writing of waveguides became a realistic alternative to other fabrication methods when propagation losses below 0.2 dB/cm were reported in single-mode waveguides. However, the coupling loss to optical fibers remained high......, typically 1.8 dB/facet, which is significantly more than that obtained with other techniques. In this paper we present results in which the coupling loss to optical fibers has been lowered substantially. In addition, the glass photosensitivity has been increased, thus permitting shorter fabrication times......The photosensitive properties of germanosilica may be utilized to directly induce waveguide patterns into thin-film structures using ultraviolet (UV) light. The advantages of fabricating planar waveguides with UV light include the absence of photolithography and reactive ion etching, flexibility...

  4. A single mask process for the realization of fully-isolated, dual-height MEMS metallic structures separated by narrow gaps

    Science.gov (United States)

    Li, Yuan; Kim, Minsoo; Allen, Mark G.

    2018-02-01

    Multi-height metallic structures are of importance for various MEMS applications, including master molds for creating 3D structures by nanoimprint lithography, or realizing vertically displaced electrodes for out-of-plane electrostatic actuators. Normally these types of multi-height structures require a multi-mask process with increased fabrication complexity. In this work, a fabrication technology is presented in which fully-isolated, dual-height MEMS metallic structures separated by narrow gaps can be realized using a self-aligned, single-mask process. The main scheme of this proposed process is through-mold electrodeposition, where two photoresist mold fabrication steps and two electrodeposition steps are sequentially implemented to define the thinner and thicker structures in the dual-height configuration. The process relies on two self-aligned steps enabled by the electrodeposited thinner structures: a wet-etching of the seed layer utilizing the thinner structure as an etch-mask to electrically isolate the thinner and the thicker structures, and a backside UV lithography utilizing the thinner structure as a lithographic mask to create a high-aspect-ratio mold for the thicker structure through-mold electrodeposition. The latter step requires the metallic structures to be fabricated on a transparent substrate. Test structures with differences in aspect ratio are demonstrated to showcase the capability of the process.

  5. Plasma-assisted cleaning of extreme UV optics

    NARCIS (Netherlands)

    Dolgov, Alexandr Alexeevich

    2018-01-01

    Plasma-assisted cleaning of extreme UV optics EUV-induced surface plasma chemistry of photo-active agents The next generation of photolithography, extreme ultraviolet (EUV) lithography, makes use of 13.5 nm radiation. The ionizing photon flux, and vacuum requirements create a challenging operating

  6. Photolithography and Micro-Fabrication/ Packaging Laboratories

    Data.gov (United States)

    Federal Laboratory Consortium — The Photolithography and Micro-Fabrication/Packaging laboratories provide research level semiconductor processing equipment and facilities that do not require a full...

  7. Fabrication of micro- and nano-structured materials using mask-less processes

    International Nuclear Information System (INIS)

    Roy, Sudipta

    2007-01-01

    Micro- and nano-scale devices are used in electronics, micro-electro- mechanical, bio-analytical and medical components. An essential step for the fabrication of such small scale devices is photolithography. Photolithography requires a master mask to transfer micrometre or sub-micrometre scale patterns onto a substrate. The requirement of a physical, rigid mask can impede progress in applications which require rapid prototyping, flexible substrates, multiple alignment and 3D fabrication. Alternative technologies, which do not require the use of a physical mask, are suitable for these applications. In this paper mask-less methods of micro- and nano-scale fabrication have been discussed. The most common technique, which is the laser direct imaging (LDI), technique has been applied to fabricate micrometre scale structures on printed circuit boards, glass and epoxy. LDI can be combined with chemical methods to deposit metals, inorganic materials as well as some organic entities at the micrometre scale. Inkjet technology can be used to fabricate micrometre patterns of etch resists, organic transistors as well as arrays for bioanalysis. Electrohydrodynamic atomisation is used to fabricate micrometre scale ceramic features. Electrochemical methodologies offer a variety of technical solutions for micro- and nano-fabrication owing to the fact that electron charge transfer can be constrained to a solid-liquid interface. Electrochemical printing is an adaptation of inkjet printing which can be used for rapid prototyping of metallic circuits. Micro-machining using nano-second voltage pulses have been used to fabricate high precision features on metals and semiconductors. Optimisation of reactor, electrochemistry and fluid flow (EnFACE) has also been employed to transfer micrometre scale patterns on a copper substrate. Nano-scale features have been fabricated by using specialised tools such as scanning tunnelling microscopy, atomic force microscopy and focused ion beam. The

  8. Laser micromachined wax-covered plastic paper as both sputter deposition shadow masks and deep-ultraviolet patterning masks for polymethylmethacrylate-based microfluidic systems

    KAUST Repository

    Fan, Yiqiang

    2013-12-16

    We report a technically innovative method of fabricating masks for both deep-ultraviolet (UV) patterning and metal sputtering on polymethylmethacrylate (PMMA) for microfluidic systems. We used a CO2 laser system to cut the required patterns on wax-covered plastic paper; the laser-patterned wax paper will either work as a mask for deep-UV patterning or as a mask for metal sputtering. A microfluidic device was also fabricated to demonstrate the feasibility of this method. The device has two layers: the first layer is a 1-mm thick PMMA substrate that was patterned by deep-UV exposure to create microchannels. The mask used in this process was the laser-cut wax paper. The second layer, also a 1-mm thick PMMA layer, was gold sputtered with patterned wax paper as the shadow mask. These two pieces of PMMA were then bonded to form microchannels with exposed electrodes. This process is a simple and rapid method for creating integrated microfluidic systems that do not require cleanroom facilities.

  9. Simple Multi-level Microchannel Fabrication by Pseudo-Grayscale Backside Diffused Light Lithography.

    Science.gov (United States)

    Lai, David; Labuz, Joseph M; Kim, Jiwon; Luker, Gary D; Shikanov, Ariella; Takayama, Shuichi

    2013-11-14

    Photolithography of multi-level channel features in microfluidics is laborious and/or costly. Grayscale photolithography is mostly used with positive photoresists and conventional front side exposure, but the grayscale masks needed are generally costly and positive photoresists are not commonly used in microfluidic rapid prototyping. Here we introduce a simple and inexpensive alternative that uses pseudo-grayscale (pGS) photomasks in combination with backside diffused light lithography (BDLL) and the commonly used negative photoresist, SU-8. BDLL can produce smooth multi-level channels of gradually changing heights without use of true grayscale masks because of the use of diffused light. Since the exposure is done through a glass slide, the photoresist is cross-linked from the substrate side up enabling well-defined and stable structures to be fabricated from even unspun photoresist layers. In addition to providing unique structures and capabilities, the method is compatible with the "garage microfluidics" concept of creating useful tools at low cost since pGS BDLL can be performed with the use of only hot plates and a UV transilluminator: equipment commonly found in biology labs. Expensive spin coaters or collimated UV aligners are not needed. To demonstrate the applicability of pGS BDLL, a variety of weir-type cell traps were constructed with a single UV exposure to separate cancer cells (MDA-MB-231, 10-15 μm in size) from red blood cells (RBCs, 2-8 μm in size) as well as follicle clusters (40-50 μm in size) from cancer cells (MDA-MB-231, 10-15 μm in size).

  10. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  11. Design of an Image-Servo Mask Alignment System Using Dual CCDs with an XXY Stage

    Directory of Open Access Journals (Sweden)

    Chih-Jer Lin

    2016-02-01

    Full Text Available Mask alignment of photolithography technology is used in many applications, such as micro electro mechanical systems’ semiconductor process, printed circuits board, and flat panel display. As the dimensions of the product are getting smaller and smaller, the automatic mask alignment of photolithography is becoming more and more important. The traditional stacked XY-Θz stage is heavy and it has cumulative flatness errors due to its stacked assembly mechanism. The XXY stage has smaller cumulative error due to its coplanar design and it can move faster than the traditional XY-Θz stage. However, the relationship between the XXY stage’s movement and the commands of the three motors is difficult to compute, because the movements of the three motors on the same plane are coupling. Therefore, an artificial neural network is studied to establish a nonlinear mapping from the desired position and orientation of the stage to three motors’ commands. Further, this paper proposes an image-servo automatic mask alignment system, which consists of a coplanar XXY stage, dual GIGA-E CCDs with lens and a programmable automatic controller (PAC. Before preforming the compensation, a self-developed visual-servo provides the positioning information which is obtained from the image processing and pattern recognition according to the specified fiducial marks. To obtain better precision, two methods including the center of gravity method and the generalize Hough Transformation are studied to correct the shift positioning error.

  12. Fabrication of micropatterned hydrogels for neural culture systems using dynamic mask projection photolithography.

    Science.gov (United States)

    Curley, J Lowry; Jennings, Scott R; Moore, Michael J

    2011-02-11

    Increasingly, patterned cell culture environments are becoming a relevant technique to study cellular characteristics, and many researchers believe in the need for 3D environments to represent in vitro experiments which better mimic in vivo qualities. Studies in fields such as cancer research, neural engineering, cardiac physiology, and cell-matrix interaction have shown cell behavior differs substantially between traditional monolayer cultures and 3D constructs. Hydrogels are used as 3D environments because of their variety, versatility and ability to tailor molecular composition through functionalization. Numerous techniques exist for creation of constructs as cell-supportive matrices, including electrospinning, elastomer stamps, inkjet printing, additive photopatterning, static photomask projection-lithography, and dynamic mask microstereolithography. Unfortunately, these methods involve multiple production steps and/or equipment not readily adaptable to conventional cell and tissue culture methods. The technique employed in this protocol adapts the latter two methods, using a digital micromirror device (DMD) to create dynamic photomasks for crosslinking geometrically specific poly-(ethylene glycol) (PEG) hydrogels, induced through UV initiated free radical polymerization. The resulting "2.5D" structures provide a constrained 3D environment for neural growth. We employ a dual-hydrogel approach, where PEG serves as a cell-restrictive region supplying structure to an otherwise shapeless but cell-permissive self-assembling gel made from either Puramatrix or agarose. The process is a quick simple one step fabrication which is highly reproducible and easily adapted for use with conventional cell culture methods and substrates. Whole tissue explants, such as embryonic dorsal root ganglia (DRG), can be incorporated into the dual hydrogel constructs for experimental assays such as neurite outgrowth. Additionally, dissociated cells can be encapsulated in the

  13. Poly-silicon quantum-dot single-electron transistors

    International Nuclear Information System (INIS)

    Kang, Kwon-Chil; Lee, Joung-Eob; Lee, Jung-Han; Lee, Jong-Ho; Shin, Hyung-Cheol; Park, Byung-Gook

    2012-01-01

    For operation of a single-electron transistors (SETs) at room temperature, we proposed a fabrication method for a SET with a self-aligned quantum dot by using polycrystalline silicon (poly-Si). The self-aligned quantum dot is formed by the selective etching of a silicon nanowire on a planarized surface and the subsequent deposition and etch-back of poly-silicon or chemical mechanical polishing (CMP). The two tunneling barriers of the SET are fabricated by thermal oxidation. Also, to decrease the leakage current and control the gate capacitance, we deposit a hard oxide mask layer. The control gate is formed by using an electron beam and photolithography on chemical vapor deposition (CVD). Owing to the small capacitance of the narrow control gate due to the tetraethyl orthosilicate (TEOS) hard mask, we observe clear Coulomb oscillation peaks and differential trans-conductance curves at room temperature. The clear oscillation period of the fabricated SET is 2.0 V.

  14. Physical masking process for integrating micro metallic structures on polymer substrate

    DEFF Research Database (Denmark)

    Islam, Mohammad Aminul; Hansen, Hans Nørgaard

    2009-01-01

    plasmon devices need micro metallic structures on a polymer substrate with an uniform metal layer thickness in the nanometer range. A well known fabrication process to achieve such metallic surface pattern on polymer substrate is photolithography which involves an expensive mask and toxic chemicals......Integration of micro metallic structures in polymer devices is a broad multi-disciplinary research field, consisting of various combinations of mechanical, chemical and physical fabrication methods. Each of the methods has its specific advantages and disadvantages. Some applications like surface....... The current study shows a novel approach for fabricating thin micro metallic structures on polymer substrates using a simple physical mask and a PVD equipment. The new process involves fewer process steps, it is cost effective and suitable for high volume industrial production. Current study suggests...

  15. Comparison of face masks in the bag-mask ventilation of a manikin.

    Science.gov (United States)

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  16. Method for making a single-step etch mask for 3D monolithic nanostructures

    International Nuclear Information System (INIS)

    Grishina, D A; Harteveld, C A M; Vos, W L; Woldering, L A

    2015-01-01

    Current nanostructure fabrication by etching is usually limited to planar structures as they are defined by a planar mask. The realization of three-dimensional (3D) nanostructures by etching requires technologies beyond planar masks. We present a method for fabricating a 3D mask that allows one to etch three-dimensional monolithic nanostructures using only CMOS-compatible processes. The mask is written in a hard-mask layer that is deposited on two adjacent inclined surfaces of a Si wafer. By projecting in a single step two different 2D patterns within one 3D mask on the two inclined surfaces, the mutual alignment between the patterns is ensured. Thereby after the mask pattern is defined, the etching of deep pores in two oblique directions yields a three-dimensional structure in Si. As a proof of concept we demonstrate 3D mask fabrication for three-dimensional diamond-like photonic band gap crystals in silicon. The fabricated crystals reveal a broad stop gap in optical reflectivity measurements. We propose how 3D nanostructures with five different Bravais lattices can be realized, namely cubic, tetragonal, orthorhombic, monoclinic and hexagonal, and demonstrate a mask for a 3D hexagonal crystal. We also demonstrate the mask for a diamond-structure crystal with a 3D array of cavities. In general, the 2D patterns on the different surfaces can be completely independently structured and still be in perfect mutual alignment. Indeed, we observe an alignment accuracy of better than 3.0 nm between the 2D mask patterns on the inclined surfaces, which permits one to etch well-defined monolithic 3D nanostructures. (paper)

  17. LASER PROCESSING ON SINGLE CRYSTALS BY UV PULSE LASER

    OpenAIRE

    龍見, 雅美; 佐々木, 徹; 高山, 恭宜

    2009-01-01

    Laser processing by using UV pulsed laser was carried out on single crystal such as sapphire and diamond in order to understand the fundamental laser processing on single crystal. The absorption edges of diamond and sapphire are longer and shorter than the wave length of UV laser, respectively. The processed regions by laser with near threshold power of processing show quite different state in each crystal.

  18. 44-1: Invited Paper: Photolithography as Enabler of AMOLED Displays Beyond 1000 ppi

    NARCIS (Netherlands)

    Malinowski, P.E.; Ke, T.; Nakamura, A.; Vicca, P.; Kronemeijer, A.J.; Ameys, M.; Steen, J.L. van der; Steudel, S.; Kamochi, Y.; Iwai, Y.; Gelinck, G.; Heremans, P.

    2017-01-01

    This paper describes the potential of hi-res display fabrication using OLED photolithography. We demonstrate 1250 ppi multicolor arrays, pixel scaling down to 3 μm pitch, integration in active displays, and improving lifetime after patterning (200 hours T75, smOLEDs). Photolithography can enable

  19. Chalcogenide phase-change thin films used as grayscale photolithography materials.

    Science.gov (United States)

    Wang, Rui; Wei, Jingsong; Fan, Yongtao

    2014-03-10

    Chalcogenide phase-change thin films are used in many fields, such as optical information storage and solid-state memory. In this work, we present another application of chalcogenide phase-change thin films, i.e., as grayscale photolithgraphy materials. The grayscale patterns can be directly inscribed on the chalcogenide phase-change thin films by a single process through direct laser writing method. In grayscale photolithography, the laser pulse can induce the formation of bump structure, and the bump height and size can be precisely controlled by changing laser energy. Bumps with different height and size present different optical reflection and transmission spectra, leading to the different gray levels. For example, the continuous-tone grayscale images of lifelike bird and cat are successfully inscribed onto Sb(2)Te(3) chalcogenide phase-change thin films using a home-built laser direct writer, where the expression and appearance of the lifelike bird and cat are fully presented. This work provides a way to fabricate complicated grayscale patterns using laser-induced bump structures onto chalcogenide phase-change thin films, different from current techniques such as photolithography, electron beam lithography, and focused ion beam lithography. The ability to form grayscale patterns of chalcogenide phase-change thin films reveals many potential applications in high-resolution optical images for micro/nano image storage, microartworks, and grayscale photomasks.

  20. Lithography With Metallo-Organic Resists

    Science.gov (United States)

    Pastor, A. C.; Pastor, R. C.; Braunstein, M.; Tangonan, G. L.

    1981-02-01

    Photolithography with metallo-organic resists is a relatively new addition to photo-engraving technology, and involves the chemical incorporation of inorganic constituents into photopolymerizable organic compounds, so that the photoresist functions not merely as a masking material, as in conventional photolithography, but also as the mass transference vehicle itself. The deposition of thin structured films of metal oxides with this method has been accomplished, the metal-doped resist in each case being the metal acrylate in acrylic acid, except in those cases where the metal acrylate was insoluble. Polymerization was effected with uv irradiation. The criteria for depositing other classes of inorganic compounds are outlined.

  1. Enhanced Efficiency of GaAs Single-Junction Solar Cells with Inverted-Cone-Shaped Nanoholes Fabricated Using Anodic Aluminum Oxide Masks

    Directory of Open Access Journals (Sweden)

    Kangho Kim

    2013-01-01

    Full Text Available The GaAs solar cells are grown by low-pressure metalorganic chemical vapor deposition (LP-MOCVD and fabricated by photolithography, metal evaporation, annealing, and wet chemical etch processes. Anodized aluminum oxide (AAO masks are prepared from an aluminum foil by a two-step anodization method. Inductively coupled plasma dry etching is used to etch and define the nanoarray structures on top of an InGaP window layer of the GaAs solar cells. The inverted-cone-shaped nanoholes with a surface diameter of about 50 nm are formed on the top surface of the solar cells after the AAO mask removal. Photovoltaic and optical characteristics of the GaAs solar cells with and without the nanohole arrays are investigated. The reflectance of the AAO nanopatterned samples is lower than that of the planar GaAs solar cell in the measured range. The short-circuit current density increased up to 11.63% and the conversion efficiency improved from 10.53 to 11.57% under 1-sun AM 1.5 G conditions by using the nanohole arrays. Dependence of the efficiency enhancement on the etching depth of the nanohole arrays is also investigated. These results show that the nanohole arrays fabricated with an AAO technique may be employed to improve the light absorption and, in turn, the conversion efficiency of the GaAs solar cell.

  2. SU-8 Photolithography as a Toolbox for Carbon MEMS

    Directory of Open Access Journals (Sweden)

    Rodrigo Martinez-Duarte

    2014-09-01

    Full Text Available The use of SU-8 as precursor for glass-like carbon, or glassy carbon, is presented here. SU-8 carbonizes when subject to high temperature under inert atmosphere. Although epoxy-based precursors can be patterned in a variety of ways, photolithography is chosen due to its resolution and reproducibility. Here, a number of improvements to traditional photolithography are introduced to increase the versatility of the process. The shrinkage of SU-8 during carbonization is then detailed as one of the guidelines necessary to design carbon patterns. A couple of applications—(1 carbon-electrode dielectrophoresis for bioparticle manipulation; and (2 the use of carbon structures as micro-molds are also presented.

  3. Mask fabrication process

    Science.gov (United States)

    Cardinale, Gregory F.

    2000-01-01

    A method for fabricating masks and reticles useful for projection lithography systems. An absorber layer is conventionally patterned using a pattern and etch process. Following the step of patterning, the entire surface of the remaining top patterning photoresist layer as well as that portion of an underlying protective photoresist layer where absorber material has been etched away is exposed to UV radiation. The UV-exposed regions of the protective photoresist layer and the top patterning photoresist layer are then removed by solution development, thereby eliminating the need for an oxygen plasma etch and strip and chances for damaging the surface of the substrate or coatings.

  4. Microchannel-connected SU-8 honeycombs by single-step projection photolithography for positioning cells on silicon oxide nanopillar arrays

    International Nuclear Information System (INIS)

    Larramendy, Florian; Paul, Oliver; Blatche, Marie Charline; Mazenq, Laurent; Laborde, Adrian; Temple-Boyer, Pierre

    2015-01-01

    We report on the fabrication, functionalization and testing of SU-8 microstructures for cell culture and positioning over large areas. The microstructure consists of a honeycomb arrangement of cell containers interconnected by microchannels and centered on nanopillar arrays designed for promoting cell positioning. The containers have been dimensioned to trap single cells and, with a height of 50 µm, prevent cells from escaping. The structures are fabricated using a single ultraviolet photolithography exposure with focus depth in the lower part of the SU-8 resist. With optimized process parameters, microchannels of various aspect ratios are thus produced. The cell containers and microchannels serve for the organization of axonal growth between neurons. The roughly 2 µm-high and 500 nm-wide nanopillars are made of silicon oxide structured by deep reactive ion etching. In future work, beyond their cell positioning purpose, the nanopillars could be functionalized as sensors. The proof of concept of the novel microstructure for organized cell culture is given by the successful growth of interconnected PC12 cells. Promoted by the honeycomb geometry, a dense network of interconnections between the cells has formed and the intended intimate contact of cells with the nanopillar arrays was observed by scanning electron microscopy. This proves the potential of these new devices as tools for the controlled cell growth in an interconnected container system with well-defined 3D geometry. (paper)

  5. High-Power 365 nm UV LED Mercury Arc Lamp Replacement for Photochemistry and Chemical Photolithography.

    Science.gov (United States)

    Hölz, K; Lietard, J; Somoza, M M

    2017-01-03

    Ultraviolet light emitting diodes (UV LEDs) have become widespread in chemical research as highly efficient light sources for photochemistry and photopolymerization. However, in more complex experimental setups requiring highly concentrated light and highly spatially resolved patterning of the light, high-pressure mercury arc lamps are still widely used because they emit intense UV light from a compact arc volume that can be efficiently coupled into optical systems. Advances in the deposition and p -type doping of gallium nitride have recently permitted the manufacture of UV LEDs capable of replacing mercury arc lamps also in these applications. These UV LEDs exceed the spectral radiance of mercury lamps even at the intense I-line at 365 nm. Here we present the successful exchange of a high-pressure mercury arc lamp for a new generation UV LED as a light source in photolithographic chemistry and its use in the fabrication of high-density DNA microarrays. We show that the improved light radiance and efficiency of these LEDs offer substantial practical, economic and ecological advantages, including faster synthesis, lower hardware costs, very long lifetime, an >85-fold reduction in electricity consumption and the elimination of mercury waste and contamination.

  6. All-periodically poled, high-power, continuous-wave, single-frequency tunable UV source.

    Science.gov (United States)

    Aadhi, A; Chaitanya N, Apurv; Jabir, M V; Singh, R P; Samanta, G K

    2015-01-01

    We report on experimental demonstration of an all-periodically poled, continuous-wave (CW), high-power, single-frequency, ultra-violet (UV) source. Based on internal second-harmonic-generation (SHG) of a CW singly resonant optical parametric oscillator (OPO) pumped in the green, the UV source provides tunable radiation across 398.94-417.08 nm. The compact source comprising of a 25-mm-long MgO-doped periodically poled stoichiometric lithium tantalate (MgO:sPPLT) crystal of period Λ(SLT)=8.5  μm for OPO and a 5-mm-long, multi-grating (Λ(KTP)=3.3, 3.4, 3.6 and 3.8 μm), periodically poled potassium titanium phosphate (PPKTP) for intra-cavity SHG, provides as much as 336 mW of UV power at 398.94 nm, corresponding to a green-to-UV conversion efficiency of ∼6.7%. In addition, the singly resonant OPO (SRO) provides 840 mW of idler at 1541.61 nm and substantial signal power of 108 mW at 812.33 nm transmitted through the high reflective cavity mirrors. UV source provides single-frequency radiation with instantaneous line-width of ∼18.3  MHz and power >100  mW in Gaussian beam profile (ellipticity >92%) across the entire tuning range. Access to lower UV wavelengths requires smaller grating periods to compensate high phase-mismatch resulting from high material dispersion in the UV wavelength range. Additionally, we have measured the normalized temperature and spectral acceptance bandwidth of PPKTP crystal in the UV wavelength range to be ∼2.25°C·cm and ∼0.15  nm·cm, respectively.

  7. Transformation of Saccharomyces cerevisiae with UV-irradiated single-stranded plasmid.

    Science.gov (United States)

    Zgaga, Z

    1991-08-01

    UV-irradiated single-stranded replicative plasmids were used to transform different yeast strains. The low doses of UV used in this study (10-75 J/m2) caused a significant decrease in the transforming efficiency of plasmid DNA in the Rad+ strain, while they had no effect on transformation with double-stranded plasmids of comparable size. Neither the rev3 mutation, nor the rad18 or rad52 mutations influenced the efficiency of transformation with irradiated single-stranded plasmid. However, it was found to be decreased in the double rev3 rad52 mutant. Extracellular irradiation of plasmid that contains both URA3 and LEU2 genes (psLU) gave rise to up to 5% Leu- transformants among selected Ura+ ones in the repair-proficient strain. Induction of Leu- transformants was dose-dependent and only partially depressed in the rev3 mutant. These results suggest that both mutagenic and recombinational repair processes operate on UV-damaged single-stranded DNA in yeast.

  8. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    Science.gov (United States)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  9. Systematic measurements of the gain and the energy resolution of single and double mask GEM detectors

    International Nuclear Information System (INIS)

    Biswas, S.; Schmidt, D.J.; Abuhoza, A.; Frankenfeld, U.; Garabatos, C.; Hehner, J.; Kleipa, V.; Morhardt, T.; Schmidt, C.J.; Schmidt, H.R.; Wiechula, J.

    2016-01-01

    Systematic studies on the gain and the energy resolution have been carried out by varying the voltage across the GEM foils for both single mask and double mask triple GEM detector prototypes. Variation of the gain and the energy resolution has also been measured by varying either the drift voltage, transfer voltage and induction voltage keeping other voltages constant. The results of the systematic measurements have been presented.

  10. High Energy, Single-Mode, All-Solid-State and Tunable UV Laser Transmitter

    Science.gov (United States)

    Prasad, Narasimha S.; Singh, Upendra N.; Hovis, FLoyd

    2007-01-01

    A high energy, single mode, all solid-state Nd:YAG laser primarily for pumping an UV converter is developed. Greater than 1 J/pulse at 50 HZ PRF and pulse widths around 22 ns have been demonstrated. Higher energy, greater efficiency may be possible. Refinements are known and practical to implement. Technology Demonstration of a highly efficient, high-pulse-energy, single mode UV wavelength generation using flash lamp pumped laser has been achieved. Greater than 90% pump depletion is observed. 190 mJ extra-cavity SFG; IR to UV efficiency > 21% (> 27% for 1 mJ seed). 160 mJ intra-cavity SFG; IR to UV efficiency up to 24% Fluence laser is being refined to match or exceed the above UV converter results. Currently the Nd:YAG pump laser development is a technology demonstration. System can be engineered for compact packaging.

  11. The route to visible light photolithography using hyperlens

    Science.gov (United States)

    Sun, Jingbo; Litchinitser, Natalia M.

    2018-04-01

    Hyperlens, a curved hyperbolic metamaterials-based device, first emerged as a promising way of overcoming the diffraction limit for subwavelength imaging applications. In addition, it was recently realized that it can be used for sub-wavelength de-magnification. The magnification/de-magnification rate of the hyperlens is directly related to the thickness of the hyperbolic metamaterial. In this paper, we perform a systematic study on the de-magnification properties in different types of the hyperlens. We optimize the de-magnifying properties of a hyperlens to facilitate its potential applications for sub-wavelength photolithography. In order to achieve the required de-magnification properties, we developed a multi-step multi-layer deposition method to fabricate high-quality, thick hyperbolic metamaterials, advancing the state-of-the-art magnification and/or de-magnification properties of the hyperlens. The application of such a high de-magnification rate hyperlens in the photolithography technique may open up a new approach to the nanolithography using visible light, addressing growing demands for inexpensive, all-optical nanoscale pattern recording.

  12. Homogeneous nano-patterning using plasmon-assisted photolithography

    Energy Technology Data Exchange (ETDEWEB)

    Ueno, Kosei [Research Institute for Electronic Science, Hokkaido University, Sapporo 001-0021 (Japan); PRESTO, Japan Science and Technology Agency, Kawaguchi 332-0012 (Japan); Takabatake, Satoaki; Onishi, Ko; Itoh, Hiroko; Nishijima, Yoshiaki [Research Institute for Electronic Science, Hokkaido University, Sapporo 001-0021 (Japan); Misawa, Hiroaki [PRESTO, Japan Science and Technology Agency, Kawaguchi 332-0012 (Japan)

    2011-07-04

    We report an innovative lithography system appropriate for fabricating sharp-edged nanodot patterns with nanoscale accuracy using plasmon-assisted photolithography. The key technology is two-photon photochemical reactions of a photoresist induced by plasmonic near-field light and the scattering component of the light in a photoresist film. The scattering component of the light is a radiation mode from higher order localized surface plasmon resonances scattered by metallic nanostructures.

  13. Light emitting diodes as an alternative ambient illumination source in photolithography environment

    DEFF Research Database (Denmark)

    Corell, Dennis Dan; Ou, Haiyan; Dam-Hansen, Carsten

    2009-01-01

    We explored an alternative light emitting diode (LED) - based solution to replace the existing yellow fluorescent light tubes (YFT) used in photolithography rooms. A no-blue LED lamp was designed and a prototype was fabricated. For both solutions, the spectral power distribution (SPD) was measured......, the colorimetric values were calculated, and a visual comparison using Gretagmacbeth colorcharts was performed. The visual comparison showed that the LED bulb was better to render colors despite a low color rendering index (CRI). Furthermore, the LED bulb was tested in a photolithography room...... and there was no exposure to the photoresist even after 168 hours illumination....

  14. New technologies for UV detectors

    Science.gov (United States)

    Joseph, C. L.

    1993-01-01

    Several technologies are currently being developed, leading to substantial improvements in the performance of UV detectors or significant reductions in power or weight. Four technologies discussed are (1) thin-film coatings to enhance the UV sensitivity of CCD's, (2) highly innovative magnet assemblies that dramatically reduce weight and result in virtually no external flux, (3) new techniques for curving microchannel plates (MCP's) so that single plates can be used to prevent ion feedback and present highly localized charge clouds to an anode structure, and (4) high-performance alternatives to glass-based MCP's. In item (2), for example, very robust magnets are made out of rare earth materials such as samarium cobalt, and cladding magnets are employed to prevent flux from escaping from the detector into the external environment. These new ultralight magnet assemblies are able to create strong, exceptionally uniform magnetic fields for image intensification and focusing of photoelectrons. The principle advantage of such detectors is the quantum efficiencies of 70-80 percent obtained throughout ultraviolet wavelengths (900-2000 A), the highest of any device. Despite the improvements achieved under item (3), high-performance alternatives to conventional glass-based MCP's potentially offer three distinct new advantages that include (1) a 30-100-fold improvement in dynamic range resulting in correspondingly higher signal-to-noise ratios, (2) the use of pure dielectric and semiconductor materials that will not outgas contaminants that eventually destroy photocathodes, and (3) channels that have constant spacing providing long-ranged order since the plates are made using photolithography techniques from the semiconductor industry. The manufacturers of these advanced-technology MCP's, however, are a couple of years away from actually producing a functioning image intensifier. In contrast to the use of CCD's for optical, ground based observations, there is no single

  15. Influence of geometrical and electrical parameters of masking layers on the electrochemical etching of silicon for single trench formation

    International Nuclear Information System (INIS)

    Gautier, G; Ventura, L; Jerisian, R

    2005-01-01

    Deep single trenches can be produced at the edge of apertures of protective films masking the surface of silicon samples. This macropore formation, from polarized HF based solutions, is electrically activated depending on the mask geometrical and physical parameters whatever the silicon type or the electrolyte composition. The mask thickness increase is known to induce deeper trenches. In this paper, we show that we can predict and localize this phenomenon by simulating two dimensional hole current distributions below the mask. We demonstrate also the influence of the material permittivity on trench depth. These 2D simulation results are correlated with experimental results

  16. On-line photolithography modeling using spectrophotometry and Prolith/2

    Science.gov (United States)

    Engstrom, Herbert L.; Beacham, Jeanne E.

    1994-05-01

    Spectrophotometry has been applied to optimizing photolithography processes in semiconductor manufacturing. For many years thin film measurement systems have been used in manufacturing for controlling film deposition processes. The combination of film thickness mapping with photolithography modeling has expanded the applications of this technology. Experimental measurements of dose-to-clear, the minimum light exposure dose required to fully develop a photoresist, are described. It is shown how dose-to-clear and photoresist contrast may be determined rapidly and conveniently from measurements of a dose exposure matrix on a monitor wafer. Such experimental measurements may underestimate the dose-to- clear because of thickness variations of the photoresist and underlying layers on the product wafer. Online modeling of the photolithographic process together with film thickness maps of the entire wafer can overcome this problem. Such modeling also provides maps of dose-to- clear and resist linewidth that can be used to estimate and optimize yield.

  17. Contact printed masks for 3D microfabrication in negative resists

    DEFF Research Database (Denmark)

    Häfliger, Daniel; Boisen, Anja

    2005-01-01

    We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded into the ......We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded...... into the negative resist to protect buried material from UV-exposure. Unlike direct evaporation-deposition of a mask onto the SU-8, printing avoids high stress and radiation, thus preventing resist wrinkling and prepolymerization. We demonstrate effective monolithic fabrication of soft, 4-μm thick and 100-μm long...

  18. High-Power 365 nm UV LED Mercury Arc Lamp Replacement for Photochemistry and Chemical Photolithography

    OpenAIRE

    H?lz, K.; Lietard, J.; Somoza, M. M.

    2016-01-01

    Ultraviolet light emitting diodes (UV LEDs) have become widespread in chemical research as highly efficient light sources for photochemistry and photopolymerization. However, in more complex experimental setups requiring highly concentrated light and highly spatially resolved patterning of the light, high-pressure mercury arc lamps are still widely used because they emit intense UV light from a compact arc volume that can be efficiently coupled into optical systems. Advances in the deposition...

  19. Three-dimensional photolithography technology for a fiber substrate using a microfabricated exposure module

    International Nuclear Information System (INIS)

    Lu, Yao; Zhang, Yi; Lu, Jian; Mimura, Akio; Matsumoto, Sohei; Itoh, Toshihiro

    2010-01-01

    This paper proposes a new three-dimensional (3D) photolithography technology for a high-resolution micropatterning process on a fiber substrate. A brief review on the lithography technology of the non-planar surface is also presented. The proposed technology mainly comprises the microfabrication of the 3D exposure module and the spray deposition of thin resist films on the fiber. The 3D exposure module is successfully prepared by the wet etching of a quartz substrate and the projection exposure method. The chief advantages of the 3D exposure module are long service life, low cost, narrow print gap and thus high resolution. A novel spray coating system has been developed for the preparation of uniform and thin resist films on the fibers, which are necessary for the high-resolution micropatterning process. The spray deposition process on the 125 µm in-diameter optical fiber has been systematically investigated. The viscosity and volatility of the resist solutions have complicated effects because the spray-coating deposition process on the fiber mainly consisted of the impinging region. The uniform and thin resist film down to 1 µm thick had been successfully achieved. Fine patterns with the line width down to 6 µm were successfully formed on the optical fiber by using the microfabricated exposure module. Preliminary photolithography experiments confirmed that the new 3D photolithography technology is one attractive low-cost solution to the integration of micro transducers onto the fibers for various applications. The 3D exposure module could also enable the continuous photolithography process on the fibers

  20. Fabrication of sub-diffraction-limit molecular structures by scanning near-field photolithography

    Science.gov (United States)

    Ducker, Robert E.; Montague, Matthew T.; Sun, Shuqing; Leggett, Graham J.

    2007-09-01

    Using a scanning near-field optical microscope coupled to a UV laser, an approach we term scanning near-field photolithography (SNP), structures as small as 9 nm (ca. λ/30) may be fabricated in self-assembled monolayers of alkanethiols on gold surfaces. Selective exposure of the adsorbate molecules in the near field leads to photoconversion of the alkylthiolate to a weakly bound alkylsulfonate which may be displaced readily be a contrasting thiol, leading to a chemical pattern, or used as a resist for the selective etching of the underlying metal. A novel ultra-mild etch for gold is reported, and used to etch structures as small as 9 nm. Photopatterning of oligo(ethylene glycol) (OEG) terminated selfassembled monolayers facilitates the fabrication of biomolecular nanostructures. Selective removal of the protein-resistant OEG terminated adsorbates created regions that may be functionalized with a second thiol and derivatized with a biomolecule. Finally, the application of SNP to nanopatterning on oxide surfaces is demonstrated. Selective exposure of monolayers of phosphonic acids adsorbed onto aluminum oxide leads to cleavage of the P-C bond and desorption of the adsorbate molecule. Subsequent etching, using aqueous based, yields structures as small as 100 nm.

  1. Three-dimensional characterization of extreme ultraviolet mask blank defects by interference contrast photoemission electron microscopy.

    Science.gov (United States)

    Lin, Jingquan; Weber, Nils; Escher, Matthias; Maul, Jochen; Han, Hak-Seung; Merkel, Michael; Wurm, Stefan; Schönhense, Gerd; Kleineberg, Ulf

    2008-09-29

    A photoemission electron microscope based on a new contrast mechanism "interference contrast" is applied to characterize extreme ultraviolet lithography mask blank defects. Inspection results show that positioning of interference destructive condition (node of standing wave field) on surface of multilayer in the local region of a phase defect is necessary to obtain best visibility of the defect on mask blank. A comparative experiment reveals superiority of the interference contrast photoemission electron microscope (Extreme UV illumination) over a topographic contrast one (UV illumination with Hg discharge lamp) in detecting extreme ultraviolet mask blank phase defects. A depth-resolved detection of a mask blank defect, either by measuring anti-node peak shift in the EUV-PEEM image under varying inspection wavelength condition or by counting interference fringes with a fixed illumination wavelength, is discussed.

  2. Patterning of oxide-hardened gold black by photolithography and metal lift-off

    Science.gov (United States)

    Panjwani, Deep; Yesiltas, Mehmet; Nath, Janardan; Maukonen, D. E.; Rezadad, Imen; Smith, Evan M.; Peale, R. E.; Hirschmugl, Carol; Sedlmair, Julia; Wehlitz, Ralf; Unger, Miriam; Boreman, Glenn

    2014-01-01

    A method to pattern infrared-absorbing gold black by conventional photolithography and lift-off is described. A photo-resist pattern is developed on a substrate by standard photolithography. Gold black is deposited over the whole by thermal evaporation in an inert gas at ˜1 Torr. SiO2 is then deposited as a protection layer by electron beam evaporation. Lift-off proceeds by dissolving the photoresist in acetone. The resulting sub-millimeter size gold black patterns that remain on the substrate retain high infrared absorption out to ˜5 μm wavelength and exhibit good mechanical stability. This technique allows selective application of gold black coatings to the pixels of thermal infrared imaging array detectors.

  3. A phase mask fiber grating and sensing applications

    Directory of Open Access Journals (Sweden)

    Preecha P. Yupapin

    2003-09-01

    Full Text Available This paper presents an investigation of a fabricated fiber grating device characteristics and its applications, using a phase mask writing technique. The use of a most common UV phase laser (KrF eximer laser, with high intensity light source was focussed to the phase mask for writing on a fiber optic sample. The device (i.e. grating characteristic especially, in sensing application, was investigated. The possibility of using such device for temperature and strain sensors is discussed.

  4. Development of single mask GEM foils in India

    International Nuclear Information System (INIS)

    Pant, L.M.; Mohanty, A.K.; Pinto, O.J.; Gadhadharan, S.; Menon, Pradeep; Sharma, Archana; Oliveira, Rui De; )

    2014-01-01

    There are various techniques available around the globe for making punch through holes for Micro Pattern Gas Detectors (MPGDs), such as Gas Electron Multipliers (GEMs). The GEM foils consists of 5 μm of Cu clad on both the sides of 50 μm polymide (PMMA/kapton) (5/50/5). At present these foils are developed in South Korea without having any adhesive between the Cu and polymide. The available techniques range from chemical etching, reactive plasma etching and laser etching. However, for GEM detectors, having an active area upto 5000 cm 2 , the chemical etching process using a Single Mask has been developed at CERN which is faster from the viewpoint of mass production of such foils for the upgrades which are foreseen in a couple of years with the Large Hadron Collider facility at CERN

  5. Lens of controllable optical field with thin film metallic glasses for UV-LEDs.

    Science.gov (United States)

    Pan, C T; Chen, Y C; Lin, Po-Hung; Hsieh, C C; Hsu, F T; Lin, Po-Hsun; Chang, C M; Hsu, J H; Huang, J C

    2014-06-16

    In the exposure process of photolithography, a free-form lens is designed and fabricated for UV-LED (Ultraviolet Light-Emitting Diode). Thin film metallic glasses (TFMG) are adopted as UV reflection layers to enhance the irradiance and uniformity. The Polydimethylsiloxane (PDMS) with high transmittance is used as the lens material. The 3-D fast printing is attempted to make the mold of the lens. The results show that the average irradiance can be enhanced by 6.5~6.7%, and high uniformity of 85~86% can be obtained. Exposure on commercial thick photoresist using this UV-LED system shows 3~5% dimensional deviation, lower than the 6~8% deviation for commercial mercury lamp system. This current system shows promising potential to replace the conventional mercury exposure systems.

  6. Fabrication of gold-nanoparticle arrays using photolithography and thermal dewetting

    NARCIS (Netherlands)

    de Vreede, Lennart; Göeken, Kristian; Göeken, Kristian; Gill, Ron; Gill, Ron; van den Berg, Albert; Eijkel, Jan C.T.; Zengerle, R.

    2013-01-01

    We demonstrate a new manufacturing method using only basic cleanroom techniques to produce an array of 106 100 nm diameter gold-nanoparticles (GNPs) of equal shape and 5 μm spacing on a fused silica surface. Photolithography and sputtering are used to produce gold islets, followed by a simple

  7. Laser micromachined wax-covered plastic paper as both sputter deposition shadow masks and deep-ultraviolet patterning masks for polymethylmethacrylate-based microfluidic systems

    KAUST Repository

    Fan, Yiqiang; Li, Huawei; Yi, Ying; Foulds, Ian G.

    2013-01-01

    We report a technically innovative method of fabricating masks for both deep-ultraviolet (UV) patterning and metal sputtering on polymethylmethacrylate (PMMA) for microfluidic systems. We used a CO2 laser system to cut the required patterns on wax

  8. Comparing signal intensity and refraction sensitivity of double and single mask edge illumination lab-based x-ray phase contrast imaging set-ups

    International Nuclear Information System (INIS)

    Kallon, G K; Diemoz, P C; Vittoria, F A; Basta, D; Endrizzi, M; Olivo, A

    2017-01-01

    Double mask edge illumination (DM-EI) set-ups can detect differential phase and attenuation information from a sample. However, analytical separation of the two signals often requires acquiring two frames with inverted differential phase contrast signals. Typically, between these two acquisitions, the first mask is moved to create a different illumination condition. This can lead to potential errors which adversely affect the data collected. In this paper, we implement a single mask EI laboratory set-up that allows for a single shot retrieval of the differential phase and attenuation images, without the need for a high resolution detector or high magnification. As well as simplifying mask alignment, the advantages of the proposed set-up can be exploited in one of two ways: either the total acquisition time can be halved with respect to the DM-EI set-up or, for the same acquisition time, twice the statistics can be collected. In this latter configuration, the signal-to-noise ratio and contrast in the mixed intensity images, and the angular sensitivity of the two set-ups were compared. We also show that the angular sensitivity of the single mask set-up can be well approximated from its illumination curve, which has been modelled as a convolution between the source spatial distribution at the detector plane, the pre-sample mask and the detector point spread function (PSF). A polychromatic wave optics simulation was developed on these bases and benchmarked against experimental data. It can also be used to predict the angular sensitivity and contrast of any set-up as a function of detector PSF. (paper)

  9. Fourier phase retrieval with a single mask by Douglas-Rachford algorithms.

    Science.gov (United States)

    Chen, Pengwen; Fannjiang, Albert

    2018-05-01

    The Fourier-domain Douglas-Rachford (FDR) algorithm is analyzed for phase retrieval with a single random mask. Since the uniqueness of phase retrieval solution requires more than a single oversampled coded diffraction pattern, the extra information is imposed in either of the following forms: 1) the sector condition on the object; 2) another oversampled diffraction pattern, coded or uncoded. For both settings, the uniqueness of projected fixed point is proved and for setting 2) the local, geometric convergence is derived with a rate given by a spectral gap condition. Numerical experiments demonstrate global, power-law convergence of FDR from arbitrary initialization for both settings as well as for 3 or more coded diffraction patterns without oversampling. In practice, the geometric convergence can be recovered from the power-law regime by a simple projection trick, resulting in highly accurate reconstruction from generic initialization.

  10. Development of novel UV emitting single crystalline film scintillators

    Science.gov (United States)

    Zorenko, Yu; Gorbenko, V.; Savchyn, V.; Voznyak, T.; Nikl, M.; Mares, J. A.; Martin, T.; Douissard, P.-A.

    2011-04-01

    The work is dedicated to development of new types of UV -emitting scintillators based on single crystalline films (SCF) of aluminimum perovskites and garnets grown by the liquid phase epitaxy (LPE) method. The development of the following three types of UV SCF scintillators is considered in this work: i) Ce-doped SCF of Y-Lu-Al-perovskites with Ce3+ emission in the 360-370 nm range with a decay time of 16-17 ns; ii) Pr-doped SCF of Y-Lu-Al garnets with Pr3+ emission in the 300-400 nm range with a decay time of 13-17 ns; iii) La3+ and Sc3+ doped SCF of Y-Lu-Al-garnets, emitting in the 290-400 nm range due to formation of the LaY,Lu, ScY,Lu and ScAl centers with decay time of 250-575 ns. The results of testing the several novel UV-emitting SCFs scintillators for visualization of X-ray images at ESFR are presented. It is shown that the UV emission of the LuAG:Sc, LuAG:La and LuAG:Pr SCFs is efficient enough for conversion of X-ray to the UV light and that these scintillators can be used for improvement of the resolution of imaging detectors in synchrotron radiation applications.

  11. Development of novel UV emitting single crystalline film scintillators

    Energy Technology Data Exchange (ETDEWEB)

    Zorenko, Yu; Gorbenko, V; Savchyn, V; Voznyak, T [Laboratory of Opoelectronic Materials (LOM), Electronics Department of Ivan Franko National University of Lviv, 79017 Lviv (Ukraine); Nikl, M; Mares, J A [Institute of Physics of ASCR, 162 53 Prague (Czech Republic); Martin, T; Douissard, P-A, E-mail: zorenko@electronics.wups.lviv.ua [ESRF, Instrument Support Group, 6 rue Jules Horoeitz, 38043 Grenoble (France)

    2011-04-01

    The work is dedicated to development of new types of UV -emitting scintillators based on single crystalline films (SCF) of aluminium perovskites and garnets grown by the liquid phase epitaxy (LPE) method. The development of the following three types of UV SCF scintillators is considered in this work: i) Ce-doped SCF of Y-Lu-Al-perovskites with Ce{sup 3+} emission in the 360-370 nm range with a decay time of 16-17 ns; ii) Pr-doped SCF of Y-Lu-Al garnets with Pr{sup 3+} emission in the 300-400 nm range with a decay time of 13-17 ns; iii) La{sup 3+} and Sc{sup 3+} doped SCF of Y-Lu-Al-garnets, emitting in the 290-400 nm range due to formation of the La{sub Y,Lu}, Sc{sub Y,Lu} and Sc{sub Al} centers with decay time of 250-575 ns. The results of testing the several novel UV-emitting SCFs scintillators for visualization of X-ray images at ESFR are presented. It is shown that the UV emission of the LuAG:Sc, LuAG:La and LuAG:Pr SCFs is efficient enough for conversion of X-ray to the UV light and that these scintillators can be used for improvement of the resolution of imaging detectors in synchrotron radiation applications.

  12. A super-high angular resolution principle for coded-mask X-ray imaging beyond the diffraction limit of a single pinhole

    International Nuclear Information System (INIS)

    Zhang Chen; Zhang Shuangnan

    2009-01-01

    High angular resolution X-ray imaging is always useful in astrophysics and solar physics. In principle, it can be performed by using coded-mask imaging with a very long mask-detector distance. Previously, the diffraction-interference effect was thought to degrade coded-mask imaging performance dramatically at the low energy end with its very long mask-detector distance. The diffraction-interference effect is described with numerical calculations, and the diffraction-interference cross correlation reconstruction method (DICC) is developed in order to overcome the imaging performance degradation. Based on the DICC, a super-high angular resolution principle (SHARP) for coded-mask X-ray imaging is proposed. The feasibility of coded mask imaging beyond the diffraction limit of a single pinhole is demonstrated with simulations. With the specification that the mask element size is 50 x 50 μm 2 and the mask-detector distance is 50 m, the achieved angular resolution is 0.32 arcsec above about 10 keV and 0.36 arcsec at 1.24 keV (λ = 1 nm), where diffraction cannot be neglected. The on-axis source location accuracy is better than 0.02 arcsec. Potential applications for solar observations and wide-field X-ray monitors are also briefly discussed. (invited reviews)

  13. Area-Selective ZnO Thin Film Deposition on Variable Microgap Electrodes and Their Impact on UV Sensing

    Directory of Open Access Journals (Sweden)

    Q. Humayun

    2013-01-01

    Full Text Available ZnO thin films were deposited on patterned gold electrodes using the sol-gel spin coating technique. Conventional photolithography process was used to obtain the variable microgaps of 30 and 43 μm in butterfly topology by using zero-gap chrome mask. The structural, morphological, and electrical properties of the deposited thin films were characterized by X-ray diffraction (XRD, scanning electron microscope (SEM, and Keithley SourceMeter, respectively. The current-voltage (I-V characterization was performed to investigate the effect of UV light on the fabricated devices. The ZnO fabricated sensors showed a photo to dark current (Iph/Id ratios of 6.26 for 30 μm and 5.28 for 43 μm gap electrodes spacing, respectively. Dynamic responses of both fabricated sensors were observed till 1V with good reproducibility. At the applied voltage of 1 V, the response time was observed to be 4.817 s and 3.704 s while the recovery time was observed to be 0.3738 s and 0.2891 s for 30 and 43 μm gaps, respectively. The signal detection at low operating voltages suggested that the fabricated sensors could be used for miniaturized devices with low power consumption.

  14. The use of ionospheric tomography and elevation masks to reduce the overall error in single-frequency GPS timing applications

    Science.gov (United States)

    Rose, Julian A. R.; Tong, Jenna R.; Allain, Damien J.; Mitchell, Cathryn N.

    2011-01-01

    Signals from Global Positioning System (GPS) satellites at the horizon or at low elevations are often excluded from a GPS solution because they experience considerable ionospheric delays and multipath effects. Their exclusion can degrade the overall satellite geometry for the calculations, resulting in greater errors; an effect known as the Dilution of Precision (DOP). In contrast, signals from high elevation satellites experience less ionospheric delays and multipath effects. The aim is to find a balance in the choice of elevation mask, to reduce the propagation delays and multipath whilst maintaining good satellite geometry, and to use tomography to correct for the ionosphere and thus improve single-frequency GPS timing accuracy. GPS data, collected from a global network of dual-frequency GPS receivers, have been used to produce four GPS timing solutions, each with a different ionospheric compensation technique. One solution uses a 4D tomographic algorithm, Multi-Instrument Data Analysis System (MIDAS), to compensate for the ionospheric delay. Maps of ionospheric electron density are produced and used to correct the single-frequency pseudorange observations. This method is compared to a dual-frequency solution and two other single-frequency solutions: one does not include any ionospheric compensation and the other uses the broadcast Klobuchar model. Data from the solar maximum year 2002 and October 2003 have been investigated to display results when the ionospheric delays are large and variable. The study focuses on Europe and results are produced for the chosen test site, VILL (Villafranca, Spain). The effects of excluding all of the GPS satellites below various elevation masks, ranging from 5° to 40°, on timing solutions for fixed (static) and mobile (moving) situations are presented. The greatest timing accuracies when using the fixed GPS receiver technique are obtained by using a 40° mask, rather than a 5° mask. The mobile GPS timing solutions are most

  15. Phase-shifted Bragg grating inscription in PMMA microstructured POF using 248 nm UV radiation

    DEFF Research Database (Denmark)

    Pereira, L.; Pospori, A.; Antunes, Paulo

    2017-01-01

    In this work we experimentally validate and characterize the first phase-shifted polymer optical fiber Bragg gratings (PS-POFBGs) produced using a single pulse from a 248 nm krypton fluoride laser. A single-mode poly (methyl methacrylate) optical fiber with a core doped with benzyl dimethyl ketal...... for photosensitivity improvement was used. A uniform phase mask customized for 850 nm grating inscription was used to inscribe these Bragg structures. The phase shift defect was created directly during the grating inscription process by placing a narrow blocking aperture in the center of the UV beam. The produced high...

  16. Sequential infiltration synthesis for enhancing multiple-patterning lithography

    Science.gov (United States)

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih

    2017-06-20

    Simplified methods of multiple-patterning photolithography using sequential infiltration synthesis to modify the photoresist such that it withstands plasma etching better than unmodified resist and replaces one or more hard masks and/or a freezing step in MPL processes including litho-etch-litho-etch photolithography or litho-freeze-litho-etch photolithography.

  17. What's in a mask? Information masking with forward and backward visual masks.

    Science.gov (United States)

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  18. Single-mode solid-state polymer dye laser fabricated with standard I-line UV lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Mironov, Andrej; Nilsson, Daniel

    2005-01-01

    We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G.......We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G....

  19. Comparison between wire mesh and plate electrodes during Wide-pattern machining on invar fine sheet using through-mask electrochemical micromachining

    Energy Technology Data Exchange (ETDEWEB)

    Chun, Kwang-ho; Jin, Da-som; Kim, Seong-hyun; Lee, Eun-sang [Inha University, Incheon (Korea, Republic of)

    2017-04-15

    Many research on the fabrication of Organic light emitting diodes (OLED) shadow masks with high resolution have been carried out because of the development of the smart-display industry. It is the parts of display panel which has millions of micro holes on invar (Fe- Ni) fine sheet. Various techniques such as laser machining, chemical etching and Electrochemical micro-machining (EMM) are used to produce micro-hole arrays. In this study, Through-mask electrochemical machining (TMEMM) combine with portion of photolithography process was applied to fabricate micro-hole arrays on invar fine sheet. The sheet was coated with dry film photoresist. Two types of electrode, plate and mesh, was used to compare the influence of electrode type. The sheet was coated with dry film photoresist with micro- sized through holes. The results were compared in regard to uniformity and taper angle. Compared with the plate electrode, the mesh electrode has better uniformity and taper angle which is important criteria of OLED shadow mask. These results could be used to improve TMEMM for invar fine sheet when it is applied to fabricate micro-hole arrays and help to obtain optical uniformity and desired taper angles.

  20. Progress on large area GEMs (VCI 2010)

    CERN Document Server

    Villa, Marco; Alfonsi, Matteo; Brock, Ian; Croci, Gabriele; David, Eric; de Oliveira, Rui; Ropelewski, Leszek; Taureg, Hans; van Stenis, Miranda

    2011-01-01

    The Gas Electron Multiplier (GEM) manufacturing technique has recently evolved to allow the production of large area GEMs. A novel approach based on single mask photolithography eliminates the mask alignment issue, which limits the dimensions in the traditional double mask process. Moreover, a splicing technique overcomes the limited width of the raw material. Stretching and handling issues in large area GEMs have also been addressed. Using the new improvements it was possible to build a prototype triple-GEM detector of ~ 2000 cm2 active area, aimed at an application for the TOTEM T1 upgrade. Further refinements of the single mask technique give great control over the shape of the GEM holes and the size of the rims, which can be tuned as needed. In this framework, simulation studies can help to understand the GEM behavior depending on the hole shape.

  1. Mitigation and control of the overcuring effect in mask projection micro-stereolithography

    OpenAIRE

    O'Neill, Paul; Kent, Nigel J.; Brabazon, Dermot

    2017-01-01

    Mask Projection micro-Stereolithography (MPμSL) is an additive manufacturing technique capable of producing solid parts with micron-scale resolution from a vat of photocurable liquid polymer resin. Although the physical mechanism remains the same, the process differs from traditional laser-galvanometer based stereolithography (SL) in its use of a dynamic mask UV projector, or digital light processor (DLP), which cures each location within each 3D layer at the same time. One area where MPµSL h...

  2. Green binary and phase shifting mask

    Science.gov (United States)

    Shy, S. L.; Hong, Chao-Sin; Wu, Cheng-San; Chen, S. J.; Wu, Hung-Yu; Ting, Yung-Chiang

    2009-12-01

    SixNy/Ni thin film green mask blanks were developed , and are now going to be used to replace general chromium film used for binary mask as well as to replace molydium silicide embedded material for AttPSM for I-line (365 nm), KrF (248 nm), ArF (193 nm) and Contact/Proximity lithography. A bilayer structure of a 1 nm thick opaque, conductive nickel layer and a SixNy layer is proposed for binary and phase-shifting mask. With the good controlling of plasma CVD of SixNy under silane (50 sccm), ammonia (5 sccm) and nitrogen (100 sccm), the pressure is 250 mTorr. and RF frequency 13.56 MHz and power 50 W. SixNy has enough deposition latitude to meet the requirements as an embedded layer for required phase shift 180 degree, and the T% in 193, 248 and 365 nm can be adjusted between 2% to 20% for binary and phase shifting mask usage. Ni can be deposited by E-gun, its sheet resistance Rs is less than 1.435 kΩ/square. Jeol e-beam system and I-line stepper are used to evaluate these thin film green mask blanks, feature size less than 200 nm half pitch pattern and 0.558 μm pitch contact hole can be printed. Transmission spectrums of various thickness of SixNy film are inspected by using UV spectrometer and FTIR. Optical constants of the SixNy film are measured by n & k meter and surface roughness is inspected by using Atomic Force Microscope (AFM).

  3. The performances of standard and ResMed masks during bag-valve-mask ventilation.

    Science.gov (United States)

    Lee, Hyoung Youn; Jeung, Kyung Woon; Lee, Byung Kook; Lee, Seung Joon; Jung, Yong Hun; Lee, Geo Sung; Min, Yong Il; Heo, Tag

    2013-01-01

    A tight mask seal is frequently difficult to obtain and maintain during single-rescuer bag-valve-mask (BVM) ventilation. The ResMed mask (Bella Vista, NSW, Australia) is a continuous-positive-airway-pressure mask (CM) designed for noninvasive ventilation. In this study, we compared the ventilation performances of a standard mask (SM) and a ResMed CM using a simulation manikin in an out-of-hospital single-rescuer BVM ventilation scenario. Thirty emergency medical technicians (EMTs) performed two 2-minute attempts to ventilate a simulation manikin using BVM ventilation, alternatively, with the SM or the ResMed CM in a randomized order. Ventilation parameters including tidal volume and peak airway pressure were measured using computer analysis software connected to the simulation manikin. Successful volume delivery was defined as delivery of 440-540 mL of tidal volume in accord with present cardiopulmonary resuscitation guidelines. BVM ventilation using the ResMed CM produced higher mean (± standard deviation) tidal volumes (452 ± 50 mL vs. 394 ± 113 mL, p = 0.014) and had a higher proportion of successful volume deliveries (65.3% vs. 26.7%, p < 0.001) than that using the SM. Peak airway pressure was higher in BVM ventilation using the ResMed CM (p = 0.035). Stomach insufflation did not occur during either method. Twenty-nine of the participants (96.7%) preferred BVM ventilation using the ResMed CM. BVM ventilations using ResMed CM resulted in a significantly higher proportion of successful volume deliveries meeting the currently recommended range of tidal volume. Clinical studies are needed to determine the value of the ResMed CM for BVM ventilation.

  4. Single photoresist masking for local porous Si formation

    International Nuclear Information System (INIS)

    Hourdakis, E; Nassiopoulou, A G

    2014-01-01

    A simple process for local electrochemical porous Si formation on a Si wafer using a photoresist mask was developed. In this respect, the AZ9260 photoresist from MicroChemicals was used, which is easily removed by simple immersion in acetone after the electrochemical process. The photoresist layer thickness and its adhesion to the Si substrate were optimized for increased etch resistance to the anodization solution. Using the above process, mesoporous Si layers as thick as 50 μm were locally formed on the Si wafer through the photoresist mask. The developed process paves the way towards a simple industrial batch Si technology process for the fabrication of mixed Si wafers containing local porous Si areas. These wafers are very interesting for future system-on-chip (SoC) applications, including RF analog/digital and sensors/electronics SoCs. (technical note)

  5. Direct Photolithography on Molecular Crystals for High Performance Organic Optoelectronic Devices.

    Science.gov (United States)

    Yao, Yifan; Zhang, Lei; Leydecker, Tim; Samorì, Paolo

    2018-05-23

    Organic crystals are generated via the bottom-up self-assembly of molecular building blocks which are held together through weak noncovalent interactions. Although they revealed extraordinary charge transport characteristics, their labile nature represents a major drawback toward their integration in optoelectronic devices when the use of sophisticated patterning techniques is required. Here we have devised a radically new method to enable the use of photolithography directly on molecular crystals, with a spatial resolution below 300 nm, thereby allowing the precise wiring up of multiple crystals on demand. Two archetypal organic crystals, i.e., p-type 2,7-diphenyl[1]benzothieno[3,2- b][1]benzothiophene (Dph-BTBT) nanoflakes and n-type N, N'-dioctyl-3,4,9,10-perylenedicarboximide (PTCDI-C8) nanowires, have been exploited as active materials to realize high-performance top-contact organic field-effect transistors (OFETs), inverter and p-n heterojunction photovoltaic devices supported on plastic substrate. The compatibility of our direct photolithography technique with organic molecular crystals is key for exploiting the full potential of organic electronics for sophisticated large-area devices and logic circuitries, thus paving the way toward novel applications in plastic (opto)electronics.

  6. Method to make a single-step etch mask for 3D monolithic nanostructures

    NARCIS (Netherlands)

    Grishina, Diana; Harteveld, Cornelis A.M.; Woldering, L.A.; Vos, Willem L.

    2015-01-01

    Current nanostructure fabrication by etching is usually limited to planar structures as they are defined by a planar mask. The realization of three-dimensional (3D) nanostructures by etching requires technologies beyond planar masks. We present a method for fabricating a 3D mask that allows one to

  7. Single-longitudinal mode distributed-feedback fiber laser with low-threshold and high-efficiency

    Science.gov (United States)

    Jiang, Man; Zhou, Pu; Gu, Xijia

    2018-01-01

    Single-frequency fiber laser has attracted a lot of interest in recent years due to its numerous application potentials in telecommunications, LIDAR, high resolution sensing, atom frequency standard, etc. Phosphate glass fiber is one of the candidates for building compact high gain fiber lasers because of its capability of high-concentration of rare-earth ions doping in fiber core. Nevertheless, it is challenging for the integration of UV-written intra-core fiber Bragg gratings into the fiber laser cavity due to the low photosensitivity of phosphate glass fiber. The research presented in this paper will focus on demonstration of UV-written Bragg gratings in phosphate glass fiber and its application in direct-written short monolithic single-frequency fiber lasers. Strong π-phase shift Bragg grating structure is direct-inscribed into the Er/Yb co-doped gain fiber using an excimer laser, and a 5-cm-long phase mask is used to inscribe a laser cavity into the Er/Yb co-doped phosphate glass fibers. The phase mask is a uniform mask with a 50 μm gap in the middle. The fiber laser device emits output power of 10.44 mW with a slope efficiency of 21.5% and the threshold power is about 42.8 mW. Single-longitudinal mode operation is validated by radio frequency spectrum measurement. Moreover, the output spectrum at the highest power shows an excellent optical signal to noise ratio of about 70 dB. These results, to the best of our knowledge, show the lowest power threshold and highest efficiency among the reports that using the same structure to achieve single-longitudinal mode laser output.

  8. Analysis of the current density characteristics in through-mask electrochemical micromachining (TMEMM for fabrication of micro-hole arrays on invar alloy film

    Directory of Open Access Journals (Sweden)

    Da-som JIN

    2017-06-01

    Full Text Available Invar alloy consisting of 64% iron and 36% nickel has been widely used for the production of shadow masks for organic light emitting diodes (OLEDs because of its low thermal expansion coefficient (1.86 × 10−6 cm/°C. To fabricate micro-hole arrays on 30 μm invar alloy film, through-mask electrochemical micromachining (TMEMM was developed and combined with a portion of the photolithography etching process. For precise hole shapes, patterned photoresist (PR film was applied as an insulating mask. To investigate the relationship between the current density and the material removal rate, the principle of the electrochemical machining was studied with a focus on the equation. The finite element method (FEM was used to verify the influence of each parameter on the current density on the invar alloy film surface. The parameters considered were the thickness of the PR mask, inter-electrode gap (IEG, and electrolyte concentration. Design of experiments (DOE was used to figure out the contribution of each parameter. A simulation was conducted with varying parameters to figure out their relationships with the current density. Optimization was conducted to select the suitable conditions. An experiment was carried out to verify the simulation results. It was possible to fabricate micro-hole arrays on invar alloy film using TMEMM, which is a promising method that can be applied to fabrications of OLEDs shadow masks.

  9. Nano-structured micropatterns by combination of block copolymer self-assembly and UV photolithography

    International Nuclear Information System (INIS)

    Gorzolnik, B; Mela, P; Moeller, M

    2006-01-01

    A procedure for the fabrication of nano-structured micropatterns by direct UV photo-patterning of a monolayer of a self-assembled block copolymer/transition metal hybrid structure is described. The method exploits the selective photochemical modification of a self-assembled monolayer of hexagonally ordered block copolymer micelles loaded with a metal precursor salt. Solvent development of the monolayer after irradiation results in the desired pattern of micelles on the surface. Subsequent plasma treatment of the pattern leaves ordered metal nanodots. The presented technique is a simple and low-cost combination of 'top-down' and 'bottom-up' approaches that allows decoration of large areas with periodic and aperiodic patterns of nano-objects, with good control over two different length scales: nano- and micrometres

  10. Keep pushing! Limiting interruptions to CPR; bag-valve mask versus ...

    African Journals Online (AJOL)

    This has led to first responders and paramedics performing single rescuer CPR using a bag-valve-mask (BVM) device as opposed to the historical practice of intubating and ventilating via an endotracheal tube. Bag-valve-mask ventilations, especially during single rescuer CPR, are however associated with complications ...

  11. A comparison between over-the-head and lateral cardiopulmonary resuscitation with a single rescuer by bag-valve mask

    Directory of Open Access Journals (Sweden)

    Ebrahim Nasiri

    2014-01-01

    Full Text Available Context: mask fixation in the lateral position is difficult during CPR. Aim: the aim of this study is to compare the lateral CPR for the use of bag-valve mask by single paramedic rescuer as well as over-the-head CPR on the chest compression and ventilation on the manikin. Settings and Design: Mazandaran University of Medical Sciences. The design of this study was a randomized cross-over trial. Methods: participants learned a standardized theoretical introduction CPR according to the 2010 guidelines. The total number of chest compressions per two minutes was measured. Total number of correct and wrong ventilation per two minutes was evaluated. Statistical Analysis: we used Wilcoxon signed-rank test to analyze the non-normally distributed data in dependence groups A. P-value of more than 0.05 was considered to show statistical significance. Results: there were 100 participants (45 women and 55 men who participated in the study from September to March, 2011. The compression and ventilation rate in lateral CPR was lower than OTH CPR. Around 51% of participants had correct chest compression rate more than 90 beats per minute in lateral CPR and 65% of them had equal or more than ten correct ventilations per minute. Conclusions: in conclusion, this study confirmed that in a simulated CPR model over-the-head position CPR led to a better BLS than the lateral position CPR by a single paramedic student with a BVM device. We also concluded that by this new BVM fixation method on the face of the patients in the lateral position CPR can be a good alternative over-the-head mask fixation by a single trained rescuer.

  12. Uniform fabrication of thick SU-8 patterns on small-sized wafers for micro-optics applications

    Science.gov (United States)

    Abada, S.; Reig, B.; Daran, E.; Doucet, JB; Camps, T.; Charlot, S.; Bardinal, V.

    2014-05-01

    This paper reports on an alternative method for precise and uniform fabrication of 100μm-thick SU-8 microstructures on small-sized or non-circular samples. Standard spin-coating of high-viscosity resists is indeed known to induce large edge beads, leading to an air gap between the mask and the SU-8 photo-resist surface during UV photolithography. This results in a non uniform thickness deposition and in a poor pattern definition. This problem becomes highly critical in the case of small-sized samples. To overcome it, we have developed a soft thermal imprint method based on the use of a nano-imprint equipment and applicable whatever sample fragility, shape and size (from 2cm to 6 inches). After final photolithography, the SU8 pattern thickness variation profile is measured. Thickness uniformity is improved from 30% to 5% with a 5μm maximal deviation to the target value over 2cm-long samples.

  13. High efficiency single frequency 355 nm all-solid-state UV laser

    International Nuclear Information System (INIS)

    Xie, Xiaobing; Wei, Daikang; Ma, Xiuhua; Li, Shiguang; Liu, Jiqiao; Zhu, Xiaolei; Chen, Weibiao

    2016-01-01

    A novel conductively cooled high energy single-frequency 355 nm all-solid-state UV laser is presented based on sum-frequency mixing technique. In this system, a pulsed seeder laser at 1064 nm wavelength, modulated by an AOM, is directly amplified by the cascaded multi-stage hybrid laser amplifiers, and two LBO crystals are used for the SHG and SFG, finally a maximum UV pulse energy of 226 mJ at 355 nm wavelength is achieved with frequency-tripled conversion efficiency as high as 55%, the pulse width is around 12.2 ns at the repetition frequency of 30 Hz. The beam quality factor M 2 of the output UV laser is measured to be 2.54 and 2.98 respectively in two orthogonal directions. (paper)

  14. Fabrication of triangular nanobeam waveguide networks in bulk diamond using single-crystal silicon hard masks

    International Nuclear Information System (INIS)

    Bayn, I.; Mouradian, S.; Li, L.; Goldstein, J. A.; Schröder, T.; Zheng, J.; Chen, E. H.; Gaathon, O.; Englund, Dirk; Lu, M.; Stein, A.; Ruggiero, C. A.; Salzman, J.; Kalish, R.

    2014-01-01

    A scalable approach for integrated photonic networks in single-crystal diamond using triangular etching of bulk samples is presented. We describe designs of high quality factor (Q = 2.51 × 10 6 ) photonic crystal cavities with low mode volume (V m  = 1.062 × (λ/n) 3 ), which are connected via waveguides supported by suspension structures with predicted transmission loss of only 0.05 dB. We demonstrate the fabrication of these structures using transferred single-crystal silicon hard masks and angular dry etching, yielding photonic crystal cavities in the visible spectrum with measured quality factors in excess of Q = 3 × 10 3

  15. Milestone experiments for single pass UV/X-ray FELs

    Science.gov (United States)

    Ben-Zvi, Ilan

    1995-04-01

    In the past decade, significant advances have been made in the theory and technology of high brightness electron beams and single pass FELs. These developments facilitate the construction of practical UV and X-ray FELs and has prompted proposals to the DOE for the construction of such facilities. There are several important experiments to be performed before committing to the construction of dedicated user facilities. Two experiments are under construction in the IR, the UCLA self-amplified spontaneous emission experiment and the BNL laser seeded harmonic generation experiment. A multi-institution collaboration is being organized about a 210 MeV electron linac available at BNL and the 10 m long NISUS wiggler. This experiment will be done in the UV and will test various experimental aspects of electron beam dynamics, FEL exponential regime with gain guiding, start-up from noise, seeding and harmonic generation. These experiments will advance the state of FEL research and lead towards future dedicated users' facilities.

  16. Milestone experiments for single pass UV/X-ray FELs

    International Nuclear Information System (INIS)

    Ben-Zvi, I.

    1994-01-01

    In the past decade, significant advances have been made in the theory and technology of high brightness electron beams and single pass FELS. These developments facilitate the construction of practical UV and X-ray FELs and has prompted proposals to the DOE for the construction of such facilities. There are several important experiments to be performed before committing to the construction of dedicated user facilities. Two experiments are under construction in the IR, the UCLA Self Amplified Spontaneous Emission experiment and the BNL laser seeded Harmonic Generation experiment. A multi-institution collaboration is being organized about a 210 MeV electron linac available at BNL and the 10 meter tong NISUS wiggler. This experiment will be done in the UV and will test various experimental aspects of electron beam dynamics, FEL exponential regime with gain guiding, start up from noise, seeding and harmonic generation. These experiments will advance the state of FEL research and lead towards future dedicated users' facilities

  17. Photolithography-free fabrication of organic light-emitting diodes for lighting applications

    International Nuclear Information System (INIS)

    Seo, I H; Shin, D C; Park, J W

    2013-01-01

    We investigate the photolithography-free fabrication of organic light-emitting diodes (OLEDs) for lighting applications with an attempt to embed the deposition and patterning process of an indium–tin–oxide (ITO) anode and insulating layer into an in-line-type organic evaporation system. This scheme inevitably brings in leakage current induced by the spike-like surface of ITO. To suppress it, we cover the ITO edges with three different insulation materials (i.e. sputter-deposited inorganic Al 2 O 3 thin film, monomer (polymer) thin film deposited by organic acrylate evaporation or thermally evaporated organic insulation layer (tris-(8-hydroxyquinoline) aluminum (Alq 3 ))). Although small-molecule organic insulation materials that can be thermally evaporated are the most suitable for such a cost-effective fabrication process, yet their insulation capability is low due to the carrier transporting property. In this paper, we demonstrate that it can be boosted to a great extent with an increase of their thickness. It is likely that pinholes existing on the Al 2 O 3 thin film act as leak channels, degrading the device performance. We also verify that the insulation capability of polymer fabricated by organic acrylate evaporation is just comparable with that of polyimide (PI) insulator patterned using a standard photolithography process. (paper)

  18. Photodeposited diffractive optical elements of computer generated masks

    International Nuclear Information System (INIS)

    Mirchin, N.; Peled, A.; Baal-Zedaka, I.; Margolin, R.; Zagon, M.; Lapsker, I.; Verdyan, A.; Azoulay, J.

    2005-01-01

    Diffractive optical elements (DOE) were synthesized on plastic substrates using the photodeposition (PD) technique by depositing amorphous selenium (a-Se) films with argon lasers and UV spectra light. The thin films were deposited typically onto polymethylmethacrylate (PMMA) substrates at room temperature. Scanned beam and contact mask modes were employed using computer-designed DOE lenses. Optical and electron micrographs characterize the surface details. The films were typically 200 nm thick

  19. Unmasking the effects of masking on performance: The potential of multiple-voice masking in the office environment.

    Science.gov (United States)

    Keus van de Poll, Marijke; Carlsson, Johannes; Marsh, John E; Ljung, Robert; Odelius, Johan; Schlittmeier, Sabine J; Sundin, Gunilla; Sörqvist, Patrik

    2015-08-01

    Broadband noise is often used as a masking sound to combat the negative consequences of background speech on performance in open-plan offices. As office workers generally dislike broadband noise, it is important to find alternatives that are more appreciated while being at least not less effective. The purpose of experiment 1 was to compare broadband noise with two alternatives-multiple voices and water waves-in the context of a serial short-term memory task. A single voice impaired memory in comparison with silence, but when the single voice was masked with multiple voices, performance was on level with silence. Experiment 2 explored the benefits of multiple-voice masking in more detail (by comparing one voice, three voices, five voices, and seven voices) in the context of word processed writing (arguably a more office-relevant task). Performance (i.e., writing fluency) increased linearly from worst performance in the one-voice condition to best performance in the seven-voice condition. Psychological mechanisms underpinning these effects are discussed.

  20. Single-mode, All-Solid-State Nd:YAG Laser Pumped UV Converter

    Science.gov (United States)

    Prasad, Narasimha S.; Armstrong, Darrell, J.; Edwards, William C.; Singh, Upendra N.

    2008-01-01

    In this paper, the status of a high-energy, all solid-state Nd:YAG laser pumped nonlinear optics based UV converter development is discussed. The high-energy UV transmitter technology is being developed for ozone sensing applications from space based platforms using differential lidar technique. The goal is to generate greater than 200 mJ/pulse with 10-50 Hz PRF at wavelengths of 308 nm and 320 nm. A diode-pumped, all-solid-state and single longitudinal mode Nd:YAG laser designed to provide conductively cooled operation at 1064 nm has been built and tested. Currently, this pump laser provides an output pulse energy of >1 J/pulse at 50 Hz PRF and a pulsewidth of 22 ns with an electrical-to-optical system efficiency of greater than 7% and a M(sup 2) value of UV converter arrangement basically consists of an IR Optical Parametric Oscillator (OPO) and a Sum Frequency Generator (SFG) setups that are pumped by 532 nm wavelength obtained via Second Harmonic Generation (SHG). In this paper, the operation of an inter cavity SFG with CW laser seeding scheme generating 320 nm wavelength is presented. Efforts are underway to improve conversion efficiency of this mJ class UV converter by modifying the spatial beam profile of the pump laser.

  1. A three-dimensional microstructuring technique exploiting the positive photoresist property

    International Nuclear Information System (INIS)

    Hirai, Yoshikazu; Sugano, Koji; Tsuchiya, Toshiyuki; Tabata, Osamu

    2010-01-01

    The present paper describes a three-dimensional (3D) thick-photoresist microstructuring technique that exploits the effect of exposure wavelength on dissolution rate distributions in a thick-film diazonaphthoquinone (DNQ) photoresist. In fabricating 3D microstructure with specific applications, it is important to control the spatial dissolution rate distribution in the photoresist layer, since the lithographic performance for 3D microstructuring is largely determined by the details of the dissolution property. To achieve this goal, the effect of exposure wavelength on dissolution rate distributions was applied for 3D microstructuring. The parametric experimental results demonstrated (1) the advantages of the fabrication technique for 3D microstructuring and (2) the necessity of a dedicated simulation approach based on the measured thick-photoresist property for further verification. Thus, a simple and practical photolithography simulation model that makes use of the Fresnel diffraction theory and an empirically characterized DNQ photoresist property was adopted. Simulations revealed good quantitative agreement between the photoresist development profiles of the standard photolithography and the moving-mask UV lithography process. The simulation and experimental results conclude that the g-line (λ = 436 nm) process can reduce the dimensional limitation or complexity of the photolithography process for the 3D microstructuring which leads to nanoscale microstructuring.

  2. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  3. How the global layout of the mask influences masking strength.

    Science.gov (United States)

    Ghose, Tandra; Hermens, Frouke; Herzog, Michael H

    2012-12-10

    In visual backward masking, the perception of a target is influenced by a trailing mask. Masking is usually explained by local interactions between the target and the mask representations. However, recently it has been shown that the global spatial layout of the mask rather than its local structure determines masking strength (Hermens & Herzog, 2007). Here, we varied the mask layout by spatial, luminance, and temporal cues. We presented a vernier target followed by a mask with 25 elements. Performance deteriorated when the length of the two mask elements neighboring the target vernier was doubled. However, when the length of every second mask element was doubled, performance improved. When the luminance of the neighboring elements was doubled, performance also deteriorated but no improvement in performance was observed when every second element had a double luminance. For temporal manipulations, a complex nonmonotonic masking function was observed. Hence, changes in the mask layout by spatial, luminance, and temporal cues lead to highly different results.

  4. Multi-Repeated Projection Lithography for High-Precision Linear Scale Based on Average Homogenization Effect

    Directory of Open Access Journals (Sweden)

    Dongxu Ren

    2016-04-01

    Full Text Available A multi-repeated photolithography method for manufacturing an incremental linear scale using projection lithography is presented. The method is based on the average homogenization effect that periodically superposes the light intensity of different locations of pitches in the mask to make a consistent energy distribution at a specific wavelength, from which the accuracy of a linear scale can be improved precisely using the average pitch with different step distances. The method’s theoretical error is within 0.01 µm for a periodic mask with a 2-µm sine-wave error. The intensity error models in the focal plane include the rectangular grating error on the mask, static positioning error, and lithography lens focal plane alignment error, which affect pitch uniformity less than in the common linear scale projection lithography splicing process. It was analyzed and confirmed that increasing the repeat exposure number of a single stripe could improve accuracy, as could adjusting the exposure spacing to achieve a set proportion of black and white stripes. According to the experimental results, the effectiveness of the multi-repeated photolithography method is confirmed to easily realize a pitch accuracy of 43 nm in any 10 locations of 1 m, and the whole length accuracy of the linear scale is less than 1 µm/m.

  5. Comparison of the OxyMask and Venturi mask in the delivery of supplemental oxygen: Pilot study in oxygen-dependent patients

    Science.gov (United States)

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia. METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a randomized, single-blind, cross-over design. Baseline blood oxygen saturation (SaO2) was established breathing room air, followed in a random order by supplemental oxygen through the OxyMask or Venturi mask. Oxygen delivery was titrated to maintain SaO2 4% to 5% and 8% to 9% above baseline for two separate 30 min periods of stable breathing. Oxygen flow rate, partial pressure of inspired and expired oxygen (PO2) and carbon dioxide (PCO2), minute ventilation, heart rate, nasal and oral breathing, SaO2 and transcutaneous PCO2 were collected continuously. The study was repeated following alterations to the OxyMask design, which improved clearance of carbon dioxide. RESULTS: Thirteen patients, aged 28 to 79 years, were studied initially using the original OxyMask. Oxygen flow rate was lower, inspired PO2 was higher and expired PO2 was lower while using the OxyMask. Minute ventilation and inspired and expired PCO2 were significantly higher while using the OxyMask, whereas transcutaneous PCO2, heart rate and the ratio of nasal to oral breathing did not change significantly throughout the study. Following modification of the OxyMask, 13 additional patients, aged 18 to 79 years, were studied using the same protocol. The modified OxyMask provided a higher inspired PO2 at a lower flow rate, without evidence of carbon dioxide retention. CONCLUSIONS: Oxygen is delivered safely and more efficiently by the OxyMask than by the Venturi mask in stable oxygen-dependent patients. PMID:16896425

  6. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    Science.gov (United States)

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  7. Two-Color Single-Photon Photoinitiation and Photoinhibition for Subdiffraction Photolithography

    Science.gov (United States)

    Scott, Timothy F.; Kowalski, Benjamin A.; Sullivan, Amy C.; Bowman, Christopher N.; McLeod, Robert R.

    2009-05-01

    Controlling and reducing the developed region initiated by photoexposure is one of the fundamental goals of optical lithography. Here, we demonstrate a two-color irradiation scheme whereby initiating species are generated by single-photon absorption at one wavelength while inhibiting species are generated by single-photon absorption at a second, independent wavelength. Co-irradiation at the second wavelength thus reduces the polymerization rate, delaying gelation of the material and facilitating enhanced spatial control over the polymerization. Appropriate overlapping of the two beams produces structures with both feature sizes and monomer conversions otherwise unobtainable with use of single- or two-photon absorption photopolymerization. Additionally, the generated inhibiting species rapidly recombine when irradiation with the second wavelength ceases, allowing for fast sequential exposures not limited by memory effects in the material and thus enabling fabrication of complex two- or three-dimensional structures.

  8. Clay Mask Workshop

    Science.gov (United States)

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  9. venice: Mask utility

    Science.gov (United States)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  10. The time-course of visual masking effects on saccadic responses indicates that masking interferes with reentrant processing

    DEFF Research Database (Denmark)

    Crouzet, S.; Pin, Simon Hviid Del; Overgaard, Morten

    2013-01-01

    Object substitution masking (OSM) occurs when a briefly presented target in a search array is surrounded by small dots that remain visible after the target disappears. Here, we tested the widespread assumption that OSM selectively impairs reentrant processing. If OSM interferes selectively...... with reentrant processing, then the first feedforward sweep should be left relatively intact. Using a standard OSM paradigm in combination with a saccadic choice task, giving access to an early phase of visual processing (the fastest saccades occurring only 100 ms after target onset), we compared the masking....... Interestingly, the same result was observed using backward masking. In a follow-up experiment, where we assessed observer’s visual awareness using single-trial visibility ratings, we demonstrated that these ultra-fast responses were actually linked to subsequent reported visibility. Taken together...

  11. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  12. Localization of specific sequences and DNA single-strand breaks in individual UV-A-irradiated human lymphocytes by COMET FISH

    Science.gov (United States)

    Bock, Claudia; Rapp, Alexander; Dittmar, Heike; Monajembashi, Shamci; Greulich, Karl-Otto

    1999-01-01

    The COMET assay, a single cell electrophoresis technique which allows to separate electrophoretically fractionated DNA according to size has been combined with fluorescence in situ hybridization (FISH) which allows to localize specific genes or gene regions. This combination (COMET FISH) allows the detection of DNA single strand breaks in specific regions of the genome of human lymphocytes at the single cell level. Various types of DNA probes, e.g. centromere-, (alpha) - satellite-, telomere-, whole chromosome-, single copy- and region specific DNA probes have been used to investigate whether the UV-A induced DNA single strand breaks are distributed randomly all over the human genome or induced at specific sites ('hot spots'). In the investigated human peripheral blood lymphocytes all but one centromere reveal low sensitivity for UV-A irradiation (500 kJ/m2), while telomeres are randomly distributed over COMET heads and tails. The human chromosome 1 is fractionated by irradiation, but remains in the COMET head, indicating an only moderate degree of fractionation. Among three tested single copy probes, c- myc, p53 and p58, the p53 gene located on chromosome 17p13.1 and the p58 gene (1p36) appear to be located in UV-A stable regions of the human genome in 95% of 65 investigated lymphocytes. In contrast, the c-myc proto-oncogene (8q24) is found in the COMET tail in 90% of the 27 investigated lymphocytes and thus appears to be more sensitive to UV-A irradiation.

  13. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  14. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    Science.gov (United States)

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  15. Grayscale lithography-automated mask generation for complex three-dimensional topography

    Science.gov (United States)

    Loomis, James; Ratnayake, Dilan; McKenna, Curtis; Walsh, Kevin M.

    2016-01-01

    Grayscale lithography is a relatively underutilized technique that enables fabrication of three-dimensional (3-D) microstructures in photosensitive polymers (photoresists). By spatially modulating ultraviolet (UV) dosage during the writing process, one can vary the depth at which photoresist is developed. This means complex structures and bioinspired designs can readily be produced that would otherwise be cost prohibitive or too time intensive to fabricate. The main barrier to widespread grayscale implementation, however, stems from the laborious generation of mask files required to create complex surface topography. We present a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites. By shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing, engineering professionals already familiar with traditional 3-D CAD software can readily utilize their pre-existing skills to make valuable contributions to the MEMS community. Our conversion process is demonstrated by prototyping several samples on a laser pattern generator-capital equipment already in use in many foundries. Finally, an empirical calibration technique is shown that compensates for nonlinear relationships between UV exposure intensity and photoresist development depth as well as a thermal reflow technique to help smooth microstructure surfaces.

  16. Software error masking effect on hardware faults

    International Nuclear Information System (INIS)

    Choi, Jong Gyun; Seong, Poong Hyun

    1999-01-01

    Based on the Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (VHDL), in this work, a simulation model for fault injection is developed to estimate the dependability of the digital system in operational phase. We investigated the software masking effect on hardware faults through the single bit-flip and stuck-at-x fault injection into the internal registers of the processor and memory cells. The fault location reaches all registers and memory cells. Fault distribution over locations is randomly chosen based on a uniform probability distribution. Using this model, we have predicted the reliability and masking effect of an application software in a digital system-Interposing Logic System (ILS) in a nuclear power plant. We have considered four the software operational profiles. From the results it was found that the software masking effect on hardware faults should be properly considered for predicting the system dependability accurately in operation phase. It is because the masking effect was formed to have different values according to the operational profile

  17. 'No blue' LED solution for photolithography room illumination

    DEFF Research Database (Denmark)

    Ou, Haiyan; Corell, Dennis Dan; Dam-Hansen, Carsten

    2010-01-01

    This paper explored the feasibility of using a LED-based bulb as the illumination light source for photolithography room. A no-blue LED was designed, and the prototype was fabricated. The spectral power distribution of both the LED bulb and the yellow fluorescent tube was measured. Based on that...... color rendering ability than the YFT. Furthermore, LED solution has design flexibility to improve it further. The prototype has been tested with photoresist SU8-2005. Even after 15 days of illumination, no effect was observed. So this LED-based solution was demonstrated to be a very promising light......, colorimetric values were calculated and compared on terms of chromatic coordinates, correlated color temperature, color rendering index, and chromatic deviation. Gretagmacbeth color charts were used as a more visional way to compare the two light sources, which shows that our no-blue LED bulb has much better...

  18. uvsI mutants defective in UV mutagenesis define a fourth epistatic group of uvs genes in Aspergillus.

    Science.gov (United States)

    Chae, S K; Kafer, E

    1993-01-01

    Three UV-sensitive mutations of A. nidulans, uvsI, uvsJ and uvsA, were tested for epistatic relationships with members of the previously established groups, here called the "UvsF", "UvsC", and "UvsB" groups. uvsI mutants are defective for spontaneous and induced reversion of certain point mutations and differ also for other properties from previously analyzed uvs types. They are very sensitive to the killing effects of UV-light and 4-NQO (4-nitro-quinoline-N-oxide) but not to MMS (methylmethane sulfonate). When double- and single-mutant uvs strains were compared for sensitivity to these three agents, synergistic or additive effects were found for uvsI with all members of the three groups. The uvsI gene may therefore represent a fourth epistatic group, possibly involved in mutagenic repair. On the other hand, uvsJ was clearly epistatic with members of the UvsF group and fitted well into this group also by phenotype. The uvsA gene was tentatively assigned to the UvsC group. uvsA showed epistatic interactions with uvsC in all tests, and like UvsC-group mutants is UV-sensitive mainly in dividing cells. However, the uvsA mutation does not cause the defects in recombination and UV mutagenesis typical for this group.

  19. EMHP: an accurate automated hole masking algorithm for single-particle cryo-EM image processing.

    Science.gov (United States)

    Berndsen, Zachary; Bowman, Charles; Jang, Haerin; Ward, Andrew B

    2017-12-01

    The Electron Microscopy Hole Punch (EMHP) is a streamlined suite of tools for quick assessment, sorting and hole masking of electron micrographs. With recent advances in single-particle electron cryo-microscopy (cryo-EM) data processing allowing for the rapid determination of protein structures using a smaller computational footprint, we saw the need for a fast and simple tool for data pre-processing that could run independent of existing high-performance computing (HPC) infrastructures. EMHP provides a data preprocessing platform in a small package that requires minimal python dependencies to function. https://www.bitbucket.org/chazbot/emhp Apache 2.0 License. bowman@scripps.edu. Supplementary data are available at Bioinformatics online. © The Author(s) 2017. Published by Oxford University Press.

  20. Friction characteristics for density of micro dimples using photolithography

    International Nuclear Information System (INIS)

    Chae, Young Jun; Kim, Seock Sam

    2005-01-01

    Surface texturing of tribological application is another attractive technology of friction reducing. Also, reduction of friction is therefore considered to be a necessary requirement for improved efficiency of machine. In this paper attempts to investigate the effect of density for micro-scale dimple pattern using photolithography on bearing steel flat mated with pin-on-disk. We demonstrated the lubrication mechanism for a Stribeck curve, which has a relationship between the friction coefficient and a dimensionless parameter for lubrication condition. It is found that friction coefficient is depended on the density of surface pattern. It was thus verified that micro-scale dimple could affect the friction reduction considerably under mixed and hydrodynamic lubrication conditions from based on friction map. Lubrication condition regime has an influence on the friction coefficient induced the density of micro dimple

  1. The implementation of Mask-Ed: reflections of academic participants.

    Science.gov (United States)

    Reid-Searl, Kerry; Levett-Jones, Tracy; Cooper, Simon; Happell, Brenda

    2014-09-01

    This paper profiles the findings from a study that explored the perspectives and experiences of nurse educators who implemented a novel simulation approach termed Mask-Ed. The technique involves the educator wearing a silicone mask and or body parts and transforming into a character. The premise of this approach is that the masked educator has domain specific knowledge related to the simulation scenario and can transmit this to learners in a way that is engaging, realistic, spontaneous and humanistic. Nurse educators charged with the responsibility of implementing Mask-Ed in three universities were invited to participate in the study by attending an introductory workshop, implementing the technique and then journaling their experiences, insights and perspectives over a 12 month period. The journal entries were then thematically analysed. Key themes were categorised under the headings of Preparation, Implementation and Impact; Reflexivity and Responsiveness; Student Engagement and Ownership; and Teaching and Learning. Mask-Ed is a simulation approach which allows students to interact with the 'characters' in humanistic ways that promote person-centred care and therapeutic communication. This simulation approach holds previously untapped potential for a range of learning experiences, however, to be effective, adequate resourcing, training, preparation and practice is required. Copyright © 2014 Elsevier Ltd. All rights reserved.

  2. Repair of single-strand breaks induced in the DNA of Proteus mirabilis by excision repair after UV-irradiation

    International Nuclear Information System (INIS)

    Stoerl, K.; Mund, C.

    1977-01-01

    Single-strand breaks have been produced in the DNA of P. mirabilis after UV-irradiation in dependence on the incident UV-doses. It has been found that there exists a discrepancy between the single-strand breaks estimated from sedimentation in alkaline sucrose gradients and the expected single-strand breaks approximated from measurements of dimer excision. The low number in incision breaks observed by sedimentation experiments is an indication that the cells are able to repair the excision-induced breaks as fast as they are formed. Toluenized cells have been used for investigation of the incision step independently of subsequent repair processes. In presence of NMN the appearance of more single-strand breaks in the DNA has been observed. Furthermore, the number of incision breaks in toluenized cells increased in presence of exogenous ATP. The completion of the excision repair process has been investigated by observing the rejoining of incision breaks. After irradiation with UV-doses higher than approximately 240 erg/mm 2 the number of single-strand breaks remaining unrepaired in the DNA increased. Studies of the influence of nutrition conditions on the repair process have shown approximately the same capacity for repair of single-strand breaks in growth medium as well as in buffer. Progress in the excision repair was also followed by investigation of the DNA synthesized at the template-DNA containing the pyrimidine dimers. In comparison with E. coli, P. mirabilis showed a somewhat lower efficiency for the repair of single-strand breaks during the excision repair. (author)

  3. Liquid metal-based reconfigurable and stretchable photolithography

    International Nuclear Information System (INIS)

    Kim, Daeyoung; Yoo, Jun Hyeon; Lee, Jeong-Bong

    2016-01-01

    Conventional ultraviolet (UV) lithography typically uses a photomask made of a fused silica plate covered with a layer of UV opaque material such as chromium. The photomask has passive binary patterns of UV opaque and UV transparent regions and the pattern is unalterable. We report a novel real-time dynamically reconfigurable photomask technology using a liquid metal (as a UV opaque material) filled in polydimethylsiloxane (PDMS, as a UV transparent material) microfluidic channels. We found that the gallium-based liquid metal (e.g. Galinstan ® ) is opaque in broad spectrum of light in the wavelength from 325 nm to 850 nm while the PDMS is highly transparent in this wide range of spectrum. We made both bright field and dark field microfluidic photomasks and transferred various patterns onto a positive photoresist. A 7-segment display microfluidic channel photomask was also fabricated and decimal numerals (from ‘0’ to ‘9’) were patterned with one photomask by dynamically reconfiguring decimal numeral shapes with on-demand injection and withdrawal of the liquid metal in specific segment microfluidic channels in the photomask. In addition, utilizing mechanical flexibility of the PDMS and the liquid metal, reconfiguration of the patterns in a microfluidic photomask under stretching was successfully tested. (paper)

  4. Towards UV imaging sensors based on single-crystal diamond chips for spectroscopic applications

    Energy Technology Data Exchange (ETDEWEB)

    De Sio, A. [Department of Astronomy and Space Science, University of Firenze, Largo E. Fermi 2, 50125 Florence (Italy)], E-mail: desio@arcetri.astro.it; Bocci, A. [Department of Astronomy and Space Science, University of Firenze, Largo E. Fermi 2, 50125 Florence (Italy); Bruno, P.; Di Benedetto, R.; Greco, V.; Gullotta, G. [INAF-Astrophysical Observatory of Catania (Italy); Marinelli, M. [INFN-Department of Mechanical Engineering, University of Roma ' Tor Vergata' (Italy); Pace, E. [Department of Astronomy and Space Science, University of Firenze, Largo E. Fermi 2, 50125 Florence (Italy); Rubulotta, D.; Scuderi, S. [INAF-Astrophysical Observatory of Catania (Italy); Verona-Rinati, G. [INFN-Department of Mechanical Engineering, University of Roma ' Tor Vergata' (Italy)

    2007-12-11

    The recent improvements achieved in the Homoepitaxial Chemical Vapour Deposition technique have led to the production of high-quality detector-grade single-crystal diamonds. Diamond-based detectors have shown excellent performances in UV and X-ray detection, paving the way for applications of diamond technology to the fields of space astronomy and high-energy photon detection in harsh environments or against strong visible light emission. These applications are possible due to diamond's unique properties such as its chemical inertness and visible blindness, respectively. Actually, the development of linear array detectors represents the main issue for a full exploitation of diamond detectors. Linear arrays are a first step to study bi-dimensional sensors. Such devices allow one to face the problems related to pixel miniaturisation and of signal read-out from many channels. Immediate applications would be in spectroscopy, where such arrays are preferred. This paper reports on the development of imaging detectors made by our groups, starting from the material growth and characterisation, through the design, fabrication and packaging of 2xn pixel arrays, to their electro-optical characterisation in terms of UV sensitivity, uniformity of the response and to the development of an electronic circuit suitable to read-out very low photocurrent signals. The detector and its electronic read-out were then tested using a 2x5 pixel array based on a single-crystal diamond. The results will be discussed in the framework of the development of an imager device for X-UV astronomy applications in space missions.

  5. Visual masking & schizophrenia

    Directory of Open Access Journals (Sweden)

    Michael H. Herzog

    2015-06-01

    Full Text Available Visual masking is a frequently used tool in schizophrenia research. Visual masking has a very high sensitivity and specificity and masking paradigms have been proven to be endophenotypes. Whereas masking is a powerful technique to study schizophrenia, the underlying mechanisms are discussed controversially. For example, for more than 25 years, masking deficits of schizophrenia patients were mainly attributed to a deficient magno-cellular system (M-system. Here, we show that there is very little evidence that masking deficits are magno-cellular deficits. We will discuss the magno-cellular and other approaches in detail and highlight their pros and cons.

  6. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  7. Single-cell gel electrophoresis applied to the analysis of UV-C damage and its repair in human cells

    International Nuclear Information System (INIS)

    Gedik, C.M.; Collins, A.R.; Ewen, S.W.B.

    1992-01-01

    The authors have adapted procedure of single cell gel electrophoresis (SCGE) for studying DNA damage and repair induced by UV-C-radiation, using HeLa cells. UV-C itself does not induce DNA breakage, and though cellular repair of UV-C damage produces DNA breaks as intermediates, these are too short-lived to be detected by SCGE. Incubation of UV-C-irradiated cells with the DNA synthesis inhibitor aphidicolin causes accumulation of incomplete repair sites to a level readily detected by SCGE even after doses as low as 0.5 J m -2 and incubation for as little as 5 min. The authors also studied UV-C-dependent incision, repair synthesis and ligation in permeable cells. Finally, key incubated permeable cells, after UV-C-irradiation, with exogenous UV endonuclease, examined consequent breaks both by SCGE and by alkaline unwinding to express results of the electrophoretic method in terms of DNA break frequencies. The sensitivity of the SCGE technique can thus be estimated; as few as 0.1 DNA breaks per 10 9 daltons are detected. (Author)

  8. The efficacy of three different mask styles on a PAP titration night.

    Science.gov (United States)

    Ebben, Matthew R; Oyegbile, Temitayo; Pollak, Charles P

    2012-06-01

    This study compared the efficacy of three different masks, nasal pillows, nasal masks and full face (oronasal) masks, during a single night of titration with continuous positive airway pressure (CPAP). Fifty five subjects that included men (n=33) and women (n=22) were randomly assigned to one of three masks and underwent a routine titration with incremental CPAP applied through the different masks. CPAP applied through the nasal pillows and nasal mask was equally effective in treating mild, moderate, and severe sleep apnea. However, CPAP applied through the oronasal mask required a significantly higher pressure compared to nasal masks to treat moderately severe (2.8 cm of H(2)O ± 2.1 SD) and severe (6.0 cm of H(2)O ± 3.2 SD) obstructive sleep apnea. CPAP applied with either nasal mask was effective in treating mild, moderate, and severe sleep apnea. The oronasal mask required significantly higher pressures in subjects with moderate to severe disease. Therefore, when changing from a nasal to an oronasal mask, a repeat titration is required to ensure effective treatment of sleep apnea, especially in patients with moderate to severe disease. Copyright © 2012 Elsevier B.V. All rights reserved.

  9. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  10. Fabrication technique for a custom face mask for the treatment of obstructive sleep apnea.

    Science.gov (United States)

    Prehn, Ronald S; Colquitt, Tom

    2016-05-01

    The development of the positive airway pressure custom mask (TAP-PAP CM) has changed the treatment of obstructive sleep apnea. The TAP-PAP CM is used in continuous positive airway pressure therapy (CPAP) and is fabricated from the impression of the face. This mask is then connected to a post screwed into the mechanism of the TAP3 (Thornton Anterior Positioner) oral appliance. This strapless CPAP face mask features an efficient and stable CPAP interface with mandibular stabilization (Hybrid Therapy). A technique with a 2-stage polyvinyl siloxane face impression is described that offers improvements over the established single-stage face impression. This 2-stage impression technique eliminates problems inherent in the single-stage face impression, including voids, compressed tissue, inadequate borders, and a rushed experience due to the setting time of the single stage. The result is a custom mask with an improved seal to the CPAP device. Copyright © 2016 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  11. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    Science.gov (United States)

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  12. Single-strand breaks in supercoiled DNA induced by vacuum-UV radiation in aqueous solution

    Energy Technology Data Exchange (ETDEWEB)

    Takakura, Kaoru; Ishikawa, Mitsuo; Hieda, Kotaro; Kobayashi, Katsumi; Ito, Atsushi; Ito, Takashi

    1986-09-01

    The induction of single-strand breaks in the DNA of plasmid pBR 322 by vacuum-UV radiation above 145 nm in aqueous solutions was studied in relation to the production of OH-radicals in water. The similarity and dissimilarity were examined on the wavelength dependence between the two effects. The maximum of single strand breaks at 150 nm could be explained by the action of OH-radicals derived from direct water photolysis: the maximum at 180 nm remains unexplained. There was no indication that the direct absorption of photon by the DNA molecule plays an important role in the production of single-strand breaks.

  13. Single-strand breaks in supercoiled DNA induced by vacuum-UV radiation in aqueous solution

    International Nuclear Information System (INIS)

    Takakura, Kaoru; Ishikawa, Mitsuo; Hieda, Kotaro; Kobayashi, Katsumi; Ito, Atsushi; Ito, Takashi

    1986-01-01

    The induction of single-strand breaks in the DNA of plasmid pBR 322 by vacuum-UV radiation above 145 nm in aqueous solutions was studied in relation to the production of OH-radicals in water. The similarity and dissimilarity were examined on the wavelength dependence between the two effects. The maximum of single strand breaks at 150 nm could be explained by the action of OH-radicals derived from direct water photolysis: the maximum at 180 nm remains unexplained. There was no indication that the direct absorption of photon by the DNA molecule plays an important role in the production of single-strand breaks. (author)

  14. Mitigation and control of the overcuring effect in mask projection micro-stereolithography

    Science.gov (United States)

    O'Neill, Paul F.; Kent, Nigel; Brabazon, Dermot

    2017-10-01

    Mask Projection micro-Stereolithography (MPμSL) is an additive manufacturing technique capable of producing solid parts with micron-scale resolution from a vat of photocurable liquid polymer resin. Although the physical mechanism remains the same, the process differs from traditional laser-galvanometer based stereolithography (SL) in its use of a dynamic mask UV projector, or digital light processor (DLP), which cures each location within each 3D layer at the same time. One area where MPµSL has garnered considerable attention is in the field of microfluidics and Lab-on-a-Chip, where complex multistep microfabrication techniques adopted from the semiconductor industry are still widely used, and where MPµSL offers the ability to fabricate completely encapsulated fluidic channels in a single step and at low cost [1-3]. However, a significant obstacle exists in the prevention of channel blockage due to overcuring of the polymer resin [4, 5]. Overcuring can be attributed to the so-called `back side effect' [2] which occurs during the build process as light from successive layers penetrates into the resin to a depth greater than the layer thickness. This effect is most prevalent in channels or features oriented horizontally (in a parallel plane to that of the build platform). Currently there are two main approaches in controlling the cure depth; 1. the chemical approach, which involves doping the resin material with a chemical light absorber [6-8]; and 2. by improving the system's hardware and optical elements to improve the homogeneity of the light dosage and control the cure depth [9]. Here we investigate a third approach through modification of the 3D CAD file prior to printing to mitigate for UV light leakage from successive build layers. Although used here in conjunction with the MPμSL technique, this approach can be applied to a range of SL techniques to improve printer resolution and enable production of internal features with higher dimensional accuracy.

  15. Forward Masking in Cochlear Implant Users: Electrophysiological and Psychophysical Data Using Pulse Train Maskers.

    Science.gov (United States)

    Adel, Youssef; Hilkhuysen, Gaston; Noreña, Arnaud; Cazals, Yves; Roman, Stéphane; Macherey, Olivier

    2017-06-01

    Electrical stimulation of auditory nerve fibers using cochlear implants (CI) shows psychophysical forward masking (pFM) up to several hundreds of milliseconds. By contrast, recovery of electrically evoked compound action potentials (eCAPs) from forward masking (eFM) was shown to be more rapid, with time constants no greater than a few milliseconds. These discrepancies suggested two main contributors to pFM: a rapid-recovery process due to refractory properties of the auditory nerve and a slow-recovery process arising from more central structures. In the present study, we investigate whether the use of different maskers between eCAP and psychophysical measures, specifically single-pulse versus pulse train maskers, may have been a source of confound.In experiment 1, we measured eFM using the following: a single-pulse masker, a 300-ms low-rate pulse train masker (LTM, 250 pps), and a 300-ms high-rate pulse train masker (HTM, 5000 pps). The maskers were presented either at same physical current (Φ) or at same perceptual (Ψ) level corresponding to comfortable loudness. Responses to a single-pulse probe were measured for masker-probe intervals ranging from 1 to 512 ms. Recovery from masking was much slower for pulse trains than for the single-pulse masker. When presented at Φ level, HTM produced more and longer-lasting masking than LTM. However, results were inconsistent when LTM and HTM were compared at Ψ level. In experiment 2, masked detection thresholds of single-pulse probes were measured using the same pulse train masker conditions. In line with our eFM findings, masked thresholds for HTM were higher than those for LTM at Φ level. However, the opposite result was found when the pulse trains were presented at Ψ level.Our results confirm the presence of slow-recovery phenomena at the level of the auditory nerve in CI users, as previously shown in animal studies. Inconsistencies between eFM and pFM results, despite using the same masking conditions, further

  16. Binaural masking level differences in nonsimultanuous masking

    NARCIS (Netherlands)

    Kohlrausch, A.G.; Fassel, R.; Gilkey, R.H.; Anderson, T.R.

    1997-01-01

    This chapter investigates the extent to which binaural unmasking occurs with nonsimultaneous presentation of masker and signal, particularly in forward masking. The majority of previous studies that addressed this question found that there is a substantial binaural masking level difference (BMLD) in

  17. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    Science.gov (United States)

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  18. Imaging micro-well proportional counters fabricated with masked UV laser ablation

    CERN Document Server

    Deines-Jones, P; Crawford, H; Hunter, S D

    2002-01-01

    The micro-well detector is a gas-proportional counter similar to the CAT (Bartol et al., J. Phys. III 6 (1996) 337) and WELL detectors (Bellazzini et al., Nucl. Instr. and Meth. A 423 (1999) 125). The micro-well is a cylindrical hole formed in the polymer substrate of commercially fabricated copper-clad flexible printed circuit board by UV laser ablation. The micro-wells are drilled at GSFC's UV laser-ablation facility. The cathode is a metal annulus that surrounds the opening of the well. The anode is a metal pad that fills the bottom of the well. Advantages of this topology include intrinsic two-dimensional sensing, thick robust electrodes, and large localized image charge on the cathodes. We have fabricated 5 cmx5 cm micro-well detectors with segmented anodes (1-d) and with both anodes and cathodes segmented (2-d), and have demonstrated: - stable, proportional operation at gas gains in excess of 30,000 in Ar- and Xe-based gases; - FWHM energy resolution of 20% at 6 keV in P-10; - preliminary 1-d spatial re...

  19. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  20. Masking as an effective quality control method for next-generation sequencing data analysis.

    Science.gov (United States)

    Yun, Sajung; Yun, Sijung

    2014-12-13

    Next generation sequencing produces base calls with low quality scores that can affect the accuracy of identifying simple nucleotide variation calls, including single nucleotide polymorphisms and small insertions and deletions. Here we compare the effectiveness of two data preprocessing methods, masking and trimming, and the accuracy of simple nucleotide variation calls on whole-genome sequence data from Caenorhabditis elegans. Masking substitutes low quality base calls with 'N's (undetermined bases), whereas trimming removes low quality bases that results in a shorter read lengths. We demonstrate that masking is more effective than trimming in reducing the false-positive rate in single nucleotide polymorphism (SNP) calling. However, both of the preprocessing methods did not affect the false-negative rate in SNP calling with statistical significance compared to the data analysis without preprocessing. False-positive rate and false-negative rate for small insertions and deletions did not show differences between masking and trimming. We recommend masking over trimming as a more effective preprocessing method for next generation sequencing data analysis since masking reduces the false-positive rate in SNP calling without sacrificing the false-negative rate although trimming is more commonly used currently in the field. The perl script for masking is available at http://code.google.com/p/subn/. The sequencing data used in the study were deposited in the Sequence Read Archive (SRX450968 and SRX451773).

  1. Masked Visual Analysis: Minimizing Type I Error in Visually Guided Single-Case Design for Communication Disorders.

    Science.gov (United States)

    Byun, Tara McAllister; Hitchcock, Elaine R; Ferron, John

    2017-06-10

    Single-case experimental designs are widely used to study interventions for communication disorders. Traditionally, single-case experiments follow a response-guided approach, where design decisions during the study are based on participants' observed patterns of behavior. However, this approach has been criticized for its high rate of Type I error. In masked visual analysis (MVA), response-guided decisions are made by a researcher who is blinded to participants' identities and treatment assignments. MVA also makes it possible to conduct a hypothesis test assessing the significance of treatment effects. This tutorial describes the principles of MVA, including both how experiments can be set up and how results can be used for hypothesis testing. We then report a case study showing how MVA was deployed in a multiple-baseline across-subjects study investigating treatment for residual errors affecting rhotics. Strengths and weaknesses of MVA are discussed. Given their important role in the evidence base that informs clinical decision making, it is critical for single-case experimental studies to be conducted in a way that allows researchers to draw valid inferences. As a method that can increase the rigor of single-case studies while preserving the benefits of a response-guided approach, MVA warrants expanded attention from researchers in communication disorders.

  2. Visible-Blind UV Photodetector Based on Single-Walled Carbon Nanotube Thin Film/ZnO Vertical Heterostructures.

    Science.gov (United States)

    Li, Guanghui; Suja, Mohammad; Chen, Mingguang; Bekyarova, Elena; Haddon, Robert C; Liu, Jianlin; Itkis, Mikhail E

    2017-10-25

    Ultraviolet (UV) photodetectors based on heterojunctions of conventional (Ge, Si, and GaAs) and wide bandgap semiconductors have been recently demonstrated, but achieving high UV sensitivity and visible-blind photodetection still remains a challenge. Here, we utilized a semitransparent film of p-type semiconducting single-walled carbon nanotubes (SC-SWNTs) with an energy gap of 0.68 ± 0.07 eV in combination with a molecular beam epitaxy grown n-ZnO layer to build a vertical p-SC-SWNT/n-ZnO heterojunction-based UV photodetector. The resulting device shows a current rectification ratio of 10 3 , a current photoresponsivity up to 400 A/W in the UV spectral range from 370 to 230 nm, and a low dark current. The detector is practically visible-blind with the UV-to-visible photoresponsivity ratio of 10 5 due to extremely short photocarrier lifetimes in the one-dimensional SWNTs because of strong electron-phonon interactions leading to exciton formation. In this vertical configuration, UV radiation penetrates the top semitransparent SC-SWNT layer with low losses (10-20%) and excites photocarriers within the n-ZnO layer in close proximity to the p-SC-SWNT/n-ZnO interface, where electron-hole pairs are efficiently separated by a high built-in electric field associated with the heterojunction.

  3. Influence of solvents on UV degradation of polysilanes films

    International Nuclear Information System (INIS)

    Tkacova, M.; Schauer, F.

    2014-01-01

    In the paper we deal with the UV degradation process in polymers in general and silicon based polymers in particular, using the well-known prototypical polymer poly[methylphenylsilylene] (PMPSi). Attention is focused on the influence of solvents used for films preparation an don the UV degradation process in these films examined by Photoluminescence (PL) spectroscopy and Electrochemical Impedance Spectroscopy (EIS). The goal of the study is oriented on the writing of information into thin polymer films and production of nano masks for semiconductor industry. PMPSi is a prototypical silicon-based polymer from the polysilanes (PS) group that attracted attention because of their nonlinear optical and photoelectrical properties (authors)

  4. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  5. Toward single-mode UV to near-IR guidance using hollow-core anti-resonant silica fiber

    DEFF Research Database (Denmark)

    Habib, Md Selim; Antonio-Lopez, Jose Enrique; Van Newkirk, Amy

    2017-01-01

    Hollow-core anti-resonant (HC-AR) fibers with a “negative-curvature” of the core-cladding boundary have been extensively studied over the past few years owing to their low loss and wide transmission bandwidths. The key unique feature of the HC-AR fiber is that the coupling between the core and cl...... a silica HC-AR fiber having a single ring of 7 non-touching capillaries, designed to have effectively single-mode operation and low loss from UV to near-IR....

  6. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  7. Development of paper-based microfluidic analytical device for iron assay using photomask printed with 3D printer for fabrication of hydrophilic and hydrophobic zones on paper by photolithography.

    Science.gov (United States)

    Asano, Hitoshi; Shiraishi, Yukihide

    2015-07-09

    This paper describes a paper-based microfluidic analytical device for iron assay using a photomask printed with a 3D printer for fabrication of hydrophilic and hydrophobic zones on the paper by photolithography. Several designed photomasks for patterning paper-based microfluidic analytical devices can be printed with a 3D printer easily, rapidly and inexpensively. A chromatography paper was impregnated with the octadecyltrichlorosilane n-hexane solution and hydrophobized. After the hydrophobic zone of the paper was exposed to the UV light through the photomask, the hydrophilic zone was generated. The smallest functional hydrophilic channel and hydrophobic barrier were ca. 500 μm and ca. 100 μm in width, respectively. The fabrication method has high stability, resolution and precision for hydrophilic channel and hydrophobic barrier. This test paper was applied to the analysis of iron in water samples using a colorimetry with phenanthroline. Copyright © 2015 Elsevier B.V. All rights reserved.

  8. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    Science.gov (United States)

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  9. Masking and Partial Masking in Listeners with a High-Frequency Hearing Loss

    NARCIS (Netherlands)

    Smits, J.T.S.; Duifhuis, H.

    1982-01-01

    3 listeners with sensorineural hearing loss ranging from moderate to moderate-severe starting at frequencies higher than 1 kHz participated in two masking experiments and a partial masking experiment. In the first masking experiment, fM = 1 kHz and LM = 50 dB SPL, higher than normal masked

  10. Bubble masks for time-encoded imaging of fast neutrons.

    Energy Technology Data Exchange (ETDEWEB)

    Brubaker, Erik; Brennan, James S.; Marleau, Peter; Nowack, Aaron B.; Steele, John T.; Sweany, Melinda; Throckmorton, Daniel J.

    2013-09-01

    Time-encoded imaging is an approach to directional radiation detection that is being developed at SNL with a focus on fast neutron directional detection. In this technique, a time modulation of a detected neutron signal is inducedtypically, a moving mask that attenuates neutrons with a time structure that depends on the source position. An important challenge in time-encoded imaging is to develop high-resolution two-dimensional imaging capabilities; building a mechanically moving high-resolution mask presents challenges both theoretical and technical. We have investigated an alternative to mechanical masks that replaces the solid mask with a liquid such as mineral oil. Instead of fixed blocks of solid material that move in pre-defined patterns, the oil is contained in tubing structures, and carefully introduced air gapsbubblespropagate through the tubing, generating moving patterns of oil mask elements and air apertures. Compared to current moving-mask techniques, the bubble mask is simple, since mechanical motion is replaced by gravity-driven bubble propagation; it is flexible, since arbitrary bubble patterns can be generated by a software-controlled valve actuator; and it is potentially high performance, since the tubing and bubble size can be tuned for high-resolution imaging requirements. We have built and tested various single-tube mask elements, and will present results on bubble introduction and propagation as a function of tubing size and cross-sectional shape; real-time bubble position tracking; neutron source imaging tests; and reconstruction techniques demonstrated on simple test data as well as a simulated full detector system.

  11. Inter- and intra-observer reliability of masking in plantar pressure measurement analysis.

    Science.gov (United States)

    Deschamps, K; Birch, I; Mc Innes, J; Desloovere, K; Matricali, G A

    2009-10-01

    Plantar pressure measurement is an important tool in gait analysis. Manual placement of small masks (masking) is increasingly used to calculate plantar pressure characteristics. Little is known concerning the reliability of manual masking. The aim of this study was to determine the reliability of masking on 2D plantar pressure footprints, in a population with forefoot deformity (i.e. hallux valgus). Using a random repeated-measure design, four observers identified the third metatarsal head on a peak-pressure barefoot footprint, using a small mask. Subsequently, the location of all five metatarsal heads was identified, using the same size of masks and the same protocol. The 2D positional variation of the masks and the peak pressure (PP) and pressure time integral (PTI) values of each mask were calculated. For single-masking the lowest inter-observer reliability was found for the distal-proximal direction, causing a clear, adverse impact on the reliability of the pressure characteristics (PP and PTI). In the medial-lateral direction the inter-observer reliability could be scored as high. Intra-observer reliability was better and could be scored as high or good for both directions, with a correlated improved reliability of the pressure characteristics. Reliability of multi-masking showed a similar pattern, but overall values tended to be lower. Therefore, small sized masking in order to define pressure characteristics in the forefoot should be done with care.

  12. Novel UV-emitting single crystalline film phosphors grown by LPE method

    International Nuclear Information System (INIS)

    Zorenko, Y.; Gorbenko, V.; Savchyn, V.; Voznyak, T.; Nikl, M.; Mares, J.A.; Winnacker, A.

    2010-01-01

    This work reports the development of new types of UV-emitting phosphors based on single crystalline films (SCF) of aluminum garnet and perovskite compounds grown by the liquid phase epitaxy method. We consider peculiarities of the growth and the luminescent and scintillation properties of the following four types of UV SCF phosphors: i) Ce-doped SCF of Y-Lu-Al-perovskites with the Ce 3+ emission in the 300-450 nm range of the decay time of 16-17 ns; ii) Pr-doped SCF of Y-Lu-Al garnets and perovskites with the Pr 3+ emission in the 300-400 nm and 235-330 nm ranges with the decay time of 13-19 and 7-8 ns, respectively; iii) La 3+ or Sc 3+ doped SCF of Y-Lu-Al-garnets, emitting in the 280-400 nm range due to formation of the La Y,Lu , Sc Y,Lu and Sc Al centers with decay time of the order of several hundreds of nanoseconds; iv) Bi 3+ doped SCF of garnets with Bi 3+ emission in 275-350 nm with decay time of about 1.9 μs.

  13. Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications

    International Nuclear Information System (INIS)

    Ray, Tathagata; Zhu, Haixin; Meldrum, Deirdre R

    2010-01-01

    In this note, we present our results from process development and characterization of reactive ion etching (RIE) of fused silica using a single-coated soft masking layer (KMPR® 1025, Microchem Corporation, Newton, MA). The effects of a number of fluorine-radical-based gaseous chemistries, the gas flow rate, RF power and chamber pressure on the etch rate and etching selectivity of fused silica were studied using factorial experimental designs. RF power and pressure were found to be the most important factors in determining the etch rate. The highest fused silica etch rate obtained was about 933 Å min −1 by using SF 6 -based gas chemistry, and the highest etching selectivity between the fused silica and KMPR® 1025 was up to 1.2 using a combination of CF 4 , CHF 3 and Ar. Up to 30 µm deep microstructures have been successfully fabricated using the developed processes. The average area roughness (R a ) of the etched surface was measured and results showed it is comparable to the roughness obtained using a wet etching technique. Additionally, near-vertical sidewalls (with a taper angle up to 85°) have been obtained for the etched microstructures. The processes developed here can be applied to any application requiring fabrication of deep microstructures in fused silica with near-vertical sidewalls. To our knowledge, this is the first note on deep RIE of fused silica using a single-coated KMPR® 1025 masking layer and a non-ICP-based reactive ion etcher. (technical note)

  14. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  15. 2013 mask industry survey

    Science.gov (United States)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  16. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  17. Partial tripolar cochlear implant stimulation: Spread of excitation and forward masking in the inferior colliculus.

    Science.gov (United States)

    Bierer, Julie Arenberg; Bierer, Steven M; Middlebrooks, John C

    2010-12-01

    This study examines patterns of neural activity in response to single biphasic electrical pulses, presented alone or following a forward masking pulse train, delivered by a cochlear implant. Recordings were made along the tonotopic axis of the central nucleus of the inferior colliculus (ICC) in ketamine/xylazine anesthetized guinea pigs. The partial tripolar electrode configuration was used, which provided a systematic way to vary the tonotopic extent of ICC activation between monopolar (broad) and tripolar (narrow) extremes while maintaining the same peak of activation. The forward masking paradigm consisted of a 200 ms masker pulse train (1017 pulses per second) followed 10 ms later by a single-pulse probe stimulus; the current fraction of the probe was set to 0 (monopolar), 1 (tripolar), or 0.5 (hybrid), and the fraction of the masker was fixed at 0.5. Forward masking tuning profiles were derived from the amount of masking current required to just suppress the activity produced by a fixed-level probe. These profiles were sharper for more focused probe configurations, approximating the pattern of neural activity elicited by single (non-masked) pulses. The result helps to bridge the gap between previous findings in animals and recent psychophysical data. Copyright © 2010 Elsevier B.V. All rights reserved.

  18. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    Science.gov (United States)

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  19. Rolling replication of UV-irradiated duplex DNA in the phi X174 replicative-form----single-strand replication system in vitro

    International Nuclear Information System (INIS)

    Shavitt, O.; Livneh, Z.

    1989-01-01

    Cloning of the phi X174 viral origin of replication into phage M13mp8 produced an M13-phi X174 chimera, the DNA of which directed efficient replicative-form----single-strand rolling replication in vitro. This replication assay was performed with purified phi X174-encoded gene A protein, Escherichia coli rep helicase, single-stranded DNA-binding protein, and DNA polymerase III holoenzyme. The nicking of replicative-form I (RFI) DNA by gene A protein was essentially unaffected by the presence of UV lesions in the DNA. However, unwinding of UV-irradiated DNA by the rep helicase was inhibited twofold as compared with unwinding of the unirradiated substrate. UV irradiation of the substrate DNA caused a strong inhibition in its ability to direct DNA synthesis. However, even DNA preparations that contained as many as 10 photodimers per molecule still supported the synthesis of progeny full-length single-stranded DNA. The appearance of full-length radiolabeled products implied at least two full rounds of replication, since the first round released the unlabeled plus viral strand of the duplex DNA. Pretreatment of the UV-irradiated DNA substrate with purified pyrimidine dimer endonuclease from Micrococcus luteus, which converted photodimer-containing supercoiled RFI DNA into relaxed, nicked RFII DNA and thus prevented its replication, reduced DNA synthesis by 70%. Analysis of radiolabeled replication products by agarose gel electrophoresis followed by autoradiography revealed that this decrease was due to a reduction in the synthesis of progeny full-length single-stranded DNA. This implies that 70 to 80% of the full-length DNA products produced in this system were synthesized on molecules that carried photodimers

  20. DNA damage caused by UV- and near UV-irradiation

    International Nuclear Information System (INIS)

    Ohnishi, Takeo

    1986-01-01

    Much work with mutants deficient in DNA repair has been performed concerning UV-induced DNA damage under the condition where there is no artificial stimulation. In an attempt to infer the effects of solar wavelengths, the outcome of the work is discussed in terms of cellular radiation sensitivity, unscheduled DNA synthesis, and mutation induction, leading to the conclusion that some DNA damage occurs even by irradiation of the shorter wavelength light (270 - 315 nm) and is repaired by excision repair. It has been thought to date that pyrimidine dimer (PD) plays the most important role in UV-induced DNA damage, followed by (6 - 4) photoproducts. As for DNA damage induced by near UV irradiation, the yield of DNA single-strand breaks and of DNA-protein crosslinking, other than PD, is considered. The DNA-protein crosslinking has proved to be induced by irradiation at any wavelength of UV ranging from 260 to 425 nm. Near UV irradiation causes the inhibition of cell proliferation to take place. (Namekawa, K.)

  1. Microchip Flow Cytometer with Integrated Polymer Optical Elements for Measurement of Scattered Light

    DEFF Research Database (Denmark)

    Wang, Zhenyu; El-Ali, Jamil; Perch-Nielsen, Ivan Ryberg

    2004-01-01

    channels to form a complete microchip flow cytometer. All the optical elements, the microfluidic system, and the fiber-to-waveguide couplers were defined in one layer of polymer (SU-8, negative photoresist) by standard photolithography. With only one single mask procedure, all the fabrication and packaging...... processes can be finished in one day. Polystyrene beads were measured in the microchip flow cytometer, and three signals (forward scattering, large angle scattering and extinction) were measured simultaneously for each bead. The average intensities of the forward Scattered light and the incident light...

  2. Scanning microscopic four-point conductivity probes

    DEFF Research Database (Denmark)

    Petersen, Christian Leth; Hansen, Torben Mikael; Bøggild, Peter

    2002-01-01

    A method for fabricating microscopic four-point probes is presented. The method uses silicon-based microfabrication technology involving only two patterning steps. The last step in the fabrication process is an unmasked deposition of the conducting probe material, and it is thus possible to select...... the conducting material either for a silicon wafer or a single probe unit. Using shadow masking photolithography an electrode spacing (pitch) down to 1.1 mum was obtained, with cantilever separation down to 200 run. Characterisation measurements have shown the microscopic probes to be mechanically very flexible...

  3. Masking Period Patterns and Forward Masking for Speech-Shaped Noise: Age-Related Effects.

    Science.gov (United States)

    Grose, John H; Menezes, Denise C; Porter, Heather L; Griz, Silvana

    2016-01-01

    The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to nonsimultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Participants included younger (n = 11), middle-age (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions and assessed how well the temporal window fits accounted for these data. The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. This study demonstrated an age-related increase in susceptibility to nonsimultaneous masking, supporting the hypothesis that exacerbated nonsimultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data, suggesting an association between susceptibility to forward masking and speech understanding in modulated noise.

  4. Mask alignment system for semiconductor processing

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Weaver, William T.; Grant, Christopher N.

    2017-02-14

    A mask alignment system for providing precise and repeatable alignment between ion implantation masks and workpieces. The system includes a mask frame having a plurality of ion implantation masks loosely connected thereto. The mask frame is provided with a plurality of frame alignment cavities, and each mask is provided with a plurality of mask alignment cavities. The system further includes a platen for holding workpieces. The platen may be provided with a plurality of mask alignment pins and frame alignment pins configured to engage the mask alignment cavities and frame alignment cavities, respectively. The mask frame can be lowered onto the platen, with the frame alignment cavities moving into registration with the frame alignment pins to provide rough alignment between the masks and workpieces. The mask alignment cavities are then moved into registration with the mask alignment pins, thereby shifting each individual mask into precise alignment with a respective workpiece.

  5. 2012 Mask Industry Survey

    Science.gov (United States)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  6. Improving Overlay in Nanolithography with a Deformable Mask Holder

    National Research Council Canada - National Science Library

    Harriott, L. R

    2004-01-01

    In very fine-line VLSI photolithography, alignment and overlay errors due to distortion in the projected image of a photomask relative to an existing pattern on a silicon wafer are becoming such serious problems...

  7. Binary Masking & Speech Intelligibility

    DEFF Research Database (Denmark)

    Boldt, Jesper

    The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either experime......The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either...... experiments under ideal conditions or as experiments under more realistic conditions useful for real-life applications such as hearing aids. In the experiments under ideal conditions, the previously defined ideal binary mask is evaluated using hearing impaired listeners, and a novel binary mask -- the target...... binary mask -- is introduced. The target binary mask shows the same substantial increase in intelligibility as the ideal binary mask and is proposed as a new reference for binary masking. In the category of real-life applications, two new methods are proposed: a method for estimation of the ideal binary...

  8. Traditional Chinese Masks Reveal Customs

    Institute of Scientific and Technical Information of China (English)

    1996-01-01

    CHINESE masks are undoubtedly an important component in the worldwide mask culture. Minority nationality masks are a major component of China’s mask culture. Traditional Chinese masks, or nuo, represent a cultural component which originated from religious rites in prehistoric times. Various types of nuo are highly valuable for studies of Chinese customs.

  9. High-charge and multiple-star vortex coronagraphy from stacked vector vortex phase masks.

    Science.gov (United States)

    Aleksanyan, Artur; Brasselet, Etienne

    2018-02-01

    Optical vortex phase masks are now installed at many ground-based large telescopes for high-contrast astronomical imaging. To date, such instrumental advances have been restricted to the use of helical phase masks of the lowest even order, while future giant telescopes will require high-order masks. Here we propose a single-stage on-axis scheme to create high-order vortex coronagraphs based on second-order vortex phase masks. By extending our approach to an off-axis design, we also explore the implementation of multiple-star vortex coronagraphy. An experimental laboratory demonstration is reported and supported by numerical simulations. These results offer a practical roadmap to the development of future coronagraphic tools with enhanced performances.

  10. Combination of binaural and harmonic masking release effects in the detection of a single component in complex tones.

    Science.gov (United States)

    Klein-Hennig, Martin; Dietz, Mathias; Hohmann, Volker

    2018-03-01

    Both harmonic and binaural signal properties are relevant for auditory processing. To investigate how these cues combine in the auditory system, detection thresholds for an 800-Hz tone masked by a diotic (i.e., identical between the ears) harmonic complex tone were measured in six normal-hearing subjects. The target tone was presented either diotically or with an interaural phase difference (IPD) of 180° and in either harmonic or "mistuned" relationship to the diotic masker. Three different maskers were used, a resolved and an unresolved complex tone (fundamental frequency: 160 and 40 Hz) with four components below and above the target frequency and a broadband unresolved complex tone with 12 additional components. The target IPD provided release from masking in most masker conditions, whereas mistuning led to a significant release from masking only in the diotic conditions with the resolved and the narrowband unresolved maskers. A significant effect of mistuning was neither found in the diotic condition with the wideband unresolved masker nor in any of the dichotic conditions. An auditory model with a single analysis frequency band and different binaural processing schemes was employed to predict the data of the unresolved masker conditions. Sensitivity to modulation cues was achieved by including an auditory-motivated modulation filter in the processing pathway. The predictions of the diotic data were in line with the experimental results and literature data in the narrowband condition, but not in the broadband condition, suggesting that across-frequency processing is involved in processing modulation information. The experimental and model results in the dichotic conditions show that the binaural processor cannot exploit modulation information in binaurally unmasked conditions. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  12. Modulation cues influence binaural masking-level difference in masking-pattern experiments.

    Science.gov (United States)

    Nitschmann, Marc; Verhey, Jesko L

    2012-03-01

    Binaural masking patterns show a steep decrease in the binaural masking-level difference (BMLD) when masker and signal have no frequency component in common. Experimental threshold data are presented together with model simulations for a diotic masker centered at 250 or 500 Hz and a bandwidth of 10 or 100 Hz masking a sinusoid interaurally in phase (S(0)) or in antiphase (S(π)). Simulations with a binaural model, including a modulation filterbank for the monaural analysis, indicate that a large portion of the decrease in the BMLD in remote-masking conditions may be due to an additional modulation cue available for monaural detection. © 2012 Acoustical Society of America

  13. DNA single-strand breaks during repair of uv damage in human fibroblasts and abnormalities of repair in xeroderma pigmentosum

    International Nuclear Information System (INIS)

    Fornace, A.J. Jr.; Kohn, K.W.; Kann, H.E. Jr.

    1976-01-01

    The method of DNA alkaline elution was applied to a study of the formation and resealing of DNA single-strand breaks after irradiation of human fibroblasts with ultraviolet light (UV). The general features of the results were consistent with current concepts of DNA excision repair, in that breaks appeared rapidly after uv, and resealed slowly in normal fibroblasts, whereas breaks did not appear in those cells of patients with xeroderma pigmentosum (XP) that are known to have defects in DNA repair synthesis. The appearance of breaks required a short post-uv incubation, consistent with the expected action of an endonuclease. Cells of the variant form of XP characterized by normal DNA repair synthesis exhibited normal production of breaks after uv, but were slower than normal cells in resealing these breaks. This difference was enhanced by caffeine. A model is proposed to relate this finding with a previously described defect in post-replication repair in these XP variant cells. DNA crosslinking appears to cause an underestimate in the measurement of DNA breakage after uv

  14. AutoMOPS- B2B and B2C in mask making: Mask manufacturing performance and customer satisfaction improvement through better information flow management using generic models and standardized languages

    Science.gov (United States)

    Filies, Olaf; de Ridder, Luc; Rodriguez, Ben; Kujiken, Aart

    2002-03-01

    Semiconductor manufacturing has become a global business, in which companies of different size unite in virtual enterprises to meet new opportunities. Therefore Mask manufacturing is a key business, but mask ordering is a complex process and is always critical regarding design to market time, even though mask complexity and customer base are increasing using a wide variety of different mask order forms which are frequently faulty and very seldom complete. This is effectively blocking agile manufacturing and can tie wafer fabs to a single mask The goal of the project is elimination of the order verification through paperless, electronically linked information sharing/exchange between chip design, mask production and production stages, which will allow automation of the mask preparation. To cover these new techniques and their specifications as well as the common ones with automated tools a special generic Meta-model will be generated, based on the current standards for mask specifications, including the requirements from the involved partners (Alcatel Microelectronics, Altis, Compugraphics, Infineon, Nimble, Sigma-C), the project works out a pre-normative standard. The paper presents the current status of work. This work is partly funded by the Commission of the European Union under the Fifth Framework project IST-1999-10332 AutoMOPS.

  15. Unmasking Zorro: functional importance of the facial mask in the Masked Shrike (Lanius nubicus)

    OpenAIRE

    Reuven Yosef; Piotr Zduniak; Piotr Tryjanowski

    2012-01-01

    The facial mask is a prominent feature in the animal kingdom. We hypothesized that the facial mask of shrikes allows them to hunt into the sun, which accords them detection and surprise-attack capabilities. We conducted a field experiment to determine whether the mask facilitated foraging while facing into the sun. Male shrikes with white-painted masks hunted facing away from the sun more than birds with black-painted masks, which are the natural color, and more than individuals in the contro...

  16. Can monaural temporal masking explain the ongoing precedence effect?

    Science.gov (United States)

    Freyman, Richard L; Morse-Fortier, Charlotte; Griffin, Amanda M; Zurek, Patrick M

    2018-02-01

    The precedence effect for transient sounds has been proposed to be based primarily on monaural processes, manifested by asymmetric temporal masking. This study explored the potential for monaural explanations with longer ("ongoing") sounds exhibiting the precedence effect. Transient stimuli were single lead-lag noise burst pairs; ongoing stimuli were trains of 63 burst pairs. Unlike with transients, monaural masking data for ongoing sounds showed no advantage for the lead, and are inconsistent with asymmetric audibility as an explanation for ongoing precedence. This result, along with supplementary measurements of interaural time discrimination, suggests different explanations for transient and ongoing precedence.

  17. Plant responses to UV-B irradiation are modified by UV-A irradiation

    International Nuclear Information System (INIS)

    Middleton, E.M.; Teramura, A.H.

    1993-01-01

    The increasing UV-B radiation (0.28-0.32 μm) reaching the earth's surface is an important concern. Plant response in artificial UV-B irradiation studies has been difficult to assess, especially regarding photosynthetic pigments, because the fluorescent lamps also produce UV-A (0.32-0.40μm) radiation which is involved with blue light in pigment synthesis. Both UV-A and UV-B irradiances were controlled in two glasshouse experiments conducted under relatively high PPFD (> 1300μmol m -2 s -1 ) at two biologically effective daily UV-B irradiances (10.7 and 14.1 kJ m -2 ); UV-A irradiances were matched in Controls (∼5, 9 kJ m -2 ). Normal, chlorophyll-deficient, and flavonoid-deficient isolines of soybean cultivar, Clark, were utilized. Many growth/ pigment variables exhibited a statistically significant interaction between light quality and quantity: in general, UV-A radiation moderated the damaging effects of UV-B radiation. Regression analyses demonstrated that a single negative function related photosynthetic efficiency to carotenoid Content (r 2 =0.73, P≤0.001), implying a open-quotes costclose quotes in maintaining carotenoids for photoprotection. A stomatal limitation to photosynthesis was verified and carotenoid content was correlated with UV-B absorbing compound levels, in UV-B irradiated plants

  18. Set Size and Mask Duration Do Not Interact in Object-Substitution Masking

    Science.gov (United States)

    Argyropoulos, Ioannis; Gellatly, Angus; Pilling, Michael; Carter, Wakefield

    2013-01-01

    Object-substitution masking (OSM) occurs when a mask, such as four dots that surround a brief target item, onsets simultaneously with the target and offsets a short time after the target, rather than simultaneously with it. OSM is a reduction in accuracy of reporting the target with the temporally trailing mask, compared with the simultaneously…

  19. Single fiber UV detector based on hydrothermally synthesized ZnO nanorods for wearable computing devices

    Science.gov (United States)

    Eom, Tae Hoon; Han, Jeong In

    2018-01-01

    There has been increasing interest in zinc oxide (ZnO) based ultraviolet (UV) sensing devices over the last several decades owing to their diverse range of applications. ZnO has extraordinary properties, such as a wide band gap and high exciton binding energy, which make it a beneficial material for UV sensing device. Herein, we show a ZnO UV sensing device fabricated on a cylindrical Polyethylene terephthalate (PET) monofilament. The ZnO active layer was synthesized by hydrothermal synthesis and the Cu electrodes were deposited by radio frequency (RF) magnetron sputtering. Cu thin film was deposited uniformly on a single PET fiber by rotating it inside the sputtering chamber. Various characteristics were investigated by changing the concentration of the seed solution and the growth solution. The growth of ZnO nanorods was confirmed by Field Emission Scanning Electron Microscopy (FESEM) to see the surface state and structure, followed by X-ray Diffraction (XRD) and X-ray photoelectron spectroscopy (XPS) analysis. Also, current-voltage (I-V) curves were obtained to measure photocurrent and conductance. Furthermore, falling response time, rising response time, and responsivity were calculated by analyzing current-time (I-t) curves.

  20. Mask strategy at International SEMATECH

    Science.gov (United States)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  1. Single mode dye-doped polymer photonic crystal lasers

    DEFF Research Database (Denmark)

    Christiansen, Mads Brøkner; Buss, Thomas; Smith, Cameron

    2010-01-01

    Dye-doped polymer photonic crystal (PhC) lasers fabricated by combined nanoimprint and photolithography are studied for their reproducibility and stability characteristics. We introduce a phase shift in the PhC lattice that substantially improves the yield of single wavelength emission. Single mode...... emission and reproducibility of laser characteristics are important if the lasers are to be mass produced in, e. g., optofluidic sensor chips. The fabrication yield is above 85% with highly reproducible wavelengths (within 0.5%), and the temperature dependence on the wavelength is found to be -0.045 or -0...

  2. Easy fabrication of high quality nickel mold for deep polymer microfluidic channels

    International Nuclear Information System (INIS)

    Wong, Ten It; Tan, Christina Yuan Ling; Zhou, Xiaodong; Limantoro, Julian; Fong, Kin Phang; Quan, Chenggen; Sun, Ling Ling

    2016-01-01

    Mass fabrication of disposable microfluidic chips with hot embossing is a key technology for microfluidic chip based biosensors. In this work, we develop a new method of fabricating high quality and highly durable nickel molds for hot embossing polymer chips. The process involves the addition of a thick, patterned layer of negative photoresist AZ-125nxT to a 4″ silicon wafer, followed by nickel electroplating and delamination of the nickel mold. Our investigations found that compared to a pillar mask, a hole mask can minimize the diffraction effect in photolithography of a thick photoresist, reduce the adhesion of the AZ-125nxT to the photomask in photolithography, and facilitate clean development of the photoresist patterns. By optimizing the hot embossing and chip bonding parameters, microfluidic chips with deep channels are achieved. (paper)

  3. Masks in Pedagogical Practice

    Science.gov (United States)

    Roy, David

    2016-01-01

    In Drama Education mask work is undertaken and presented as both a methodology and knowledge base. There are numerous workshops and journal articles available for teachers that offer knowledge or implementation of mask work. However, empirical examination of the context or potential implementation of masks as a pedagogical tool remains…

  4. Keeping African Masks Real

    Science.gov (United States)

    Waddington, Susan

    2012-01-01

    Art is a good place to learn about our multicultural planet, and African masks are prized throughout the world as powerfully expressive artistic images. Unfortunately, multicultural education, especially for young children, can perpetuate stereotypes. Masks taken out of context lose their meaning and the term "African masks" suggests that there is…

  5. Topology optimization for optical projection lithography with manufacturing uncertainties

    DEFF Research Database (Denmark)

    Zhou, Mingdong; Lazarov, Boyan Stefanov; Sigmund, Ole

    2014-01-01

    to manufacturing without additional optical proximity correction (OPC). The performance of the optimized device is robust toward the considered process variations. With the proposed unified approach, the design for photolithography is achieved by considering the optimal device performance and manufacturability......This article presents a topology optimization approach for micro-and nano-devices fabricated by optical projection lithography. Incorporating the photolithography process and the manufacturing uncertainties into the topology optimization process results in a binary mask that can be sent directly...

  6. Automatic detection of photoresist residual layer in lithography using a neural classification approach

    KAUST Repository

    Gereige, Issam; Robert, Sté phane; Eid, Jessica

    2012-01-01

    Photolithography is a fundamental process in the semiconductor industry and it is considered as the key element towards extreme nanoscale integration. In this technique, a polymer photo sensitive mask with the desired patterns is created

  7. Fabrications and application of single crystalline GaN for high-performance deep UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Velazquez, R.; Rivera, M.; Feng, P., E-mail: p.feng@upr.edu [Department of Physics, College of Natural Sciences, University of Puerto Rico, San Juan, 00936-8377, PR/USA (Puerto Rico); Aldalbahi, A. [Department of Chemistry, College of Science, King Saud University, Riyadh 11451 (Saudi Arabia)

    2016-08-15

    High-quality single crystalline Gallium Nitride (GaN) semiconductor has been synthesized using molecule beam epitaxy (MBE) technique for development of high-performance deep ultraviolet (UV) photodetectors. Thickness of the films was estimated by using surface profile meter and scanning electron microscope. Electronic states and elemental composition of the films were obtained using Raman scattering spectroscopy. The orientation, crystal structure and phase purity of the films were examined using a Siemens x-ray diffractometer radiation. The surface microstructure was studied using high resolution scanning electron microscopy (SEM). Two types of metal pairs: Al-Al, Al-Cu or Cu-Cu were used for interdigital electrodes on GaN film in order to examine the Schottky properties of the GaN based photodetector. The characterizations of the fabricated prototype include the stability, responsivity, response and recovery times. Typical time dependent photoresponsivity by switching different UV light source on and off five times for each 240 seconds at a bias of 2V, respectively, have been obtained. The detector appears to be highly sensitive to various UV wavelengths of light with very stable baseline and repeatability. The obtained photoresponsivity was up to 354 mA/W at the bias 2V. Higher photoresponsivity could be obtained if higher bias was applied but it would unavoidably result in a higher dark current. Thermal effect on the fabricated GaN based prototype was discussed.

  8. Masks: The Artist in Me

    Science.gov (United States)

    Skophammer, Karen

    2009-01-01

    Whether masks are made from cardboard, papier-mache, metal, wood, leather, fabric, clay or any combination of these materials, they bring out the artist in people. Young children like to wear masks when they play to pretend they were another person or animal. Masks let them fantasize and be creative. The author's students made masks representing…

  9. Regular Nanoscale Protein Patterns via Directed Adsorption through Self-Assembled DNA Origami Masks.

    Science.gov (United States)

    Ramakrishnan, Saminathan; Subramaniam, Sivaraman; Stewart, A Francis; Grundmeier, Guido; Keller, Adrian

    2016-11-16

    DNA origami has become a widely used method for synthesizing well-defined nanostructures with promising applications in various areas of nanotechnology, biophysics, and medicine. Recently, the possibility to transfer the shape of single DNA origami nanostructures into different materials via molecular lithography approaches has received growing interest due to the great structural control provided by the DNA origami technique. Here, we use ordered monolayers of DNA origami nanostructures with internal cavities on mica surfaces as molecular lithography masks for the fabrication of regular protein patterns over large surface areas. Exposure of the masked sample surface to negatively charged proteins results in the directed adsorption of the proteins onto the exposed surface areas in the holes of the mask. By controlling the buffer and adsorption conditions, the protein coverage of the exposed areas can be varied from single proteins to densely packed monolayers. To demonstrate the versatility of this approach, regular nanopatterns of four different proteins are fabricated: the single-strand annealing proteins Redβ and Sak, the iron-storage protein ferritin, and the blood protein bovine serum albumin (BSA). We furthermore demonstrate the desorption of the DNA origami mask after directed protein adsorption, which may enable the fabrication of hierarchical patterns composed of different protein species. Because selectivity in adsorption is achieved by electrostatic interactions between the proteins and the exposed surface areas, this approach may enable also the large-scale patterning of other charged molecular species or even nanoparticles.

  10. Supreme Laryngeal Mask Airway versus Face Mask during Neonatal Resuscitation: A Randomized Controlled Trial.

    Science.gov (United States)

    Trevisanuto, Daniele; Cavallin, Francesco; Nguyen, Loi Ngoc; Nguyen, Tien Viet; Tran, Linh Dieu; Tran, Chien Dinh; Doglioni, Nicoletta; Micaglio, Massimo; Moccia, Luciano

    2015-08-01

    To assess the effectiveness of supreme laryngeal mask airway (SLMA) over face mask ventilation for preventing need for endotracheal intubation at birth. We report a prospective, randomized, parallel 1:1, unblinded, controlled trial. After a short-term educational intervention on SLMA use, infants ≥34-week gestation and/or expected birth weight ≥1500 g requiring positive pressure ventilation (PPV) at birth were randomized to resuscitation by SLMA or face mask. The primary outcome was the success rate of the resuscitation devices (SLMA or face mask) defined as the achievement of an effective PPV preventing the need for endotracheal intubation. We enrolled 142 patients (71 in SLMA and 71 in face mask group, respectively). Successful resuscitation rate was significantly higher with the SLMA compared with face mask ventilation (91.5% vs 78.9%; P = .03). Apgar score at 5 minutes was significantly higher in SLMA than in face mask group (P = .02). Neonatal intensive care unit admission rate was significantly lower in SLMA than in face mask group (P = .02). No complications related to the procedure occurred. In newborns with gestational age ≥34 weeks and/or expected birth weight ≥1500 g needing PPV at birth, the SLMA is more effective than face mask to prevent endotracheal intubation. The SLMA is effective in clinical practice after a short-term educational intervention. Registered with ClinicalTrials.gov: NCT01963936. Copyright © 2015 Elsevier Inc. All rights reserved.

  11. Effect of mask dead space and occlusion of mask holes on delivery of nebulized albuterol.

    Science.gov (United States)

    Berlinski, Ariel

    2014-08-01

    Infants and children with respiratory conditions are often prescribed bronchodilators. Face masks are used to facilitate the administration of nebulized therapy in patients unable to use a mouthpiece. Masks incorporate holes into their design, and their occlusion during aerosol delivery has been a common practice. Masks are available in different sizes and different dead volumes. The aim of this study was to compare the effect of different degrees of occlusion of the mask holes and different mask dead space on the amount of nebulized albuterol available at the mouth opening in a model of a spontaneously breathing child. A breathing simulator mimicking infant (tidal volume [VT] = 50 mL, breathing frequency = 30 breaths/min, inspiratory-expiratory ratio [I:E] = 1:3), child (VT = 155 mL, breathing frequency = 25 breaths/min, I:E = 1:2), and adult (VT = 500 mL, breathing frequency = 15 breaths/min, I:E = 1:2) breathing patterns was connected to a collection filter hidden behind a face plate. A pediatric size mask and an adult size mask connected to a continuous output jet nebulizer were sealed to the face plate. Three nebulizers were loaded with albuterol sulfate (2.5 mg/3 mL) and operated with 6 L/min compressed air for 5 min. Experiments were repeated with different degrees of occlusion (0%, 50%, and 90%). Albuterol was extracted from the filter and measured with a spectrophotometer at 276 nm. Occlusion of the holes in the large mask did not increase the amount of albuterol in any of the breathing patterns. The amount of albuterol captured at the mouth opening did not change when the small mask was switched to the large mask, except with the breathing pattern of a child, and when the holes in the mask were 50% occluded (P = .02). Neither decreasing the dead space of the mask nor occluding the mask holes increased the amount of nebulized albuterol captured at the mouth opening.

  12. Gestalt grouping and common onset masking.

    Science.gov (United States)

    Kahan, Todd A; Mathis, Katherine M

    2002-11-01

    A four-dot mask that surrounds and is presented simultaneously with a briefly presented target will reduce a person's ability to identity that target if the mask persists beyond target offset and attention is divided (Enns & Di Lollo, 1997, 2000). This masking effect, referred to as common onset masking, reflects reentrant processing in the visual system and can best be explained with a theory of object substitution (Di Lollo, Enns, & Rensink, 2000). In the present experiments, we investigated whether Gestalt grouping variables would influence the strength of common onset masking. The results indicated that (1) masking was impervious to grouping by form, similarity of color, position, luminance polarity, and common region and (2) masking increased with the number of elements in the masking display.

  13. Mechanical alignment of substrates to a mask

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Honan, Michael; Amato, Luigi G.; Grant, Christopher Neil; Strassner, James D.

    2016-11-08

    A plurality of masks is attached to the underside of a mask frame. This attachment is made such that each mask can independently move relative to the mask frame in three directions. This relative movement allows each mask to adjust its position to align with respective alignment pins disposed on a working surface. In one embodiment, each mask is attached to the mask frame using fasteners, where the fasteners have a shaft with a diameter smaller than the diameter of the mounting hole disposed on the mask. A bias element may be used to allow relative movement between the mask and the mask frame in the vertical direction. Each mask may also have kinematic features to mate with the respective alignment pins on the working surface.

  14. Comparison of Ventilation With One-Handed Mask Seal With an Intraoral Mask Versus Conventional Cuffed Face Mask in a Cadaver Model: A Randomized Crossover Trial.

    Science.gov (United States)

    Amack, Andrew J; Barber, Gary A; Ng, Patrick C; Smith, Thomas B; April, Michael D

    2017-01-01

    We compare received minute volume with an intraoral mask versus conventional cuffed face mask among medics obtaining a 1-handed mask seal on a cadaver model. This study comprised a randomized crossover trial of adult US Army combat medic volunteers participating in a cadaver laboratory as part of their training. We randomized participants to obtain a 1-handed mask seal during ventilation of a fresh unembalmed cadaver, first using either an intraoral airway device or conventional cuffed face mask. Participants obtained a 1-handed mask seal while a ventilator delivered 10 standardized 750-mL breaths during 1 minute. After a 5-minute rest period, they repeated the study with the alternative mask. The primary outcome measure was received minute volume as measured by a respirometer. Of 27 recruited participants, all completed the study. Median received minute volume was higher with the intraoral mask compared with conventional cuffed mask by 1.7 L (95% confidence interval 1.0 to 1.9 L; Pcadaver model. The intraoral mask may prove a useful airway adjunct for ventilation. Copyright © 2016 American College of Emergency Physicians. Published by Elsevier Inc. All rights reserved.

  15. Overproduction of single-stranded-DNA-binding protein specifically inhibits recombination of UV-irradiated bacteriophage DNA in Escherichia coli

    International Nuclear Information System (INIS)

    Moreau, P.L.

    1988-01-01

    Overproduction of single-stranded DNA (ssDNA)-binding protein (SSB) in uvr Escherichia coli mutants results in a wide range of altered phenotypes. (i) Cell survival after UV irradiation is decreased; (ii) expression of the recA-lexA regulon is slightly reduced after UV irradiation, whereas it is increased without irradiation; and (iii) recombination of UV-damaged lambda DNA is inhibited, whereas recombination of nonirradiated DNA is unaffected. These results are consistent with the idea that in UV-damaged bacteria, SSB is first required to allow the formation of short complexes of RecA protein and ssDNA that mediate cleavage of the LexA protein. However, in a second stage, SSB should be displaced from ssDNA to permit the production of longer RecA-ssDNA nucleoprotein filaments that are required for strand pairing and, hence, recombinational repair. Since bacteria overproducing SSB appear identical in physiological respects to recF mutant bacteria, it is suggested that the RecF protein (alone or with other proteins of the RecF pathway) may help RecA protein to release SSB from ssDNA

  16. The Laryngeal Mask Airway Supreme™: safety and efficacy during ...

    African Journals Online (AJOL)

    Background: Laryngeal Mask Airway Supreme™ (LMA Supreme™) is a new single-use polyvinyl chloride supraglottic device that offers gastric access. To date, studies that have tested the LMA Supreme™) for use in laparoscopic surgery have been reported. We present the largest evaluative study that describes the use of ...

  17. Mask quality assessment

    Science.gov (United States)

    Regis, Larry; Paulson, Neil; Reynolds, James A.

    1994-02-01

    Product quality and timely delivery are two of the most important parameters, determining the success of a mask manufacturing facility. Because of the sensitivity of this data, however, very little is known about industry performance in these areas. Using Arthur Andersen & Co. to protect contributor identity, the authors have conducted a blind quality survey of mask shops which represents over 75% of the total merchant and captive mask volume in the US. Quantities such as return rate, plate survival yield, performance to schedule and reason for return were requested from 1988 through Q2 1993. Data is analyzed and conclusions are presented.

  18. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  19. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  20. Large bladder calculus masking a stone in single-system ureterocele.

    Science.gov (United States)

    Bhaskar, Ved; Sinha, Rahul Janak; Purkait, Bimalesh; Singh, Vishwajeet

    2017-06-14

    Ureterocele in an elderly is a rare entity. The presence of stone within ureterocele along with a large bladder calculus is an even rarer presentation. This phenomenon has not been reported so far to the best of our knowledge. We present an unusual case of a large bladder calculus with a concomitant stone in the associated ureterocele. The diagnosis was missed in the first instance due to the masking effect by the larger bladder calculus. Herein, we discuss this case and its management. © BMJ Publishing Group Ltd (unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  1. The influence of distrubing effects on the performance of a wide field coded mask X-ray camera

    International Nuclear Information System (INIS)

    Sims, M.R.; Turner, M.J.L.; Willingale, R.

    1985-01-01

    The coded aperture telescope, or Dicke camera, is seen as an instrument suitable for many applications in X-ray and gamma ray imaging. In this paper the effects of a partially obscuring window mask support or collimator, a detector with limited spatial resolution, and motion of the camera during image integration are considered using a computer simulation of the performance of such a camera. Cross correlation and the Wiener filter are used to deconvolve the data. It is shown that while these effects cause a degradation in performance this is in no case catastrophic. Deterioration of the image is shown to be greatest where strong sources are present in the field of view and is quite small (proportional 10%) when diffuse background is the major element. A comparison between the cyclic mask camera and the single mask camera is made under various conditions and it is shown the single mask camera has a moderate advantage particularly when imaging a wide field of view. (orig.)

  2. UV light-induced DNA synthesis arrest in HeLa cells is associated with changes in phosphorylation of human single-stranded DNA-binding protein

    International Nuclear Information System (INIS)

    Carty, M.P.; Zernik-Kobak, M.; McGrath, S.; Dixon, K.

    1994-01-01

    We show that DNA replication activity in extracts of human HeLa cells decreases following UV irradiation. Alterations in replication activity in vitro parallel the UV-induced block in cell cycle progression of these cells in culture. UV irradiation also induces specific changes in the pattern of phosphorylation of the 34 kDa subunit of a DNA replication protein, human single-stranded DNA-binding protein (hSSB). The appearance of a hyperphosphorylated form of hSSB correlates with reduced in vitro DNA replication activity in extracts of UV-irradiated cells. Replication activity can be restored to these extracts in vitro by addition of purified hSSB. These results suggest that UV-induced DNA synthesis arrest may be mediated in part through phosphorylation-related alterations in the activity of hSSB, an essential component of the DNA replication apparatus. (Author)

  3. Remifentanil dose for laryngeal mask airway insertion with a single standard dose of propofol during emergency airway management in elderly patients.

    Science.gov (United States)

    Ryu, Junghee; Oh, Ah Young; Baek, Ji-Seok; Kim, Jin-Hee; Park, Sang-Heon; Noh, Jae-Mun

    2014-04-01

    This study determined the dose of remifentanil to use during insertion of a Classic™ laryngeal mask airway (LMA, The Laryngeal Mask Co., Nicosia, Cyprus) in elderly patients during emergency airway management when combined with a single dose of propofol. Patients aged 65-80 years were enrolled. Anesthesia was induced with propofol 1 mg/kg, and then a blinded dose of remifentanil was infused over 30 s after confirming the patient's loss of consciousness. The dose of remifentanil was determined using Dixon's up-and-down method, starting at 0.5 µg/kg (a step size of 0.1 µg/kg). Insertion of the LMA was attempted 60 s after loss of consciousness. In total, 23 patients were recruited and the mean age ± standard deviation was 72 ± 3 years. The effective dose for successful LMA insertion in 50% of the patients (ED50) was 0.20 ± 0.05 µg/kg. No patient needed more than 0.3 µg/kg. Remifentanil 0.20 ± 0.05 µg/kg with propofol 1 mg/kg resulted in excellent LMA insertion in 50% of elderly patients without significant hemodynamic changes during emergency airway management.

  4. The synchrotron light source as a tool for microtechnology

    CERN Document Server

    Harvey, E C

    2002-01-01

    We are all familiar with lathes and milling machines for shaping parts in machine shops and factories. But what if the parts we need to make are significantly smaller than a millimetre, and featuring details even smaller? Semiconductor chip manufacturers have faced these problems and have learnt to use new ways to make devices. No longer are transistors made one at a time, but rather are 'printed' millions at a time, together with their interconnection wiring in a process called photolithography. Light from an excimer laser is directed through masks that incorporate the patterns required and photoexposes surfaces positioned behind the masks. This form of photolithography is today a standard process in semiconductor FAB plants and has several critical advantages in terms of cost, reproducibility, reliability and its ability to scale towards ever smaller and more complex systems

  5. Single mode dye-doped polymer photonic crystal lasers

    International Nuclear Information System (INIS)

    Christiansen, Mads B; Buß, Thomas; Smith, Cameron L C; Petersen, Sidsel R; Jørgensen, Mette M; Kristensen, Anders

    2010-01-01

    Dye-doped polymer photonic crystal (PhC) lasers fabricated by combined nanoimprint and photolithography are studied for their reproducibility and stability characteristics. We introduce a phase shift in the PhC lattice that substantially improves the yield of single wavelength emission. Single mode emission and reproducibility of laser characteristics are important if the lasers are to be mass produced in, e.g., optofluidic sensor chips. The fabrication yield is above 85% with highly reproducible wavelengths (within 0.5%), and the temperature dependence on the wavelength is found to be −0.045 or −0.066 nm K -1 , depending on the material

  6. SEMATECH EUVL mask program status

    Science.gov (United States)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  7. The prevalence effect in lateral masking and its relevance for visual search.

    Science.gov (United States)

    Geelen, B P; Wertheim, A H

    2015-04-01

    In stimulus displays with or without a single target amid 1,644 identical distractors, target prevalence was varied between 20, 50 and 80 %. Maximum gaze deviation was measured to determine the strength of lateral masking in these arrays. The results show that lateral masking was strongest in the 20 % prevalence condition, which differed significantly from both the 50 and 80 % prevalence conditions. No difference was observed between the latter two. This pattern of results corresponds to that found in the literature on the prevalence effect in visual search (stronger lateral masking corresponding to longer search times). The data add to similar findings reported earlier (Wertheim et al. in Exp Brain Res, 170:387-402, 2006), according to which the effects of many well-known factors in visual search correspond to those on lateral masking. These were the effects of set size, disjunctions versus conjunctions, display area, distractor density, the asymmetry effect (Q vs. O's) and viewing distance. The present data, taken together with those earlier findings, may lend credit to a causal hypothesis that lateral masking could be a more important mechanism in visual search than usually assumed.

  8. Mask_explorer: A tool for exploring brain masks in fMRI group analysis.

    Science.gov (United States)

    Gajdoš, Martin; Mikl, Michal; Mareček, Radek

    2016-10-01

    Functional magnetic resonance imaging (fMRI) studies of the human brain are appearing in increasing numbers, providing interesting information about this complex system. Unique information about healthy and diseased brains is inferred using many types of experiments and analyses. In order to obtain reliable information, it is necessary to conduct consistent experiments with large samples of subjects and to involve statistical methods to confirm or reject any tested hypotheses. Group analysis is performed for all voxels within a group mask, i.e. a common space where all of the involved subjects contribute information. To our knowledge, a user-friendly interface with the ability to visualize subject-specific details in a common analysis space did not yet exist. The purpose of our work is to develop and present such interface. Several pitfalls have to be avoided while preparing fMRI data for group analysis. One such pitfall is spurious non-detection, caused by inferring conclusions in the volume of a group mask that has been corrupted due to a preprocessing failure. We describe a MATLAB toolbox, called the mask_explorer, designed for prevention of this pitfall. The mask_explorer uses a graphical user interface, enables a user-friendly exploration of subject masks and is freely available. It is able to compute subject masks from raw data and create lists of subjects with potentially problematic data. It runs under MATLAB with the widely used SPM toolbox. Moreover, we present several practical examples where the mask_explorer is usefully applied. The mask_explorer is designed to quickly control the quality of the group fMRI analysis volume and to identify specific failures related to preprocessing steps and acquisition. It helps researchers detect subjects with potentially problematic data and consequently enables inspection of the data. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  9. Simultaneous pure-tone masking : the dependence of masking asymmetries on intensity

    NARCIS (Netherlands)

    Vogten, L.L.M.

    1978-01-01

    Phase locking between probe and masker was used in a series of pure-tone masking experiments. The masker was a stationary sine wave of variable frequency; the probe a fixed-frequency tone burst. We have observed that for small frequency separation the masking behaves asymmetrically around the probe

  10. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  11. Effects of a cellulose mask synthesized by a bacterium on facial skin characteristics and user satisfaction

    Directory of Open Access Journals (Sweden)

    Amnuaikit T

    2011-06-01

    Full Text Available Thanaporn Amnuaikit, Toon Chusuit, Panithi Raknam, Prapaporn BoonmeDepartment of Pharmaceutical Technology, Faculty of Pharmaceutical Sciences, Prince of Songkla University, Songkhla, ThailandBackground: Cellulose masks obtained from natural sources such as bacteria are of interest as cosmetic devices for the treatment of dry skin because they not only improve hydration of the skin, but have low toxicity and are biodegradable. The aims of this study were to determine the in vivo effects of a cellulose mask obtained from Acetobacter xylinum on skin characteristics and to evaluate user satisfaction with the product.Methods: Thirty healthy Thai volunteers aged 21–40 years participated in the study. The volunteers were randomly separated into a control group and an experimental group. For the control group, volunteers were assigned to apply moist towels to the face for 25 minutes. For the experimental group, the volunteers were assigned to apply the masks, ie, translucent patches which could be fitted onto the face for the same period. The following week, the groups were changed over to the alternative treatment. Skin moisture, sebum, elasticity, texture, dullness, and desquamation levels were assessed using a system used for routine skin counseling before applying the trial product and five minutes after its removal. Degree of satisfaction with use of the cellulose mask was investigated using a five-point rating scale.Results: The cellulose mask increased moisture levels in the skin significantly more than moist towels (P < 0.05 after a single application. No obvious effects on other skin characteristics were found. The cellulose mask product rated around 4/5 on the satisfaction rating scale.Conclusions: A single application of the trial cellulose mask enhanced moisture uptake by facial skin. Users also reported being satisfied with the trial product.Keywords: bacterial cellulose, facial mask, skin characteristics, skin hydration, user

  12. Masked Photocathode for Photoinjector

    International Nuclear Information System (INIS)

    Qiang, Ji

    2010-01-01

    In this research note, we propose a scheme to insert a photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto the electrode, a masked electrode with small hole is used to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material very simple by rotating the photocathode behind the mask into the hole. This will significantly increase the usage lifetime of a photocathode. Furthermore, this also helps reduce the dark current or secondary electron emission from the photocathode. The hole on the mask also provides a transverse cut-off to the Gaussian laser profile which can be beneficial from the beam dynamics point of view.

  13. Design of Data Masking Architecture and Analysis of Data Masking Techniques for Testing

    OpenAIRE

    Ravikumar G K,; Manjunath T. N,; Ravindra S. Hegadi,; Archana.R.A

    2011-01-01

    Data masking is the process of obscuring-masking, specific data elements within data stores. It ensures that sensitive data is replaced with realistic but not real data. The goal is that sensitive customer information is not available outside of the authorized environment. Data masking is typically done while provisioning nonproduction environments so that copies created to support test and development processes are not exposing sensitive information and thus avoiding risks of leaking. Maskin...

  14. Mask ventilation with two different face masks in the delivery room for preterm infants: a randomized controlled trial.

    Science.gov (United States)

    Cheung, D; Mian, Q; Cheung, P-Y; O'Reilly, M; Aziz, K; van Os, S; Pichler, G; Schmölzer, G M

    2015-07-01

    If an infant fails to initiate spontaneous breathing after birth, international guidelines recommend a positive pressure ventilation (PPV). However, PPV by face mask is frequently inadequate because of leak between the face and mask. Despite a variety of available face masks, none have been prospectively compared in a randomized fashion. We aimed to evaluate and compare leak between two commercially available round face masks (Fisher & Paykel (F&P) and Laerdal) in preterm infants mask PPV in the delivery room routinely had a flow sensor placed between the mask and T-piece resuscitator. Infants were randomly assigned to receive PPV with either a F&P or Laerdal face mask. All resuscitators were trained in the use of both face masks. We compared mask leak, airway pressures, tidal volume and ventilation rate between the two groups. Fifty-six preterm infants (n=28 in each group) were enrolled; mean±s.d. gestational age 28±3 weeks; birth weight 1210±448 g; and 30 (52%) were male. Apgar scores at 1 and 5 min were 5±3 and 7±2, respectively. Infants randomized to the F&P face mask and Laerdal face mask had similar mask leak (30 (25-38) versus 35 (24-46)%, median (interquartile range), respectively, P=0.40) and tidal volume (7.1 (4.9-8.9) versus 6.6 (5.2-8.9) ml kg(-1), P=0.69) during PPV. There were no significant differences in ventilation rate, inflation time or airway pressures between groups. The use of either face mask during PPV in the delivery room yields similar mask leak in preterm infants <33 weeks gestational age.

  15. 77 FR 41720 - Hazardous Waste Management System; Identification and Listing of Hazardous Waste; Proposed Exclusion

    Science.gov (United States)

    2012-07-16

    ... from semiconductor and mask manufacturing photolithography develop steps, chemical wafer cleaning... consists of wastewater from chemical/mechanical polishing tools used in semiconductor manufacturing. The... ingestion and ingestion of drinking water. From a release of waste particles and volatile emissions to air...

  16. Self-Rescue Mask Training

    CERN Multimedia

    2013-01-01

    Nine new self-rescue mask instructors have been trained since early 2013, which provides CERN with a total of 26 self-rescue mask instructors to date. This will allow us to meet the increasing training needs caused by the Long Shut Down LS1.   The self-rescue mask instructors have trained 1650 persons in 2012 and about 500 persons since the beginning of the year on how to wear the masks properly. We thank all the instructors and all the persons that made this training possible. Please remember that the self-rescue masks training sessions are scheduled as follows: Basic course: Tuesday and Thursday mornings (2 sessions – 8.30 AM and 10.30 AM), duration:  1.30 hour, in French and English – registration via CERN online training catalogue – Course code 077Y00. Refresher training : Monday mornings (2 sessions – 8.30 AM and 10.30 AM), duration: 1.30 hour , in French and English – registration via CERN online training catalogue &...

  17. Real-time in vitro dissolution of 5-aminosalicylic acid from single ethyl cellulose coated extrudates studied by UV imaging

    DEFF Research Database (Denmark)

    Gaunø, Mette Høg; Vilhelmsen, Thomas; Larsen, Crilles Casper

    2013-01-01

    The purpose of this study was to investigate the in vitro release of 5-aminosalicylic acid from single extrudates by UV imaging and to explore the technique as a visualization tool for detecting film coating defects on extrudates coated with a thin ethyl cellulose layer. 5-Aminosalicylic acid ext...

  18. Through-mask anodization of titania dot- and pillar-like nanostructures on bulk Ti substrates using a nanoporous anodic alumina mask

    International Nuclear Information System (INIS)

    Sjoestroem, Terje; Su Bo; Fox, Neil

    2009-01-01

    Nanosized surface topography on an implant material has the capability of stimulating the acceptance of the material in its host surrounding. Fine-tuning of nanotopography feature size has been shown to trigger differentiation of mesenchymal stem cells into bone cells in vitro. For this purpose we have created well defined nanosized titania dot- and pillar-like structures on mechanically polished Ti substrates using a through-mask anodization technique with an anodic porous alumina template. The anodization technique allowed the titania structure dimensions to be precisely tuned in the range 15-140 nm in a single electrolyte system. The fabricated surfaces serve as good model surfaces for precise studies of in vitro cell behaviour. The through-mask anodization technique was used directly on bulk Ti surfaces, thus demonstrating a potential application for patterning of actual Ti implant surfaces.

  19. And There Was Light: Prospects for the Creation of Micro- and Nanostructures through Maskless Photolithography.

    Science.gov (United States)

    Rühe, J

    2017-09-26

    In photolithographic processes, the light inducing the photochemical reactions is confined to a small volume, which enables direct writing of micro- and nanoscale features onto solid surfaces without the need of a predefined photomask. The direct writing process can be used to generate topographic patterns through photopolymerization or photo-cross-linking or can be employed to use light to generate chemical patterns on the surface with high spatial control, which would make such processes attractive for bioapplications. The prospects of maskless photolithography technologies with a focus on two-photon lithography and scanning-probe-based photochemical processes based on scanning near-field optical microscopy or beam pen lithography are discussed.

  20. DNA Photo Lithography with Cinnamate-based Photo-Bio-Nano-Glue

    Science.gov (United States)

    Feng, Lang; Li, Minfeng; Romulus, Joy; Sha, Ruojie; Royer, John; Wu, Kun-Ta; Xu, Qin; Seeman, Nadrian; Weck, Marcus; Chaikin, Paul

    2013-03-01

    We present a technique to make patterned functional surfaces, using a cinnamate photo cross-linker and photolithography. We have designed and modified a complementary set of single DNA strands to incorporate a pair of opposing cinnamate molecules. On exposure to 360nm UV, the cinnamate makes a highly specific covalent bond permanently linking only the complementary strands containing the cinnamates. We have studied this specific and efficient crosslinking with cinnamate-containing DNA in solution and on particles. UV addressability allows us to pattern surfaces functionally. The entire surface is coated with a DNA sequence A incorporating cinnamate. DNA strands A'B with one end containing a complementary cinnamated sequence A' attached to another sequence B, are then hybridized to the surface. UV photolithography is used to bind the A'B strand in a specific pattern. The system is heated and the unbound DNA is washed away. The pattern is then observed by thermo-reversibly hybridizing either fluorescently dyed B' strands complementary to B, or colloids coated with B' strands. Our techniques can be used to reversibly and/or permanently bind, via DNA linkers, an assortment of molecules, proteins and nanostructures. Potential applications range from advanced self-assembly, such as templated self-replication schemes recently reported, to designed physical and chemical patterns, to high-resolution multi-functional DNA surfaces for genetic detection or DNA computing.

  1. How do different brands of size 1 laryngeal mask airway compare with face mask ventilation in a dedicated laryngeal mask airway teaching manikin?

    Science.gov (United States)

    Tracy, Mark Brian; Priyadarshi, Archana; Goel, Dimple; Lowe, Krista; Huvanandana, Jacqueline; Hinder, Murray

    2018-05-01

    International neonatal resuscitation guidelines recommend the use of laryngeal mask airway (LMA) with newborn infants (≥34 weeks' gestation or >2 kg weight) when bag-mask ventilation (BMV) or tracheal intubation is unsuccessful. Previous publications do not allow broad LMA device comparison. To compare delivered ventilation of seven brands of size 1 LMA devices with two brands of face mask using self-inflating bag (SIB). 40 experienced neonatal staff provided inflation cycles using SIB with positive end expiratory pressure (PEEP) (5 cmH 2 O) to a specialised newborn/infant training manikin randomised for each LMA and face mask. All subjects received prior education in LMA insertion and BMV. 12 415 recorded inflations for LMAs and face masks were analysed. Leak detected was lowest with i-gel brand, with a mean of 5.7% compared with face mask (triangular 42.7, round 35.7) and other LMAs (45.5-65.4) (p<0.001). Peak inspiratory pressure was higher with i-gel, with a mean of 28.9 cmH 2 O compared with face mask (triangular 22.8, round 25.8) and other LMAs (14.3-22.0) (p<0.001). PEEP was higher with i-gel, with a mean of 5.1 cmH 2 O compared with face mask (triangular 3.0, round 3.6) and other LMAs (0.6-2.6) (p<0.001). In contrast to other LMAs examined, i-gel had no insertion failures and all users found i-gel easy to use. This study has shown dramatic performance differences in delivered ventilation, mask leak and ease of use among seven different brands of LMA tested in a manikin model. This coupled with no partial or complete insertion failures and ease of use suggests i-gel LMA may have an expanded role with newborn resuscitation as a primary resuscitation device. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  2. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    Science.gov (United States)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper summarizes the challenging business model for mask equipment suppliers and highlight government support for mask equipment and materials development.

  3. Oral mask ventilation is more effective than face mask ventilation after nasal surgery.

    Science.gov (United States)

    Yazicioğlu, Dilek; Baran, Ilkay; Uzumcugil, Filiz; Ozturk, Ibrahim; Utebey, Gulten; Sayın, M Murat

    2016-06-01

    To evaluate and compare the face mask (FM) and oral mask (OM) ventilation techniques during anesthesia emergence regarding tidal volume, leak volume, and difficult mask ventilation (DMV) incidence. Prospective, randomized, crossover study. Operating room, training and research hospital. American Society of Anesthesiologists physical status I and II adult patients scheduled for nasal surgery. Patients in group FM-OM received FM ventilation first, followed by OM ventilation, and patients in group OM-FM received OM ventilation first, followed by FM ventilation, with spontaneous ventilation after deep extubation. The FM ventilation was applied with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and the 1-handed EC-clamp technique was used again. A child's size FM was used for the OM ventilation technique, the mask was rotated, and the inferior part of the mask was placed toward the nose. The leak volume (MVleak), mean airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each mask technique for 3 consecutive breaths. A mask ventilation grade ≥3 was considered DMV. DMV occurred more frequently during FM ventilation (75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249±61mL with the FM and 455±35mL with the OM (P=.0001), whereas in the OM-first sequence, it was 276±81mL with the FM and 409±37mL with the OM (P=.0001). Regardless of the order used, the OM technique significantly decreased the MVleak and increased the TVe when compared to the FM technique. During anesthesia emergence after nasal surgery the OM may offer an effective ventilation method as it decreases the incidence of DMV and the gas leak around the mask and provides higher tidal volume delivery compared with FM ventilation. Copyright © 2016 Elsevier Inc. All rights reserved.

  4. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    Science.gov (United States)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  5. Fourier phasing with phase-uncertain mask

    International Nuclear Information System (INIS)

    Fannjiang, Albert; Liao, Wenjing

    2013-01-01

    Fourier phasing is the problem of retrieving Fourier phase information from Fourier intensity data. The standard Fourier phase retrieval (without a mask) is known to have many solutions which cause the standard phasing algorithms to stagnate and produce wrong or inaccurate solutions. In this paper Fourier phase retrieval is carried out with the introduction of a randomly fabricated mask in measurement and reconstruction. Highly probable uniqueness of solution, up to a global phase, was previously proved with exact knowledge of the mask. Here the uniqueness result is extended to the case where only rough information about the mask’s phases is assumed. The exponential probability bound for uniqueness is given in terms of the uncertainty-to-diversity ratio of the unknown mask. New phasing algorithms alternating between the object update and the mask update are systematically tested and demonstrated to have the capability of recovering both the object and the mask (within the object support) simultaneously, consistent with the uniqueness result. Phasing with a phase-uncertain mask is shown to be robust with respect to the correlation in the mask as well as the Gaussian and Poisson noises. (paper)

  6. Computing Challenges in Coded Mask Imaging

    Science.gov (United States)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  7. Summation versus suppression in metacontrast masking: On the potential pitfalls of using metacontrast masking to assess perceptual-motor dissociation.

    Science.gov (United States)

    Cardoso-Leite, Pedro; Waszak, Florian

    2014-07-01

    A briefly flashed target stimulus can become "invisible" when immediately followed by a mask-a phenomenon known as backward masking, which constitutes a major tool in the cognitive sciences. One form of backward masking is termed metacontrast masking. It is generally assumed that in metacontrast masking, the mask suppresses activity on which the conscious perception of the target relies. This assumption biases conclusions when masking is used as a tool-for example, to study the independence between perceptual detection and motor reaction. This is because other models can account for reduced perceptual performance without requiring suppression mechanisms. In this study, we used signal detection theory to test the suppression model against an alternative view of metacontrast masking, referred to as the summation model. This model claims that target- and mask-related activations fuse and that the difficulty in detecting the target results from the difficulty to discriminate this fused response from the response produced by the mask alone. Our data support this alternative view. This study is not a thorough investigation of metacontrast masking. Instead, we wanted to point out that when a different model is used to account for the reduced perceptual performance in metacontrast masking, there is no need to postulate a dissociation between perceptual and motor responses to account for the data. Metacontrast masking, as implemented in the Fehrer-Raab situation, therefore is not a valid method to assess perceptual-motor dissociations.

  8. Orion Emergency Mask Approach

    Science.gov (United States)

    Tuan, George C.; Graf, John C.

    2009-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction time, breakthroughs, and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  9. The Effect of a Diving Mask on Intraocular Pressure in a Healthy Population

    Directory of Open Access Journals (Sweden)

    Catherina Josephine Goenadi

    2016-06-01

    Full Text Available Purpose: Swimming goggles increase the intraocular pressure (IOP via the periorbital frame pressure and suction effect. In comparison, diving masks have a larger frame rim and incorporate the nose. The exact effect(s of diving masks on IOP is unknown. This study evaluates the influence of diving masks on IOP in normal, healthy subjects. Methods: Tonometry was performed in both eyes of all subjects with an AVIA®Tono-Pen by a single investigator. Measurements were taken at baseline without the diving mask and with the subjects wearing a small-volume, double-window diving mask, but with the mask lenses removed. Two IOP readings in each eye were measured, and an additional reading was measured if the difference between the initial 2 was ≥2 mm Hg. Central corneal thickness (CCT was also measured in each eye, using a contact pachymeter (OcuScan®Alcon. Results: Forty eyes of 20 healthy volunteers (age 29.7 ± 9.3 years; range 21–52 were included. The mean CCT was 544.4 ± 43.5 µm. The mean IOP before the diving mask was worn had been 17.23 ± 2.18 mm Hg (n = 40. The IOP decreased by 0.43 mm Hg (p < 0.05 to 16.80 ± 2.57 mm Hg after the diving mask had been put on. There was no correlation between IOP change and age (r = 0.143, p = 0.337, gender (r = –0.174, p = 0.283 or CCT (r = –0.123, p = 0.445. Conclusion: There was no increase in IOP after the diving mask had been worn. A small but statistically significant decrease in IOP was observed. This study demonstrates that unlike swimming goggles, the strap tension and frame pressure on the periorbital tissue from a diving mask does not increase IOP. Diving masks may be a suitable alternative to swimming goggles for patients with advanced glaucoma or glaucoma filtration surgery.

  10. Prospects for the synthesis of large single-crystal diamonds

    International Nuclear Information System (INIS)

    Khmelnitskiy, R A

    2015-01-01

    The unique properties of diamond have stimulated the study of and search for its applications in many fields, including optics, optoelectronics, electronics, biology, and electrochemistry. Whereas chemical vapor deposition allows the growth of polycrystalline diamond plates more than 200 mm in diameter, most current diamond application technologies require large-size (25 mm and more) single-crystal diamond substrates or films suitable for the photolithography process. This is quite a challenge, because the largest diamond crystals currently available are 10 mm or less in size. This review examines three promising approaches to fabricating large-size diamond single crystals: growing large-size single crystals, the deposition of heteroepitaxial diamond films on single-crystal substrates, and the preparation of composite diamond substrates. (reviews of topical problems)

  11. The UV Survey Mission Concept, CETUS

    Science.gov (United States)

    Heap, Sara; and the CETUS Team

    2018-01-01

    In March 2017, NASA selected CETUS for study of a Probe-class mission concept. W. Danchi is the CETUS PI, and S. Heap is the Science PI. CETUS is primarily a UV survey telescope to complement survey telescopes of the 2020’s including E-ROSITA, Subaru Hyper Suprime Cam and Prime-Focus Spectrograph, WFIRST, and the Square Kilometer Array. CETUS comprises a 1.5-m wide-field telescope and three science instruments: a wide-field (1045” on a side) far-UV and near-UV camera; a similarly wide-field near-UV multi-object spectrograph utilizing a next-generation micro-shutter array; and a single-object spectrograph with options of spectral region (far-UV or near-UV) and spectral resolving power (2,000 or 40,000). The survey instruments will operate simultaneously thereby producing wide-field images in the near-UV and far-UV and a spectrogram containing near-UV spectra of up to 100 sources free of spectral overlap and astronomical background. ln concert with other survey telescopes, CETUS will focus on understanding galaxy evolution at cosmic noon (z~1-2).

  12. Migration from full-head mask to "open-face" mask for immobilization of patients with head and neck cancer.

    Science.gov (United States)

    Li, Guang; Lovelock, D Michael; Mechalakos, James; Rao, Shyam; Della-Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-09-06

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an "open-face" thermoplastic mask was evaluated using video-based optical surface imaging (OSI) and kilovoltage (kV) X-ray radiography. A three-point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real-time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open-face and full-head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open-face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real-time OSI. With the open-face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre-/post-treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask-locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open-face and full-head masks were found to be similar. Most (80%) of the volunteers preferred the open-face mask to the full-head mask, while claustrophobic patients could only tolerate the open-face mask. The open-face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open-face mask is readily adopted in radiotherapy clinic as a superior alternative to

  13. Universal Mask Usage for Reduction of Respiratory Viral Infections After Stem Cell Transplant: A Prospective Trial.

    Science.gov (United States)

    Sung, Anthony D; Sung, Julia A M; Thomas, Samantha; Hyslop, Terry; Gasparetto, Cristina; Long, Gwynn; Rizzieri, David; Sullivan, Keith M; Corbet, Kelly; Broadwater, Gloria; Chao, Nelson J; Horwitz, Mitchell E

    2016-10-15

    Respiratory viral infections (RVIs) are frequent complications of hematopoietic stem cell transplant (HSCT). Surgical masks are a simple and inexpensive intervention that may reduce nosocomial spread. In this prospective single-center study, we instituted a universal surgical mask policy requiring all individuals with direct contact with HSCT patients to wear a surgical mask, regardless of symptoms or season. The primary endpoint was the incidence of RVIs in the mask period (2010-2014) compared with the premask period (2003-2009). RVIs decreased from 10.3% (95/920 patients) in the premask period to 4.4% (40/911) in the mask period (P mask group compared with the premask group (0.19-0.85, P = .02). In contrast, no decrease was observed during this same period in an adjacent hematologic malignancy unit, which followed the same infection control practices except for the mask policy. The majority of this decrease was in parainfluenza virus 3 (PIV3) (8.3% to 2.2%, P mask is associated with a reduction in RVIs, particularly PIV3, during the most vulnerable period following HSCT. © The Author 2016. Published by Oxford University Press for the Infectious Diseases Society of America. All rights reserved. For permissions, e-mail journals.permissions@oup.com.

  14. Single Photon Counting UV Solar-Blind Detectors Using Silicon and III-Nitride Materials

    Science.gov (United States)

    Nikzad, Shouleh; Hoenk, Michael; Jewell, April D.; Hennessy, John J.; Carver, Alexander G.; Jones, Todd J.; Goodsall, Timothy M.; Hamden, Erika T.; Suvarna, Puneet; Bulmer, J.; Shahedipour-Sandvik, F.; Charbon, Edoardo; Padmanabhan, Preethi; Hancock, Bruce; Bell, L. Douglas

    2016-01-01

    Ultraviolet (UV) studies in astronomy, cosmology, planetary studies, biological and medical applications often require precision detection of faint objects and in many cases require photon-counting detection. We present an overview of two approaches for achieving photon counting in the UV. The first approach involves UV enhancement of photon-counting silicon detectors, including electron multiplying charge-coupled devices and avalanche photodiodes. The approach used here employs molecular beam epitaxy for delta doping and superlattice doping for surface passivation and high UV quantum efficiency. Additional UV enhancements include antireflection (AR) and solar-blind UV bandpass coatings prepared by atomic layer deposition. Quantum efficiency (QE) measurements show QE > 50% in the 100–300 nm range for detectors with simple AR coatings, and QE ≅ 80% at ~206 nm has been shown when more complex AR coatings are used. The second approach is based on avalanche photodiodes in III-nitride materials with high QE and intrinsic solar blindness. PMID:27338399

  15. Metacontrast masking is processed before grapheme-color synesthesia.

    Science.gov (United States)

    Bacon, Michael Patrick; Bridgeman, Bruce; Ramachandran, Vilayanur S

    2013-01-01

    We investigated the physiological mechanism of grapheme-color synesthesia using metacontrast masking. A metacontrast target is rendered invisible by a mask that is delayed by about 60 ms; the target and mask do not overlap in space or time. Little masking occurs, however, if the target and mask are simultaneous. This effect must be cortical, because it can be obtained dichoptically. To compare the data for synesthetes and controls, we developed a metacontrast design in which nonsynesthete controls showed weaker dichromatic masking (i.e., the target and mask were in different colors) than monochromatic masking. We accomplished this with an equiluminant target, mask, and background for each observer. If synesthetic color affected metacontrast, synesthetes should show monochromatic masking more similar to the weak dichromatic masking among controls, because synesthetes could add their synesthetic color to the monochromatic condition. The target-mask pairs used for each synesthete were graphemes that elicited strong synesthetic colors. We found stronger monochromatic than dichromatic U-shaped metacontrast for both synesthetes and controls, with optimal masking at an asynchrony of 66 ms. The difference in performance between the monochromatic and dichromatic conditions in the synesthetes indicates that synesthesia occurs at a later processing stage than does metacontrast masking.

  16. An interactive tool for gamut masking

    Science.gov (United States)

    Song, Ying; Lau, Cheryl; Süsstrunk, Sabine

    2014-02-01

    Artists often want to change the colors of an image to achieve a particular aesthetic goal. For example, they might limit colors to a warm or cool color scheme to create an image with a certain mood or feeling. Gamut masking is a technique that artists use to limit the set of colors they can paint with. They draw a mask over a color wheel and only use the hues within the mask. However, creating the color palette from the mask and applying the colors to the image requires skill. We propose an interactive tool for gamut masking that allows amateur artists to create an image with a desired mood or feeling. Our system extracts a 3D color gamut from the 2D user-drawn mask and maps the image to this gamut. The user can draw a different gamut mask or locally refine the image colors. Our voxel grid gamut representation allows us to represent gamuts of any shape, and our cluster-based image representation allows the user to change colors locally.

  17. APPLICATION OF EQUIPMENT FOR AUTOMATIC CONTROL OF PLANAR STRUCTURES IN MANUFACTURING MASTER MASKS OF INTEGRATED CIRCUITS ON PHOTO-MASKS

    Directory of Open Access Journals (Sweden)

    S. M. Avakov

    2007-01-01

    Full Text Available Following the concept of defect-free manufacturing of master masks of IC on photo-masks, two Belarusian sets of optomechanical equipment for 0,3 5 p and 90 nanometers are presented in the paper. Each of the sets comprises:   • Multi-channel laser pattern generator; • Automatic mask defect inspection system; • Laser-based mask defect repair system.The paper contains description of automatic mask defect inspection process during photo-mask manufacturing and respective basic technological operations of the processes.Advantages of a complex approach to the development of a set of opto-mechanical equipment for defect-free manufacturing of photo-masks have been analyzed in the paper. 

  18. Design of TOPAZ masking system using EGS4

    International Nuclear Information System (INIS)

    Uno, Shoji

    1991-01-01

    There are two sources of the beam background in the e + e - collider experiments. One source is the synchrotron radiation from many magnets. Another source comes from the spent-electron hitting the beam pipe near the interaction region. To reduce the these background, TOPAZ masking system was designed using EGS4 code. The designed masking system consists of two pairs of masks which are called mask-1 and mask-2. The mask-1 is placed to intercept the spent-electron. The aperture of the mask-2 was determined for the synchrotron radiation photons not to hit the mask-1 directly. After these masks were installed, we are taking the data in the small beam background. (author)

  19. [Recognition of visual objects under forward masking. Effects of cathegorial similarity of test and masking stimuli].

    Science.gov (United States)

    Gerasimenko, N Iu; Slavutskaia, A V; Kalinin, S A; Kulikov, M A; Mikhaĭlova, E S

    2013-01-01

    In 38 healthy subjects accuracy and response time were examined during recognition of two categories of images--animals andnonliving objects--under forward masking. We revealed new data that masking effects depended of categorical similarity of target and masking stimuli. The recognition accuracy was the lowest and the response time was the most slow, when the target and masking stimuli belongs to the same category, that was combined with high dispersion of response times. The revealed effects were more clear in the task of animal recognition in comparison with the recognition of nonliving objects. We supposed that the revealed effects connected with interference between cortical representations of the target and masking stimuli and discussed our results in context of cortical interference and negative priming.

  20. Mask Phenomenon in Communication

    Institute of Scientific and Technical Information of China (English)

    郎丽璇

    2013-01-01

    People sometimes wear masks. Abusive expression may be used to convey love while polite words can be exchanged among enemies. This essay describes and discusses this special phenomenon in communication and analyzes the elements that con-tribute to the success of a mask communication.

  1. The Moody Mask Model

    DEFF Research Database (Denmark)

    Larsen, Bjarke Alexander; Andkjær, Kasper Ingdahl; Schoenau-Fog, Henrik

    2015-01-01

    This paper proposes a new relation model, called "The Moody Mask model", for Interactive Digital Storytelling (IDS), based on Franceso Osborne's "Mask Model" from 2011. This, mixed with some elements from Chris Crawford's Personality Models, is a system designed for dynamic interaction between ch...

  2. Psychophysical estimates of cochlear phase response: masking by harmonic complexes.

    Science.gov (United States)

    Lentz, J J; Leek, M R

    2001-12-01

    Harmonic complexes with identical component frequencies and amplitudes but different phase spectra may be differentially effective as maskers. Such harmonic waveforms, constructed with positive or negative Schroeder phases, have similar envelopes and identical long-term power spectra, but the positive Schroeder-phase waveform is typically a less effective masker than the negative Schroeder-phase waveform. These masking differences have been attributed to an interaction between the masker phase spectrum and the phase characteristic of the basilar membrane. To explore this relationship, the gradient of stimulus phase change across masker bandwidth was varied by systematically altering the Schroeder-phase algorithm. Observers detected a signal tone added in-phase to a single component of a masker whose frequencies ranged from 200 to 5000 Hz, with a fundamental frequency of 100 Hz. For signal frequencies of 1000-4000 Hz, differences in masking across the harmonic complexes could be as large as 5-10 dB for phase gradients changing by only 10%. The phase gradient that resulted in a minimum amount of masking varied with signal frequency, with low frequencies masked least effectively by stimuli with rapidly changing component phases and high frequencies masked by stimuli with more shallow phase gradients. A gammachirp filter was implemented to model these results, predicting the qualitative changes in curvature of the phase-byfrequency function estimated from the empirical data: In some cases, small modifications to the gammachirp filter produced better quantitative predictions of curvature changes across frequency, but this filter, as implemented here, was unable to accurately represent all the data.

  3. Randomised Comparison of the AMBU AuraOnce Laryngeal Mask and the LMA Unique Laryngeal Mask Airway in Spontaneously Breathing Adults

    OpenAIRE

    Williams, Daryl Lindsay; Zeng, James M.; Alexander, Karl D.; Andrews, David T.

    2012-01-01

    We conducted a randomised single-blind controlled trial comparing the LMA-Unique (LMAU) and the AMBU AuraOnce (AMBU) disposable laryngeal mask in spontaneously breathing adult patients undergoing general anaesthesia. Eighty-two adult patients (ASA status I–IV) were randomly allocated to receive the LMAU or AMBU and were blinded to device selection. Patients received a standardized anesthetic and all airway devices were inserted by trained anaesthetists. Size selection was guided by manufactur...

  4. Brightness masking is modulated by disparity structure.

    Science.gov (United States)

    Pelekanos, Vassilis; Ban, Hiroshi; Welchman, Andrew E

    2015-05-01

    The luminance contrast at the borders of a surface strongly influences surface's apparent brightness, as demonstrated by a number of classic visual illusions. Such phenomena are compatible with a propagation mechanism believed to spread contrast information from borders to the interior. This process is disrupted by masking, where the perceived brightness of a target is reduced by the brief presentation of a mask (Paradiso & Nakayama, 1991), but the exact visual stage that this happens remains unclear. In the present study, we examined whether brightness masking occurs at a monocular-, or a binocular-level of the visual hierarchy. We used backward masking, whereby a briefly presented target stimulus is disrupted by a mask coming soon afterwards, to show that brightness masking is affected by binocular stages of the visual processing. We manipulated the 3-D configurations (slant direction) of the target and mask and measured the differential disruption that masking causes on brightness estimation. We found that the masking effect was weaker when stimuli had a different slant. We suggest that brightness masking is partly mediated by mid-level neuronal mechanisms, at a stage where binocular disparity edge structure has been extracted. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  5. Gilded Silver Mask

    Institute of Scientific and Technical Information of China (English)

    1998-01-01

    This gilded silver mask from the Liao Dynasty is 31 cm long and 22.2 cm wide. The plump oval face was designed with a protruding brow ridge, narrow eyes, high-bridged nose and closed mouth. The chin is slightly round against a thin neck, the ears are long and the hair can be clearly seen from the finely carved lines. The use of masks was recorded as

  6. Modelling binaural processes involved in simultaneous reflection masking: limitations of current models

    DEFF Research Database (Denmark)

    Buchholz, Jörg

    2007-01-01

    Masked thresholds were measured for a single test reflection, masked by the direct sound, as a function of the reflection delay. This was done for diotic as well as for dichotic stimulus presentations and all stimuli were presented via headphones. The input signal was a 200-ms long broadband noise......, such as normalized cross-correlation models (e.g., Bernstein et al., 1999, JASA, pp. 870-876), the power-addition model (Zurek, 1979, JASA, pp. 1750-1757), or Equalization-Cancellation-based models (e.g., Breebaart et al., 2001, JASA, pp. 1074-1088), cannot account for the psychoacoustical data. The present talk...

  7. Shadows alter facial expressions of Noh masks.

    Directory of Open Access Journals (Sweden)

    Nobuyuki Kawai

    Full Text Available BACKGROUND: A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers' recognition of the emotional expressions. METHODOLOGY/PRINCIPAL FINDINGS: In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. CONCLUSIONS/SIGNIFICANCE: Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa's smile. They also agree with the aesthetic principle of Japanese traditional art "yugen (profound grace and subtlety", which highly appreciates subtle emotional expressions in the darkness.

  8. Relationship of extinction to perceptual thresholds for single stimuli.

    Science.gov (United States)

    Meador, K J; Ray, P G; Day, L J; Loring, D W

    2001-04-24

    To demonstrate the effects of target stimulus intensity on extinction to double simultaneous stimuli. Attentional deficits contribute to extinction in patients with brain lesions, but extinction (i.e., masking) can also be produced in healthy subjects. The relationship of extinction to perceptual thresholds for single stimuli remains uncertain. Brief electrical pulses were applied simultaneously to the left and right index fingers of 16 healthy volunteers (8 young and 8 elderly adults) and 4 patients with right brain stroke (RBS). The stimulus to be perceived (i.e., target stimulus) was given at the lowest perceptual threshold to perceive any single stimulus (i.e., Minimal) and at the threshold to perceive 100% of single stimuli. The mask stimulus (i.e., stimulus given to block the target) was applied to the contralateral hand at intensities just below discomfort. Extinction was less for target stimuli at 100% than Minimal threshold for healthy subjects. Extinction of left targets was greater in patients with RBS than elderly control subjects. Left targets were extinguished less than right in healthy subjects. In contrast, the majority of left targets were extinguished in patients with RBS even when right mask intensity was reduced below right 100% threshold for single stimuli. RBS patients had less extinction for right targets despite having greater left mask - threshold difference than control subjects. In patients with RBS, right "targets" at 100% threshold extinguished left "masks" (20%) almost as frequently as left masks extinguished right targets (32%). Subtle changes in target intensity affect extinction in healthy adults. Asymmetries in mask and target intensities (relative to single-stimulus perceptual thresholds) affect extinction in RBS patients less for left targets but more for right targets as compared with control subjects.

  9. Are Masking-Based Models of Risk Useful?

    Science.gov (United States)

    Gisiner, Robert C

    2016-01-01

    As our understanding of directly observable effects from anthropogenic sound exposure has improved, concern about "unobservable" effects such as stress and masking have received greater attention. Equal energy models of masking such as power spectrum models have the appeal of simplicity, but do they offer biologically realistic assessments of the risk of masking? Data relevant to masking such as critical ratios, critical bandwidths, temporal resolution, and directional resolution along with what is known about general mammalian antimasking mechanisms all argue for a much more complicated view of masking when making decisions about the risk of masking inherent in a given anthropogenic sound exposure scenario.

  10. Immobilization of biomolecules onto surfaces according to ultraviolet light diffraction patterns

    International Nuclear Information System (INIS)

    Bjoern Petersen, Steffen; Kold di Gennaro, Ane; Neves-Petersen, Maria Teresa; Skovsen, Esben; Parracino, Antonietta

    2010-01-01

    We developed a method for immobilization of biomolecules onto thiol functionalized surfaces according to UV diffraction patterns. UV light-assisted molecular immobilization proceeds through the formation of free, reactive thiol groups that can bind covalently to thiol reactive surfaces. We demonstrate that, by shaping the pattern of the UV light used to induce molecular immobilization, one can control the pattern of immobilized molecules onto the surface. Using a single-aperture spatial mask, combined with the Fourier transforming property of a focusing lens, we show that submicrometer (0.7 μm) resolved patterns of immobilized prostate-specific antigen biomolecules can be created. If a dual-aperture spatial mask is used, the results differ from the expected Fourier transform pattern of the mask. It appears as a superposition of two diffraction patterns produced by the two apertures, with a fine structured interference pattern superimposed.

  11. Comparison of the OxyMask and Venturi Mask in the Delivery of Supplemental Oxygen: Pilot Study in Oxygen-Dependent Patients

    OpenAIRE

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia.METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a ra...

  12. Mask materials in powderblasting

    NARCIS (Netherlands)

    Wensink, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt

    1999-01-01

    Powderblasting has the opportunity to become a standard technology in micromachining. To machine small details with powderbalsting, it is necessary to use a suiabled mask. In this paper four mask types ares examined. BF400 resist foil is most suitable for standard use in powderblasting for reason of

  13. A masking index for quantifying hidden glitches

    OpenAIRE

    Berti-Equille, Laure; Loh, J. M.; Dasu, T.

    2015-01-01

    Data glitches are errors in a dataset. They are complex entities that often span multiple attributes and records. When they co-occur in data, the presence of one type of glitch can hinder the detection of another type of glitch. This phenomenon is called masking. In this paper, we define two important types of masking and propose a novel, statistically rigorous indicator called masking index for quantifying the hidden glitches. We outline four cases of masking: outliers masked by missing valu...

  14. Shadows Alter Facial Expressions of Noh Masks

    Science.gov (United States)

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    Background A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers’ recognition of the emotional expressions. Methodology/Principal Findings In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Conclusions/Significance Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa’s smile. They also agree with the aesthetic principle of Japanese traditional art “yugen (profound grace and subtlety)”, which highly appreciates subtle emotional expressions in the darkness. PMID:23940748

  15. Electron beam mask writer EBM-9500 for logic 7nm node generation

    Science.gov (United States)

    Matsui, Hideki; Kamikubo, Takashi; Nakahashi, Satoshi; Nomura, Haruyuki; Nakayamada, Noriaki; Suganuma, Mizuna; Kato, Yasuo; Yashima, Jun; Katsap, Victor; Saito, Kenichi; Kobayashi, Ryoei; Miyamoto, Nobuo; Ogasawara, Munehiro

    2016-10-01

    Semiconductor scaling is slowing down because of difficulties of device manufacturing below logic 7nm node generation. Various lithography candidates which include ArF immersion with resolution enhancement technology (like Inversed Lithography technology), Extreme Ultra Violet lithography and Nano Imprint lithography are being developed to address the situation. In such advanced lithography, shot counts of mask patterns are estimated to increase explosively in critical layers, and then it is hoped that multi beam mask writer (MBMW) is released to handle them within realistic write time. However, ArF immersion technology with multiple patterning will continue to be a mainstream lithography solution for most of the layers. Then, the shot counts in less critical layers are estimated to be stable because of the limitation of resolution in ArF immersion technology. Therefore, single beam mask writer (SBMW) can play an important role for mask production still, relative to MBMW. Also the demand of SBMW seems actually strong for the logic 7nm node. To realize this, we have developed a new SBMW, EBM-9500 for mask fabrication in this generation. A newly introduced electron beam source enables higher current density of 1200A/cm2. Heating effect correction function has also been newly introduced to satisfy the requirements for both pattern accuracy and throughput. In this paper, we will report the configuration and performance of EBM-9500.

  16. 21 CFR 868.5570 - Nonrebreathing mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nonrebreathing mask. 868.5570 Section 868.5570...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5570 Nonrebreathing mask. (a) Identification. A nonrebreathing mask is a device fitting over a patient's face to administer oxygen. It utilizes...

  17. 37 CFR 211.3 - Mask work fees.

    Science.gov (United States)

    2010-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2010-07-01 2010-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  18. Malang Mask Puppet Presentation Structure Arrangement of the Story Rabine Panji as Cultural Tourism Commodity in Malang Regency East Java

    Directory of Open Access Journals (Sweden)

    Endang Wara Suprihatin Dyah Pratamawati

    2016-12-01

    Full Text Available This research aimed to describe the model of structure arrangement of Malang Mask Puppet traditional art performance as the tourism commodity. The research method used was single case study with holistic single-case study approach toward the event program done by the traditional art performance group in Malang. It is done as an effort to maintain the existence of tradition art through tourism art performance arrangement. The result was the performance structure of the story (lakon of Rabine Panji in Malang mask puppet performance. Malang mask puppet has been performed in shorter duration so that it became more interesting for the purpose of tourism.How to Cite: Pratamawati, E. W. S. D. (2016. Malang Mask Puppet Presentation Structure Arrangement of the Story Rabine Panji as Cultural Tourism Commodity in Malang Regency East Java. Harmonia: Journal of Arts Research And Education, 16(1, 66-74. doi:http://dx.doi.org/10.15294/harmonia.v16i1.4561

  19. Orientation tuning of contrast masking caused by motion streaks.

    Science.gov (United States)

    Apthorp, Deborah; Cass, John; Alais, David

    2010-08-01

    We investigated whether the oriented trails of blur left by fast-moving dots (i.e., "motion streaks") effectively mask grating targets. Using a classic overlay masking paradigm, we varied mask contrast and target orientation to reveal underlying tuning. Fast-moving Gaussian blob arrays elevated thresholds for detection of static gratings, both monoptically and dichoptically. Monoptic masking at high mask (i.e., streak) contrasts is tuned for orientation and exhibits a similar bandwidth to masking functions obtained with grating stimuli (∼30 degrees). Dichoptic masking fails to show reliable orientation-tuned masking, but dichoptic masks at very low contrast produce a narrowly tuned facilitation (∼17 degrees). For iso-oriented streak masks and grating targets, we also explored masking as a function of mask contrast. Interestingly, dichoptic masking shows a classic "dipper"-like TVC function, whereas monoptic masking shows no dip and a steeper "handle". There is a very strong unoriented component to the masking, which we attribute to transiently biased temporal frequency masking. Fourier analysis of "motion streak" images shows interesting differences between dichoptic and monoptic functions and the information in the stimulus. Our data add weight to the growing body of evidence that the oriented blur of motion streaks contributes to the processing of fast motion signals.

  20. 21 CFR 868.5590 - Scavenging mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Scavenging mask. 868.5590 Section 868.5590 Food... DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5590 Scavenging mask. (a) Identification. A scavenging mask is a device positioned over a patient's nose to deliver anesthetic or analgesic gases to the...

  1. 21 CFR 868.5600 - Venturi mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Venturi mask. 868.5600 Section 868.5600 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5600 Venturi mask. (a) Identification. A venturi mask is a...

  2. Fast mask writers: technology options and considerations

    Science.gov (United States)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  3. 21 CFR 868.5580 - Oxygen mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device...

  4. Micro-scale metallization on flexible polyimide substrate by Cu electroplating using SU-8 photoresist mask

    International Nuclear Information System (INIS)

    Cho, S.H.; Kim, S.H.; Lee, N.-E.; Kim, H.M.; Nam, Y.W.

    2005-01-01

    Technologies for flexible electronics have been developed to make electronic or microelectromechanical (MEMS) devices on inexpensive and flexible organic substrates. In order to fabricate the interconnect lines between device elements or layers in flexible electronic devices, metallization on the flexible substrate is essential. In this case, the width and conductivity of metallization line are very important for minimizing the size of device. Therefore, the realization of metallization process with the scale of a few micrometers on the flexible substrate is required. In this work, micro-scale metallization lines of Cu were fabricated on the flexible substrate by electroplating using the patterned mask of a negative-tone SU-8 photoresist. Polyimide surface was treated by O 2 /Ar atmospheric plasma for the improvement in adhesion between Cr layer and polyimide and in situ sputter deposition of 100-nm-thick Cu seed layers on the sputter-deposited 50-nm-thick Cr adhesion layer was followed. SU-8 photoresist was spin-coated and patterned by photolithography. Electroplating of Cu line, removal of SU-8, and selective wet etch of Cr adhesion and Cu seed layers were carried out. Gap between the Cu lines was successfully filled by spin-coating of polyimide. Micro-scale Cu metal lines with gap filling on the polyimide substrate with a thickness of 6-12 μm and an aspect ratio of 1-3 were successfully fabricated

  5. Migration from full‐head mask to “open‐face” mask for immobilization of patients with head and neck cancer

    Science.gov (United States)

    Lovelock, D. Michael; Mechalakos, James; Rao, Shyam; Della‐Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-01-01

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an “open‐face” thermoplastic mask was evaluated using video‐based optical surface imaging (OSI) and kilovoltage (kV) X‐ray radiography. A three‐point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real‐time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15 minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open‐face and full‐head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open‐face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real‐time OSI. With the open‐face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre‐/post‐treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask‐locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open‐face and full‐head masks were found to be similar. Most (80%) of the volunteers preferred the open‐face mask to the full‐head mask, while claustrophobic patients could only tolerate the open‐face mask. The open‐face mask is characterized for its immobilization capability and can immobilize patients sufficiently (face mask is readily adopted in radiotherapy clinic as a superior alternative to the standard full‐head mask. PACS numbers: 87.19.xj, 87.63.L‐, 87.59.‐e, 87.55.tg, 87.55.‐x PMID:24036878

  6. The imaging performance of flash memory masks characterized with AIMS

    Science.gov (United States)

    van Setten, Eelco; Wismans, Onno; Grim, Kees; Finders, Jo; Dusa, Mircea; Birkner, Robert; Richter, Rigo; Scherübl, Thomas

    2009-04-01

    Flash memory is an important driver of the lithography roadmap, with its dramatic acceleration in dimensional shrink, pushing for ever smaller feature sizes. The introduction of hyper-NA immersion lithography has brought the 45nm node and below within reach for memory makers using single exposure. At these feature sizes mask topology and the material properties of the film stack on the mask play an important role on imaging performance. Furthermore, the break up of the array pitch regularity in the NAND-type flash memory cell by two thick wordlines and a central space, leads to feature-center placement (overlay) errors, that are inherent to the design. An integral optimization approach is needed to mitigate these effects and to control both the CD and placement errors tightly. In this paper we will show that aerial image measurements at mask-level are useful for characterizing the gate layer of a NAND-Flash design before exposure. The aerial image measurements are performed with the AIMSTM 45-193i. and compared to CD measurements on the wafer obtained with an XT:1900Gi hyper-NA immersion system. An excellent correlation is demonstrated for feature-center placement errors and CD variations across the mask (see Figure 1) for several features in the gate layer down to 40nm half pitch. This shows the potential to use aerial image measurements at mask-level in combination with correction techniques on the photomask, like the CDC200 tool in combination with exposure tool correction techniques, such as DoseMapperTM, to improve both across field and across wafer CD uniformity of critical layers.

  7. Simulation-based MDP verification for leading-edge masks

    Science.gov (United States)

    Su, Bo; Syrel, Oleg; Pomerantsev, Michael; Hagiwara, Kazuyuki; Pearman, Ryan; Pang, Leo; Fujimara, Aki

    2017-07-01

    For IC design starts below the 20nm technology node, the assist features on photomasks shrink well below 60nm and the printed patterns of those features on masks written by VSB eBeam writers start to show a large deviation from the mask designs. Traditional geometry-based fracturing starts to show large errors for those small features. As a result, other mask data preparation (MDP) methods have become available and adopted, such as rule-based Mask Process Correction (MPC), model-based MPC and eventually model-based MDP. The new MDP methods may place shot edges slightly differently from target to compensate for mask process effects, so that the final patterns on a mask are much closer to the design (which can be viewed as the ideal mask), especially for those assist features. Such an alteration generally produces better masks that are closer to the intended mask design. Traditional XOR-based MDP verification cannot detect problems caused by eBeam effects. Much like model-based OPC verification which became a necessity for OPC a decade ago, we see the same trend in MDP today. Simulation-based MDP verification solution requires a GPU-accelerated computational geometry engine with simulation capabilities. To have a meaningful simulation-based mask check, a good mask process model is needed. The TrueModel® system is a field tested physical mask model developed by D2S. The GPU-accelerated D2S Computational Design Platform (CDP) is used to run simulation-based mask check, as well as model-based MDP. In addition to simulation-based checks such as mask EPE or dose margin, geometry-based rules are also available to detect quality issues such as slivers or CD splits. Dose margin related hotspots can also be detected by setting a correct detection threshold. In this paper, we will demonstrate GPU-acceleration for geometry processing, and give examples of mask check results and performance data. GPU-acceleration is necessary to make simulation-based mask MDP verification

  8. Image registration for a UV-Visible dual-band imaging system

    Science.gov (United States)

    Chen, Tao; Yuan, Shuang; Li, Jianping; Xing, Sheng; Zhang, Honglong; Dong, Yuming; Chen, Liangpei; Liu, Peng; Jiao, Guohua

    2018-06-01

    The detection of corona discharge is an effective way for early fault diagnosis of power equipment. UV-Visible dual-band imaging can detect and locate corona discharge spot at all-weather condition. In this study, we introduce an image registration protocol for this dual-band imaging system. The protocol consists of UV image denoising and affine transformation model establishment. We report the algorithm details of UV image preprocessing, affine transformation model establishment and relevant experiments for verification of their feasibility. The denoising algorithm was based on a correlation operation between raw UV images, a continuous mask and the transformation model was established by using corner feature and a statistical method. Finally, an image fusion test was carried out to verify the accuracy of affine transformation model. It has proved the average position displacement error between corona discharge and equipment fault at different distances in a 2.5m-20 m range are 1.34 mm and 1.92 mm in the horizontal and vertical directions, respectively, which are precise enough for most industrial applications. The resultant protocol is not only expected to improve the efficiency and accuracy of such imaging system for locating corona discharge spot, but also supposed to provide a more generalized reference for the calibration of various dual-band imaging systems in practice.

  9. Individuals and Their Masks

    Directory of Open Access Journals (Sweden)

    Belén Altuna

    2009-08-01

    Full Text Available This essay works on the opposition between face and mask, where ‘face’ is understood as that which makes every human being singular, and makes visible her or his unique worth, while ‘mask’ is understood as whatever hides that singularity, and refers to a category, stereotype or cliché. The etymological history that relates face and mask to the concept of person, and the history of modern portrait painting, which alternates representations of face and mask, both lead to a discussion with authors who diagnose a contemporary “defeat of the face” as a result of the crisis of humanism and of ethical individualism, which give meaning and dignity to that face.

  10. Image differencing using masked CCD

    International Nuclear Information System (INIS)

    Rushbrooke, J.G.; Ansorge, R.E.; Webber, C.J. St. J.

    1987-01-01

    A charge coupled device has some of its ''pixels'' masked by a material which is opaque to the radiation to which the device is to be exposed, each masked region being employed as a storage zone into which the charge pattern from the unmasked pixels can be transferred to enable a subsequent charge pattern to be established on further exposure of the unmasked pixels. The components of the resulting video signal corresponding to the respective charge patterns read-out from the CCD are subtracted to produce a video signal corresponding to the difference between the two images which formed the respective charge patterns. Alternate rows of pixels may be masked, or chequer-board pattern masking may be employed. In an X-ray imaging system the CCD is coupled to image intensifying and converting means. (author)

  11. Single-photon cesium Rydberg excitation spectroscopy using 318.6-nm UV laser and room-temperature vapor cell.

    Science.gov (United States)

    Wang, Jieying; Bai, Jiandong; He, Jun; Wang, Junmin

    2017-09-18

    We demonstrate a single-photon Rydberg excitation spectroscopy of cesium (Cs) atoms in a room-temperature vapor cell. Cs atoms are excited directly from 6S 1/2 ground state to nP 3/2 (n = 70 - 100) Rydberg states with a 318.6 nm ultraviolet (UV) laser, and Rydberg excitation spectra are obtained by transmission enhancement of a probe beam resonant to Cs 6S 1/2 , F = 4 - 6P 3/2 , F' = 5 transition as partial population on F = 4 ground state are transferred to Rydberg state. Analysis reveals that the observed spectra are velocity-selective spectroscopy of Rydberg state, from which the amplitude and linewidth influenced by lasers' Rabi frequency have been investigated. Fitting to energies of Cs nP 3/2 (n = 70 -100) states, the determined quantum defect is 3.56671(42). The demodulated spectra can also be employed as frequency references to stabilize the UV laser frequency to specific Cs Rydberg transition.

  12. Evaluation of a new pediatric positive airway pressure mask.

    Science.gov (United States)

    Kushida, Clete A; Halbower, Ann C; Kryger, Meir H; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J; Mendoza, June

    2014-09-15

    The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. © 2014 American Academy of Sleep Medicine.

  13. CH3NH3PbCl3 Single Crystals: Inverse Temperature Crystallization and Visible-Blind UV-Photodetector

    KAUST Repository

    Maculan, Giacomo

    2015-09-02

    Single crystals of hybrid perovskites have shown remarkably improved physical properties compared to their polycrystalline film counterparts, underscoring their importance in the further development of advanced semiconductor devices. Here we present a new method of sizeable CH3NH3PbCl3 single crystal growth based on retrograde solubility behavior of hybrid perovskites. We show, for the first time, the energy band structure, charge-carrier recombination and transport properties of single crystal CH3NH3PbCl3. The chloride-based perovskite crystals exhibit trap-state density, charge carriers concentration, mobility and diffusion length comparable with the best quality crystals of methylammonium lead iodide or bromide perovskites reported so far. The high quality of the crystal along with its suitable optical bandgap enabled us to design and build an efficient visible-blind UV-photodetector, demonstrating the potential of this material to be employed in optoelectronic applications.

  14. Phase-enhanced defect sensitivity for EUV mask inspection

    Science.gov (United States)

    Wang, Yow-Gwo; Miyakawa, Ryan; Chao, Weilun; Goldberg, Kenneth; Neureuther, Andy; Naulleau, Patrick

    2014-10-01

    In this paper, we present a complete study on mask blank and patterned mask inspection utilizing the Zernike phase contrast method. The Zernike phase contrast method provides in-focus inspection ability to study phase defects with enhanced defect sensitivity. However, the 90 degree phase shift in the pupil will significantly reduce the amplitude defect signal at focus. In order to detect both types of defects with a single scan, an optimized phase shift instead of 90 degree on the pupil plane is proposed to achieve an acceptable trade-off on their signal strengths. We can get a 70% of its maximum signal strength at focus for both amplitude and phase defects with a 47 degree phase shift. For SNR, the tradeoff between speckle noise and signal strength has to be considered. The SNR of phase and amplitude defects at focus can both reach 11 with 13 degree phase shift and 50% apodization. Moreover, the simulation results on patterned mask inspection of partially hidden phase defects with die-to-database inspection approach on the blank inspection tool show that the improvement of the Zernike phase method is more limited. A 40% enhancement of peak signal strength can be achieved with the Zernike phase contrast method when the defect is centered in the space, while the enhancement drops to less than 10% when it is beneath the line.

  15. International Space Station (ISS) Emergency Mask (EM) Development

    Science.gov (United States)

    Toon, Katherine P.; Hahn, Jeffrey; Fowler, Michael; Young, Kevin

    2011-01-01

    The Emergency Mask (EM) is considered a secondary response emergency Personal Protective Equipment (PPE) designed to provide respiratory protection to the International Space Station (ISS) crewmembers in response to a post-fire event or ammonia leak. The EM is planned to be delivered to ISS in 2012 to replace the current air purifying respirator (APR) onboard ISS called the Ammonia Respirator (AR). The EM is a one ]size ]fits ]all model designed to fit any size crewmember, unlike the APR on ISS, and uses either two Fire Cartridges (FCs) or two Commercial Off-the-Shelf (COTS) 3M(Trademark). Ammonia Cartridges (ACs) to provide the crew with a minimum of 8 hours of respiratory protection with appropriate cartridge swap ]out. The EM is designed for a single exposure event, for either post ]fire or ammonia, and is a passive device that cannot help crewmembers who cannot breathe on their own. The EM fs primary and only seal is around the wearer fs neck to prevent a crewmember from inhaling contaminants. During the development of the ISS Emergency Mask, several design challenges were faced that focused around manufacturing a leak free mask. The description of those challenges are broadly discussed but focuses on one key design challenge area: bonding EPDM gasket material to Gore(Registered Trademark) fabric hood.

  16. UV survival of human mycoplasmas

    International Nuclear Information System (INIS)

    Aoki, Shigeji; Ito, Shoko; Watanabe, Takehiko

    1979-01-01

    The inactivation by ultraviolet (UV) light irradiation of mycoplasma cells of five human strains was monitored by investigating the colony-forming ability. The survival curves of five strains tested indicated that the cells of Mycoplasma buccale only are single and homogenously susceptible to UV light. The effect of the repair inhibitor, caffeine, on the colony-forming ability of UV-irradiated cells was investigated with M. buccale because of its homogeneous susceptibility to UV light. The colony formation of irradiated cells was markedly depressed by post-irradiation treatment with caffeine at concentration that had little or no effect on the colony formation of unirradiated cells. The colony-forming units (CFU) of UV-irradiated cells which were kept in broth without caffeine in the dark increased without a lag as the time in the dark increased. The colony-forming ability of the irradiated cells completely recovered after 3 hr in the dark. However, when irradiated cells were kept in the presence of caffeine, no increase in their CFU was observed. The mode of action of caffeine on UV-irradiated cells closely resembles that described for other organisms which possess dark reactivation systems for UV-induced damage in deoxyribonucleic acid. Thus, the results obtained provide evidence for the existence of a dark repair function in M. buccale. (author)

  17. Predicting masking release of lateralized speech

    DEFF Research Database (Denmark)

    Chabot-Leclerc, Alexandre; MacDonald, Ewen; Dau, Torsten

    2016-01-01

    . The largest masking release (MR) was observed when all maskers were on the opposite side of the target. The data in the conditions containing only energetic masking and modulation masking could be accounted for using a binaural extension of the speech-based envelope power spectrum model [sEPSM; Jørgensen et...... al., 2013, J. Acoust. Soc. Am. 130], which uses a short-term equalization-cancellation process to model binaural unmasking. In the conditions where informational masking (IM) was involved, the predicted SRTs were lower than the measured values because the model is blind to confusions experienced...

  18. UV “Indices”—What Do They Indicate?

    Directory of Open Access Journals (Sweden)

    Hanns Moshammer

    2016-10-01

    Full Text Available Ultra-Violet (UV radiation covers the spectrum of wavelengths from 100 to 400 nm. The potency and biological activity for a variety of endpoints differ by wavelength. For monitoring and communication purposes, different UV action spectra have been developed. These spectra use different weighting functions. The action spectrum for erythemal dose is the most widely used one. This erythemal dose per time or dose-rate has been further simplified into a “UV index”. Following this example, in our review we use the term “index” or (plural “indices” in a more general description for all simplified single-value measures for any biologically effective UV dose, e.g., for human non-melanoma skin cancer and for previtamin D production rate. Ongoing discussion about the existence of an increased melanoma risk due to UV-A exposure underscores the uncertainties inherent in current weighting functions. Thus, we performed an online literature search to review the data basis for these indices, to understand their relevance for an individual, and to assess the applicability of the indices for a range of exposure scenarios. Even for natural (solar UV, the spectral composition varies spatially and temporally. Artificial UV sources and personal protection introduce further variation to the spectral composition. Many biological effects are proposed for UV radiation. Only few endpoints have been studied sufficiently to estimate a reliable index. Weighting functions for chronic effects and most importantly for cancer endpoints have been developed in animal models, and often for proxy endpoints only. Epidemiological studies on biological effects of UV radiation should not only depend on single-value weighted UV dose estimates (indexes but should strive for a more detailed description of the individual exposure. A better understanding of the adverse and beneficial effects of UV radiation by wavelength would also improve medical counseling and health

  19. UV SEDs of early-type cluster galaxies: a new look at the UV upturn

    Science.gov (United States)

    Ali, S. S.; Bremer, M. N.; Phillipps, S.; De Propris, R.

    2018-05-01

    Using GALEX, Ultraviolet Optical Telescope (UVOT), and optical photometry, we explore the prevalence and strength of the Ultraviolet (UV) upturn in the spectra of quiescent early-type galaxies in several nearby clusters. Even for galaxies with completely passive optical colours, there is a large spread in vacuum UV colour consistent with almost all having some UV upturn component. Combining GALEX and UVOT data below 3000 Å, we generate for the first time comparatively detailed UV spectral energy distributions for Coma cluster galaxies. Fitting the UV upturn component with a blackbody, 26 of these show a range of characteristic temperatures (10 000-21 000K) for the UV upturn population. Assuming a single temperature to explain GALEX-optical colours could underestimate the fraction of galaxies with UV upturns and mis-classify some as systems with residual star formation. The UV upturn phenomenon is not an exclusive feature found only in giant galaxies; we identify galaxies with similar (or even bluer) FUV - V colours to the giants with upturns over a range of fainter luminosities. The temperature and strength of the UV upturn are correlated with galaxy mass. Under the plausible hypothesis that the sources of the UV upturn are blue horizontal branch stars, the most likely mechanism for this is the presence of a substantial (between 4 per cent and 20 per cent) Helium-rich (Y > 0.3) population of stars in these galaxies, potentially formed at z ˜ 4 and certainly at z > 2; this plausibly sets a lower limit of {˜ } {0.3- 0.8} × 10^{10} M⊙ to the in situ stellar mass of ˜L* galaxies at this redshift.

  20. Rapid iconic erasure without masking.

    Science.gov (United States)

    Tijus, Charles Albert; Reeves, Adam

    2004-01-01

    We report on the erasure of the iconic memory of an array of 12 black letters flashed on a continuously- present white field. Erasure is accomplished by replacing the 16 ms letter array (frame 1) with a blank white frame for 16 ms (frame 2). The letter array returns in frame 3, with from one to six letters missing. Report of the missing letters is accurate without the blank white frame but is impoverished with it, as if interposing the blank erases the icon. Erasure occurs without any obvious luminance masking, 'mud splashes', pattern masking (backward, forward, or metacontrast), lateral masking, or masking by object substitution. Erasure is greatly decreased if the blank is presented one frame earlier or later. We speculate that erasure is due to a rapid reset of the icon produced by an informational mis-match.

  1. The genetic architecture of UV floral patterning in sunflower.

    Science.gov (United States)

    Moyers, Brook T; Owens, Gregory L; Baute, Gregory J; Rieseberg, Loren H

    2017-07-01

    The patterning of floral ultraviolet (UV) pigmentation varies both intra- and interspecifically in sunflowers and many other plant species, impacts pollinator attraction, and can be critical to reproductive success and crop yields. However, the genetic basis for variation in UV patterning is largely unknown. This study examines the genetic architecture for proportional and absolute size of the UV bullseye in Helianthus argophyllus , a close relative of the domesticated sunflower. A camera modified to capture UV light (320-380 nm) was used to phenotype floral UV patterning in an F 2 mapping population, then quantitative trait loci (QTL) were identified using genotyping-by-sequencing and linkage mapping. The ability of these QTL to predict the UV patterning of natural population individuals was also assessed. Proportional UV pigmentation is additively controlled by six moderate effect QTL that are predictive of this phenotype in natural populations. In contrast, UV bullseye size is controlled by a single large effect QTL that also controls flowerhead size and co-localizes with a major flowering time QTL in Helianthus . The co-localization of the UV bullseye size QTL, flowerhead size QTL and a previously known flowering time QTL may indicate a single highly pleiotropic locus or several closely linked loci, which could inhibit UV bullseye size from responding to selection without change in correlated characters. The genetic architecture of proportional UV pigmentation is relatively simple and different from that of UV bullseye size, and so should be able to respond to natural or artificial selection independently. © The Author 2017. Published by Oxford University Press on behalf of the Annals of Botany Company. All rights reserved. For Permissions, please email: journals.permissions@oup.com

  2. High quality mask storage in an advanced Logic-Fab

    Science.gov (United States)

    Jähnert, Carmen; Fritsche, Silvio

    2012-02-01

    High efficient mask logistics as well as safe and high quality mask storage are essential requirements within an advanced lithography area of a modern logic waferfab. Fast operational availability of the required masks at the exposure tool with excellent mask condition requires a safe mask handling, safeguarding of high mask quality over the whole mask usage time without any quality degradation and an intelligent mask logistics. One big challenge is the prevention of haze on high advanced phase shift masks used in a high volume production line for some thousands of 248nm or 193nm exposures. In 2008 Infineon Dresden qualified a customer specific developed semi-bare mask storage system from DMSDynamic Micro Systems in combination with a high advanced mask handling and an interconnected complex logistic system. This high-capacity mask storage system DMS M1900.22 for more than 3000 masks with fully automated mask and box handling as well as full-blown XCDA purge has been developed and adapted to the Infineon Lithotoollandscape using Nikon and SMIF reticle cases. Advanced features for ESD safety and mask security, mask tracking via RFID and interactions with the exposure tools were developed and implemented. The stocker is remote controlled by the iCADA-RSM system, ordering of the requested mask directly from the affected exposure tool allows fast access. This paper discusses the advantages and challenges for this approach as well as the practical experience gained during the implementation of the new system which improves the fab performance with respect to mask quality, security and throughput. Especially the realization of an extremely low and stable humidity level in addition with a well controlled air flow at each mask surface, preventing masks from haze degradation and particle contamination, turns out to be a notable technical achievement. The longterm stability of haze critical masks has been improved significantly. Relevant environmental parameters like

  3. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials

    DEFF Research Database (Denmark)

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L.

    2013-01-01

    at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result......The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound...... in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners...

  4. Self-masking: Listening during vocalization. Normal hearing.

    Science.gov (United States)

    Borg, Erik; Bergkvist, Christina; Gustafsson, Dan

    2009-06-01

    What underlying mechanisms are involved in the ability to talk and listen simultaneously and what role does self-masking play under conditions of hearing impairment? The purpose of the present series of studies is to describe a technique for assessment of masked thresholds during vocalization, to describe normative data for males and females, and to focus on hearing impairment. The masking effect of vocalized [a:] on narrow-band noise pulses (250-8000 Hz) was studied using the maximum vocalization method. An amplitude-modulated series of sound pulses, which sounded like a steam engine, was masked until the criterion of halving the perceived pulse rate was reached. For masking of continuous reading, a just-follow-conversation criterion was applied. Intra-session test-retest reproducibility and inter-session variability were calculated. The results showed that female voices were more efficient in masking high frequency noise bursts than male voices and more efficient in masking both a male and a female test reading. The male had to vocalize 4 dBA louder than the female to produce the same masking effect on the test reading. It is concluded that the method is relatively simple to apply and has small intra-session and fair inter-session variability. Interesting gender differences were observed.

  5. Face-masks for facial atopic eczema: consider a hydrocolloid dressing.

    Science.gov (United States)

    Rademaker, Marius

    2013-08-01

    Facial involvement of atopic eczema in young children can be difficult to manage. Chronic scratching and rubbing, combined with parental reluctance to use topical corticosteroids on the face, often results in recalcitrant facial eczema. While wet wraps are a useful management option for moderate/severe atopic eczema involving the trunk and limbs they are difficult to use on the face. We describe the use of a face-mask using a widely available adhesive hydrocolloid dressing (DuoDerm extra thin) in three children with recalcitrant facial atopic eczema. Symptomatic control of itch or soreness was obtained within hours and the facial atopic eczema was markedly improved by 7 days. The face-masks were easy to apply, each lasting 1-4 days. One patient had a single adjuvant application of a potent topical corticosteroid under the hydrocolloid dressing. All three patients had long remissions (greater than 3 months) of their facial eczema, although all continued to have significant eczema involving their trunk and limbs. Face-masks made from hydrocolloid dressings, with or without topical corticosteroids, are worth considering in children with recalcitrant facial eczema. © 2012 The Author. Australasian Journal of Dermatology © 2012 The Australasian College of Dermatologists.

  6. Impact of MSD and mask manufacture errors on 45nm-node lithography

    Science.gov (United States)

    Han, Chunying; Li, Yanqiu; Liu, Lihui; Guo, Xuejia; Wang, Xuxia; Yang, Jianhong

    2012-10-01

    Critical Dimension Uniformity (CDU) is quite sensitive in 45nm node lithography and beyond, thus, more attentions should be paid on the controlling of CDU. Moving Standard Deviation (MSD) and Mask Manufacture Errors (MMEs) including the Mask Critical Dimension Error (MCDE), Mask Transmittance Error (MTE) and Mask Phase Error (MPE) are the two important factors influencing CDU. The study on the impact of MSD and MMEs is a helpful way to improve the lithographic quality. Previous researches often emphasize on the single impact of MSD or MMEs, however the impact of both of them usually exists simultaneously. The studies on the co-impact of MSD and MMEs are more significant. In this paper, the impact and the cross-talk between MSD and MMEs on Critical Dimension (CD) and Exposure Latitude verse Depth of Focus (EL-DOF) for different pattern under various illumination conditions have been evaluated by simulation, which is carried on PROLITHTM X3 and in-house software IntLitho. And then, the MSD's tolerance with the existence of MMEs is discussed. The simulation results show that CD error caused by the co-existence of MSD and MMEs is not the simple algebraic sum of the individual CD error caused by MSD or MMEs. The CD error becomes more pronounced when the MSD and MMEs interact with each other. The studies on the tolerance reveal that the tolerance of MSD decreases due to MMEs' existence and mainly depends on the mask pattern's pitch.

  7. New data on masking reagents in complexometry

    International Nuclear Information System (INIS)

    Yurist, I.M.; Talmud, M.M.; Zajtsev, P.M.

    1985-01-01

    Recent literature data on employing inorganic and organic oxygen-, nitrogen- and sulfur-containing substances as masking reagents (MR) in complexonometry of alkali earths, rare earths and transition elements are reviewed for the period of 1971-1983. Effectiveness of any type of MR is shown to be dependent on the electron configuration of a cation being masked. Sr, La, Th, V(6), Zr, Hf, V(5), Nb(5), Ta(5), Mo(6), W(6) a.o. are masked by oxygen-containing ligands. Zn, Cd, Fe(2, 3), Co(2, 3), Ni, etc. are masked by nitrogen- and sulfur-bearing ligands. Thiocompounds mask mainly In, Tl(3), Sn(2), Pb, Bi

  8. ON-SKY DEMONSTRATION OF A LINEAR BAND-LIMITED MASK WITH APPLICATION TO VISUAL BINARY STARS

    International Nuclear Information System (INIS)

    Crepp, J.; Ge, J.; Kravchenko, I.; Serabyn, E.; Carson, J.

    2010-01-01

    We have designed and built the first band-limited coronagraphic mask used for ground-based high-contrast imaging observations. The mask resides in the focal plane of the near-infrared camera PHARO at the Palomar Hale telescope and receives a well-corrected beam from an extreme adaptive optics system. Its performance on-sky with single stars is comparable to current state-of-the-art instruments: contrast levels of ∼10 -5 or better at 0.''8 in K s after post-processing, depending on how well non-common-path errors are calibrated. However, given the mask's linear geometry, we are able to conduct additional unique science observations. Since the mask does not suffer from pointing errors down its long axis, it can suppress the light from two different stars simultaneously, such as the individual components of a spatially resolved binary star system, and search for faint tertiary companions. In this paper, we present the design of the mask, the science motivation for targeting binary stars, and our preliminary results, including the detection of a candidate M-dwarf tertiary companion orbiting the visual binary star HIP 48337, which we are continuing to monitor with astrometry to determine its association.

  9. Processing of Dielectric Optical Coatings by Nanosecond and Femtosecond UV Laser Ablation

    International Nuclear Information System (INIS)

    Ihlemann, J.; Bekesi, J.; Klein-Wiele, J.H.; Simon, P.

    2008-01-01

    Micro processing of dielectric optical coatings by UV laser ablation is demonstrated. Excimer laser ablation at deep UV wavelengths (248 nm, 193 nm) is used for the patterning of thin oxide films or layer stacks. The layer removal over extended areas as well as sub-μm-structuring is possible. The ablation of SiO2, Al2O3, HfO2, and Ta2O5 layers and layer systems has been investigated. Due to their optical, chemical, and thermal stability, these inorganic film materials are well suited for optical applications, even if UV-transparency is required. Transparent patterned films of SiO2 are produced by patterning a UV-absorbing precursor SiOx suboxide layer and oxidizing it afterwards to SiO2. In contrast to laser ablation of bulk material, in the case of thin films, the layer-layer or layer-substrate boundaries act as predetermined end points, so that precise depth control and a very smooth surface can be achieved. For large area ablation, nanosecond lasers are well suited; for patterning with submicron resolution, femtosecond excimer lasers are applied. Thus the fabrication of optical elements like dielectric masks, pixelated diffractive elements, and gratings can be accomplished.

  10. Assessment of molecular contamination in mask pod

    Science.gov (United States)

    Foray, Jean Marie; Dejaune, Patrice; Sergent, Pierre; Gough, Stuart; Cheung, D.; Davenet, Magali; Favre, Arnaud; Rude, C.; Trautmann, T.; Tissier, Michel; Fontaine, H.; Veillerot, M.; Avary, K.; Hollein, I.; Lerit, R.

    2008-04-01

    Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic

  11. The application of orthogonal photolithography to micro-scale organic field effect transistors and complementary inverters on flexible substrate

    International Nuclear Information System (INIS)

    Jang, Jingon; Song, Younggul; Yoo, Daekyoung; Kim, Dongku; Lee, Hyungwoo; Hong, Seunghun; Lee, Takhee; Oh, Hyuntaek; Lee, Jin-Kyun

    2014-01-01

    Micro-scale pentacene organic field effect transistors (OFETs) were fabricated on a flexible poly(ethylene terephthalate) (PET) substrate. By applying a highly fluorinated developing solvents and its compatible photoresist materials, it has become possible to make the micro-scale patterning for organic devices using standard photolithography without damaging the underlying polymer layers. The flexible pentacene OFETs with 3 μm-sized channel length exhibited stable electrical characteristics under bent configurations and under a large number of repetitive bending cycles. Furthermore, we demonstrated micro-scale organic complementary inverters on a flexible PET substrate using p-type pentacene and n-type copper hexadecafluorophthalocyanine materials

  12. The application of orthogonal photolithography to micro-scale organic field effect transistors and complementary inverters on flexible substrate

    Energy Technology Data Exchange (ETDEWEB)

    Jang, Jingon; Song, Younggul; Yoo, Daekyoung; Kim, Dongku; Lee, Hyungwoo; Hong, Seunghun; Lee, Takhee, E-mail: tlee@snu.ac.kr [Department of Physics and Astronomy, and Institute of Applied Physics, Seoul National University, Seoul 151-747 (Korea, Republic of); Oh, Hyuntaek; Lee, Jin-Kyun, E-mail: jkl36@inha.ac.kr [Department of Polymer Science and Engineering, Inha University, Incheon 402-751 (Korea, Republic of)

    2014-02-03

    Micro-scale pentacene organic field effect transistors (OFETs) were fabricated on a flexible poly(ethylene terephthalate) (PET) substrate. By applying a highly fluorinated developing solvents and its compatible photoresist materials, it has become possible to make the micro-scale patterning for organic devices using standard photolithography without damaging the underlying polymer layers. The flexible pentacene OFETs with 3 μm-sized channel length exhibited stable electrical characteristics under bent configurations and under a large number of repetitive bending cycles. Furthermore, we demonstrated micro-scale organic complementary inverters on a flexible PET substrate using p-type pentacene and n-type copper hexadecafluorophthalocyanine materials.

  13. Nasal mask ventilation is better than face mask ventilation in edentulous patients

    OpenAIRE

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Background and Aims: Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Material and Methods: Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively ev...

  14. Photolithography diagnostic expert systems: a systematic approach to problem solving in a wafer fabrication facility

    Science.gov (United States)

    Weatherwax Scott, Caroline; Tsareff, Christopher R.

    1990-06-01

    One of the main goals of process engineering in the semiconductor industry is to improve wafer fabrication productivity and throughput. Engineers must work continuously toward this goal in addition to performing sustaining and development tasks. To accomplish these objectives, managers must make efficient use of engineering resources. One of the tools being used to improve efficiency is the diagnostic expert system. Expert systems are knowledge based computer programs designed to lead the user through the analysis and solution of a problem. Several photolithography diagnostic expert systems have been implemented at the Hughes Technology Center to provide a systematic approach to process problem solving. This systematic approach was achieved by documenting cause and effect analyses for a wide variety of processing problems. This knowledge was organized in the form of IF-THEN rules, a common structure for knowledge representation in expert system technology. These rules form the knowledge base of the expert system which is stored in the computer. The systems also include the problem solving methodology used by the expert when addressing a problem in his area of expertise. Operators now use the expert systems to solve many process problems without engineering assistance. The systems also facilitate the collection of appropriate data to assist engineering in solving unanticipated problems. Currently, several expert systems have been implemented to cover all aspects of the photolithography process. The systems, which have been in use for over a year, include wafer surface preparation (HMDS), photoresist coat and softbake, align and expose on a wafer stepper, and develop inspection. These systems are part of a plan to implement an expert system diagnostic environment throughout the wafer fabrication facility. In this paper, the systems' construction is described, including knowledge acquisition, rule construction, knowledge refinement, testing, and evaluation. The roles

  15. Reflective masks for extreme ultraviolet lithography

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khanh Bao [Univ. of California, Berkeley, CA (United States)

    1994-05-01

    Extreme ultraviolet lithographic masks are made by patterning multilayer reflective coatings with high normal incidence reflectivity. Masks can be patterned by depositing a patterned absorber layer above the coating or by etching the pattern directly into the coating itself. Electromagnetic simulations showed that absorber-overlayer masks have superior imaging characteristics over etched masks (less sensitive to incident angles and pattern profiles). In an EUVL absorber overlayer mask, defects can occur in the mask substrate, reflective coating, and absorber pattern. Electromagnetic simulations showed that substrate defects cause the most severe image degradation. A printability study of substrate defects for absorber overlayer masks showed that printability of 25 nm high substrate defects are comparable to defects in optical lithography. Simulations also indicated that the manner in which the defects are covered by multilayer reflective coatings can affect printability. Coverage profiles that result in large lateral spreading of defect geometries amplify the printability of the defects by increasing their effective sizes. Coverage profiles of Mo/Si coatings deposited above defects were studied by atomic force microscopy and TEM. Results showed that lateral spread of defect geometry is proportional to height. Undercut at defect also increases the lateral spread. Reductions in defect heights were observed for 0.15 μm wide defect lines. A long-term study of Mo/Si coating reflectivity revealed that Mo/Si coatings with Mo as the top layer suffer significant reductions in reflectivity over time due to oxidation.

  16. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  17. Comparison of the OxyMask and Venturi Mask in the Delivery of Supplemental Oxygen: Pilot Study in Oxygen-Dependent Patients

    Directory of Open Access Journals (Sweden)

    Jaime M Beecroft

    2006-01-01

    Full Text Available BACKGROUND: The OxyMask (Southmedic Inc, Canada is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA in patients with chronic hypoxemia.

  18. Mitigating mask roughness via pupil filtering

    Science.gov (United States)

    Baylav, B.; Maloney, C.; Levinson, Z.; Bekaert, J.; Vaglio Pret, A.; Smith, B.

    2014-03-01

    The roughness present on the sidewalls of lithographically defined patterns imposes a very important challenge for advanced technology nodes. It can originate from the aerial image or the photoresist chemistry/processing [1]. The latter remains to be the dominant group in ArF and KrF lithography; however, the roughness originating from the mask transferred to the aerial image is gaining more attention [2-9], especially for the imaging conditions with large mask error enhancement factor (MEEF) values. The mask roughness contribution is usually in the low frequency range, which is particularly detrimental to the device performance by causing variations in electrical device parameters on the same chip [10-12]. This paper explains characteristic differences between pupil plane filtering in amplitude and in phase for the purpose of mitigating mask roughness transfer under interference-like lithography imaging conditions, where onedirectional periodic features are to be printed by partially coherent sources. A white noise edge roughness was used to perturbate the mask features for validating the mitigation.

  19. Ipsilateral masking between acoustic and electric stimulations.

    Science.gov (United States)

    Lin, Payton; Turner, Christopher W; Gantz, Bruce J; Djalilian, Hamid R; Zeng, Fan-Gang

    2011-08-01

    Residual acoustic hearing can be preserved in the same ear following cochlear implantation with minimally traumatic surgical techniques and short-electrode arrays. The combined electric-acoustic stimulation significantly improves cochlear implant performance, particularly speech recognition in noise. The present study measures simultaneous masking by electric pulses on acoustic pure tones, or vice versa, to investigate electric-acoustic interactions and their underlying psychophysical mechanisms. Six subjects, with acoustic hearing preserved at low frequencies in their implanted ear, participated in the study. One subject had a fully inserted 24 mm Nucleus Freedom array and five subjects had Iowa/Nucleus hybrid implants that were only 10 mm in length. Electric masking data of the long-electrode subject showed that stimulation from the most apical electrodes produced threshold elevations over 10 dB for 500, 625, and 750 Hz probe tones, but no elevation for 125 and 250 Hz tones. On the contrary, electric stimulation did not produce any electric masking in the short-electrode subjects. In the acoustic masking experiment, 125-750 Hz pure tones were used to acoustically mask electric stimulation. The acoustic masking results showed that, independent of pure tone frequency, both long- and short-electrode subjects showed threshold elevations at apical and basal electrodes. The present results can be interpreted in terms of underlying physiological mechanisms related to either place-dependent peripheral masking or place-independent central masking.

  20. BaY2F8 single crystals doped with rare-earth ions as promising up-conversion media for UV and VUV lasers

    International Nuclear Information System (INIS)

    Pushkar', A A; Uvarova, T V; Molchanov, V N

    2008-01-01

    BaY 2 F 8 crystals are studied as promising active media for UV and VUV lasers. The up-conversion pumping of rare-earth activators is proposed to solve problems related to the solarisation of the medium and the selection of pump sources. The technology of growing oriented BaY 2 F 8 single crystals is developed and the influence of the crystal orientation on the growth rate and quality of single crystals is determined. (active media)

  1. Contralateral tactile masking between forearms.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2014-03-01

    Masking effects have been demonstrated in which tactile sensitivity is affected when one touch is close to another on the body surface. Such effects are likely a result of local lateral inhibitory circuits that sharpen the spatial tuning of a given tactile receptor. Mutually inhibitory pathways have also been demonstrated between cortical tactile maps of the two halves of the body. Occasional reports have indicated that touches on one hand or forearm can affect tactile sensitivity at contralateral locations. Here, we measure the spatial tuning and effect of posture on this contralateral masking effect. Tactile sensitivity was measured on one forearm, while vibrotactile masking stimulation was applied to the opposite arm. Results were compared to sensitivity while vibrotactile stimulation was applied to a control site on the right shoulder. Sensitivity on the forearm was reduced by over 3 dB when the arms were touching and by 0.52 dB when they were held parallel. The masking effect depended on the position of the masking stimulus. Its effectiveness fell off by 1 STD when the stimulus was 29 % of arm length from the corresponding contralateral point. This long-range inhibitory effect in the tactile system suggests a surprisingly intimate relationship between the two sides of the body.

  2. Overlay improvement by exposure map based mask registration optimization

    Science.gov (United States)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  3. Immunogenicity of UV-inactivated measles virus

    International Nuclear Information System (INIS)

    Zahorska, R.; Mazur, N.; Korbecki, M.

    1978-01-01

    By means of the antigen extinction limit test it was shown that a triple dose vaccination of guinea pigs with UV-inactivated measles virus gave better results, than a single dose vaccination which was proved by the very low immunogenicity index. For both vaccination schemes (single and triple) the immune response was only sligthly influenced by a change of dose from 10 5 to 10 6 HadU 50 /ml or by the addition of aluminum adjuvant. In the antigen extinction limit test the antibody levels were determined by two methods (HIT and NT) the results of which were statistically equivalent. The UV-inactivated measles virus was also found to induce hemolysis-inhibiting antibodies. (orig.) [de

  4. Enhanced UV photoresponse of KrF-laser-synthesized single-wall carbon nanotubes/n-silicon hybrid photovoltaic devices.

    Science.gov (United States)

    Le Borgne, V; Gautier, L A; Castrucci, P; Del Gobbo, S; De Crescenzi, M; El Khakani, M A

    2012-06-01

    We report on the KrF-laser ablation synthesis, purification and photocurrent generation properties of single-wall carbon nanotubes (SWCNTs). The thermally purified SWCNTs are integrated into hybrid photovoltaic (PV) devices by spin-coating them onto n-Si substrates. These novel SWCNTs/n-Si hybrid devices are shown to generate significant photocurrent (PC) over the entire 250-1050 nm light spectrum with external quantum efficiencies (EQE) reaching up to ~23%. Our SWCNTs/n-Si hybrid devices are not only photoactive in the traditional spectral range of Si solar cells, but generate also significant PC in the UV domain (below 400 nm). This wider spectral response is believed to be the result of PC generation from both the SWCNTs themselves and the tremendous number of local p-n junctions created at the nanotubes/Si interface. To assess the prevalence of these two contributions, the EQE spectra and J-V characteristics of these hybrid devices were investigated in both planar and top-down configurations, as a function of SWCNTs' film thickness. A sizable increase in EQE in the near UV with respect to the silicon is observed in both configurations, with a more pronounced UV photoresponse in the planar mode, confirming thereby the role of SWCNTs in the photogeneration process. The PC generation is found to reach its maximum for an optimal the SWCNT film thickness, which is shown to correspond to the best trade-off between lowest electrical resistance and highest optical transparency. Finally, by analyzing the J-V characteristics of our SWCNTs/n-Si devices with an equivalent circuit model, we were able to point out the contribution of the various electrical components involved in the photogeneration process. The SWCNTs-based devices demonstrated here open up the prospect for their use in highly effective photovoltaics and/or UV-light sensors.

  5. Noninvasive CPAP with face mask: comparison among new air-entrainment masks and the Boussignac valve.

    Science.gov (United States)

    Mistraletti, Giovanni; Giacomini, Matteo; Sabbatini, Giovanni; Pinciroli, Riccardo; Mantovani, Elena S; Umbrello, Michele; Palmisano, Debora; Formenti, Paolo; Destrebecq, Anne L L; Iapichino, Gaetano

    2013-02-01

    The performances of 2 noninvasive CPAP systems (high flow and low flow air-entrainment masks) were compared to the Boussignac valve in 3 different scenarios. Scenario 1: pneumatic lung simulator with a tachypnea pattern (tidal volume 800 mL at 40 breaths/min). Scenario 2: Ten healthy subjects studied during tidal breaths and tachypnea. Scenario 3: Twenty ICU subjects enrolled for a noninvasive CPAP session. Differences between set and effective CPAP level and F(IO(2)), as well as the lowest airway pressure and the pressure swing around the imposed CPAP level, were analyzed. The lowest airway pressure and swing were correlated to the pressure-time product (area of the airway pressure curve below the CPAP level) measured with the simulator. P(aO(2)) was a subject's further performance index. Lung simulator: Boussignac F(IO(2)) was 0.54, even if supplied with pure oxygen. The air-entrainment masks had higher swing than the Boussignac (P = .007). Pressure-time product correlated better with pressure swing (Spearman correlation coefficient [ρ] = 0.97) than with lowest airway pressure (ρ = 0.92). In healthy subjects, the high-flow air-entrainment mask showed lower difference between set and effective F(IO(2)) (P mask had lower swing than the Boussignac valve (P = .03) with similar P(aO(2)) increase. High-flow air-entrainment mask showed the best performance in human subjects. During high flow demand, the Boussignac valve delivered lower than expected F(IO(2)) and showed higher dynamic hyper-pressurization than the air-entrainment masks. © 2013 Daedalus Enterprises.

  6. Solvent-assisted self-assembly of fullerene into single-crystal ultrathin microribbons as highly sensitive UV-visible photodetectors.

    Science.gov (United States)

    Wei, Lang; Yao, Jiannian; Fu, Hongbing

    2013-09-24

    The size, shape, and crystallinity of organic nanostructures play an important role in their physical properties and are mainly determined by the self-assembling kinetics of molecular components often involving the solvent conditions. Here, we reported a kinetically controlled self-assembly of C60 assisted by the solvent carbon bisulfide (CS2) into single-crystal ultrathin microribbons of 2C60·3CS2, upon mixing the poor solvent isopropyl alcohol with a C60/CS2 stock solution. Surface energy calculations reveal that these microribbons represent a kinetically favored high-energy state as compared with the thermodynamically stable shape of prismatic rods. High-resolution transmission electron microscopy observations clarify that association of CS2 at the nucleation stage helps to guide and rigidify the formation of π-π stacking 1D chains of C60 through the surrounding CS2 cage-like structures, which further act as glue, boosting lateral assembly of as-formed 1D chains into untrathin 2D microribbon single crystals. Precise control over the thickness, width, and length of 2C60·3CS2 microribbons was achieved by manipulation of the growth kinetics through adjusting the solvent conditions. Upon heating to 120 °C, sublimation of CS2 components results in fcc C60 microribbons. We found that both microribbons of solvated monoclinic 2C60·3CS2 and pure fcc C60 exhibit highly sensitive photoconductivity properties with a spectral response range covering UV to visible. The highest on/off ratio of two-terminal photodetectors based on single ribbons reaches around 250, while the responsitivity is about 75.3 A W(-1) in the UV region and 90.4 A W(-1) in the visible region.

  7. Vibrotactile masking through the body.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2014-09-01

    Touches on one hand or forearm can affect tactile sensitivity at contralateral locations on the opposite side of the body. These interactions suggest an intimate connection between the two sides of the body. Here, we explore the effect of masking not across the body but through the body by measuring the effect of a masking stimulus on the back on the tactile sensitivity of the corresponding point on the front. Tactile sensitivity was measured on each side of the stomach, while vibrotactile masking stimulation was applied to one side of the front and to points on the back including the point directly behind the test point on the front. Results were compared to sensitivity, while vibrotactile stimulation was applied to a control site on the shoulder. A reduction in sensitivity of about .8 dB was found that required the masking stimulus to be within about 2 cm of the corresponding point on the back.

  8. Transmission and signal loss in mask designs for a dual neutron and gamma imager applied to mobile standoff detection

    International Nuclear Information System (INIS)

    Ayaz-Maierhafer, Birsen; Hayward, Jason P.; Ziock, Klaus P.; Blackston, Matthew A.; Fabris, Lorenzo

    2013-01-01

    In order to design a next-generation, dual neutron and gamma imager for mobile standoff detection which uses coded aperture imaging as its primary detection modality, the following design parameters have been investigated for gamma and neutron radiation incident upon a hybrid, coded mask: (1) transmission through mask elements for various mask materials and thicknesses; and (2) signal attenuation in the mask versus angle of incidence. Each of these parameters directly affects detection significance, as quantified by the signal-to-noise ratio. The hybrid mask consists of two or three layers: organic material for fast neutron attenuation and scattering, Cd for slow neutron absorption (if applied), and one of three of the following photon or photon and slow neutron attenuating materials—Linotype alloy, CLYC, or CZT. In the MCNP model, a line source of gamma rays (100–2500 keV), fast neutrons (1000–10,000 keV) or thermal neutrons was positioned above the hybrid mask. The radiation penetrating the mask was simply tallied at the surface of an ideal detector, which was located below the surface of the last mask layer. The transmission was calculated as the ratio of the particles transmitted through the fixed aperture to the particles passing through the closed mask. In order to determine the performance of the mask considering relative motion between the source and detector, simulations were used to calculate the signal attenuation for incident radiation angles of 0–50°. The results showed that a hybrid mask can be designed to sufficiently reduce both transmission through the mask and signal loss at large angles of incidence, considering both gamma ray and fast neutron radiations. With properly selected material thicknesses, the signal loss of a hybrid mask, which is necessarily thicker than the mask required for either single mode imaging, is not a setback to the system's detection significance

  9. 21 CFR 868.5560 - Gas mask head strap.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Gas mask head strap. 868.5560 Section 868.5560...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5560 Gas mask head strap. (a) Identification. A gas mask head strap is a device used to hold an anesthetic gas mask in position on a patient's...

  10. Investigating neurophysiological correlates of metacontrast masking with magnetoencephalography

    Directory of Open Access Journals (Sweden)

    Jens Schwarzbach

    2006-01-01

    Full Text Available Early components of visual evoked potentials (VEP in EEG seem to be unaffected by target visibility in visual masking studies. Bridgeman's reanalysis of Jeffreys and Musselwhite's (1986 data suggests that a later visual component in the VEP, around 250 ms reflects the perceptual effect of masking. We challenge this view on the ground that temporal interactions between targets and masks unrelated to stimulus visibility could account for Bridgeman's observation of a U-shaped time course in VEP amplitudes for this later component. In an MEG experiment of metacontrast masking with variable stimulus onset asynchrony, we introduce a proper control, a pseudo mask. In contrast to an effective mask, the pseudomask should produce neither behavioral masking nor amplitude modulations of late VEPs. Our results show that effective masks produced a strong U-shaped perceptual effect of target visibility while performance remained virtually perfect when a pseudomask was used. The visual components around 250 ms after target onset did not show a distinction between mask and pseudomask conditions. The results indicate that these visual evoked potentials do not reveal neurophysiological correlates of stimulus visibility but rather reflect dynamic interactions between superimposed potentials elicited by stimuli in close temporal proximity. However, we observed a postperceptual component around 340 ms after target onset, located over temporal-parietal cortex, which shows a clear effect of visibility. Based on P300 ERP literature, this finding could indicate that working memory related processes contribute to metacontrast masking.

  11. Causal mechanisms of masked hypertension: socio-psychological aspects.

    Science.gov (United States)

    Ogedegbe, Gbenga

    2010-04-01

    The contribution of Dr Thomas Pickering's study to the measurement of blood pressure (BP) is the defining aspect of his academic career and achievement - narrowly defined. In this regard, two important areas characterized his study as it relates to masked hypertension. First, he introduced the term, masked hypertension, to replace the rather inappropriate term 'reverse white-coat hypertension' and 'white-coat normotension'; thus drawing attention to the fact that these patients are genuinely hypertensive by ambulatory BP but were missed by normal office BP. More importantly, he rightly maintained that masked hypertension is a true continuum of sustained hypertension rather than an aberrant measurement artifact. Second, is his pivotal study on the important role of psychosocial factors as a potential mechanism for the development of masked hypertension. In this regard, he explained masked hypertension as a conditioned response to anxiety in office settings, and highlighted the role that diagnostic labeling plays in its development. His view of masked hypertension is that of a continuum from prehypertension (based on office BP measurement) to masked hypertension (based on ambulatory BP) and finally to sustained hypertension (based on both office and ambulatory BP). He strongly believes that it is the prehypertensive patients who progress to masked hypertension. Subsequently, patients who are prehypertensive should be screened for masked hypertension and treated. In this manuscript, we summarize his study as it relates to the definition of masked hypertension, the psychosocial characteristics, mechanisms and its clinical relevance.

  12. Ergonomic evaluation of pilot oxygen mask designs

    NARCIS (Netherlands)

    Lee, W.; Yang, Xiaopeng; Jung, Daehan; Park, Seikwon; Kim, Heeeun; You, Heecheon

    2018-01-01

    A revised pilot oxygen mask design was developed for better fit to the Korean Air Force pilots’ faces. The present study compared an existing pilot oxygen mask and a prototype of the revised mask design with 88 Korean Air Force pilots in terms of subjective discomfort, facial contact pressure,

  13. 21 CFR 868.5550 - Anesthetic gas mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  14. Emergency Face-Mask Removal Effectiveness: A Comparison of Traditional and Nontraditional Football Helmet Face-Mask Attachment Systems

    Science.gov (United States)

    Swartz, Erik E.; Belmore, Keith; Decoster, Laura C.; Armstrong, Charles W.

    2010-01-01

    Abstract Context: Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. Objective: To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Design: Controlled laboratory study. Setting: Applied biomechanics laboratory. Participants: Twenty-five certified athletic trainers. Intervention(s): The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Main Outcome Measure(s): Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Results: Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F4,20  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F4,20  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected

  15. Emergency face-mask removal effectiveness: a comparison of traditional and nontraditional football helmet face-mask attachment systems.

    Science.gov (United States)

    Swartz, Erik E; Belmore, Keith; Decoster, Laura C; Armstrong, Charles W

    2010-01-01

    Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Controlled laboratory study. Applied biomechanics laboratory. Twenty-five certified athletic trainers. The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F(4,20)  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F(4,20)  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected in RPE during face-mask removal (F(4,20)  =  43.20, P  =  .001), with participants reporting average

  16. Antigen-antibody reactions of UV-irradiated phage DNA

    International Nuclear Information System (INIS)

    Fink, A.

    1976-01-01

    The observation of others could be confirmed that UV-irradiated DNA is a better immunogen than unirradiated DNA. The author's immune sera contained a high amount of antibodies with a specific action against photoproducts in the DNA. The thymine dimer was identified as relevant photoproduct and thus as antigenic determinant. In comparison, the amount of unspecific antibodies reacting with denaturated DNA was low and varied between sera. Thymin-dimer antibodies showed a high specificity without cross-reaction with other pyrimidine dimers such as anti CC and anti CT; they belong to the class of IgG molecules. UV-irradiated dinucleotide dTpT is sufficient to induce the formation of antibodies reacting with the cis-syn thymine dimers in UV-irradiated DNA. Antibody binding is proportional to the UV doses applied to the DNA. When using completely denaturated DNA, there is a linear increase changing into a plateau at higher doses. The extent of antigen-antibody binding is strongly dependent on the degree of denaturation of the DNA. With increasing denaturation, the antibody binding of the DNA increases. The antigen-antibody reaction can thus be used to estimate the degree of denaturation of the DNA. There were no signs of an influence of the degree of denaturation of the DNA on the quantum yield of thymine dimers. The different amounts of antibodies is therefore due to the masking of thymine dimers in native DNA. When irradiating intact phage particles, there was no sign of an influence of the phages' protein covers on the antibody binding capacity of DNA compared with DNA irradiated in vitro. (orig.) [de

  17. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  18. A Masked Photocathode in a Photoinjector

    OpenAIRE

    Qiang, Ji

    2011-01-01

    In this paper, we propose a masked photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto an electrode, an electrode with small hole is used as a mask to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material easy by rotating the photocathode behind the electrode into the hole. Furthermore, this helps reduce the dark current or seconda...

  19. Enhancement of cutaneous delayed hypersensitivity reactions by a single exposure to UV-A or PUVA

    International Nuclear Information System (INIS)

    Moberg, S.; Mobacken, H.

    1982-01-01

    The influence of irradiation with UV-A and PUVA (8-methoxy-psoralen and UV-A) on delayed hypersensitivity reactions to microbial antigens was studied in healthy human individuals. Skin reactions to Candida albicans antigen and PPD were enhanced by UV-A als well as by PUVA compared with nonirradiated tests. A statistically significant difference was reached with UV-A for both antigens. For PUVA, erythemogenic doses to Candida tests produced a significant increase of response. (orig.)

  20. Noise masking of S-cone increments and decrements.

    Science.gov (United States)

    Wang, Quanhong; Richters, David P; Eskew, Rhea T

    2014-11-12

    S-cone increment and decrement detection thresholds were measured in the presence of bipolar, dynamic noise masks. Noise chromaticities were the L-, M-, and S-cone directions, as well as L-M, L+M, and achromatic (L+M+S) directions. Noise contrast power was varied to measure threshold Energy versus Noise (EvN) functions. S+ and S- thresholds were similarly, and weakly, raised by achromatic noise. However, S+ thresholds were much more elevated by S, L+M, L-M, L- and M-cone noises than were S- thresholds, even though the noises consisted of two symmetric chromatic polarities of equal contrast power. A linear cone combination model accounts for the overall pattern of masking of a single test polarity well. L and M cones have opposite signs in their effects upon raising S+ and S- thresholds. The results strongly indicate that the psychophysical mechanisms responsible for S+ and S- detection, presumably based on S-ON and S-OFF pathways, are distinct, unipolar mechanisms, and that they have different spatiotemporal sampling characteristics, or contrast gains, or both. © 2014 ARVO.

  1. Scatterometry on pelliclized masks: an option for wafer fabs

    Science.gov (United States)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  2. Phase mask coronagraphy at JPL and Palomar

    Directory of Open Access Journals (Sweden)

    Serabyn E.

    2011-07-01

    Full Text Available For the imaging of faint companions, phase mask coronagraphy has the dual advantages of a small inner working angle and high throughput. This paper summarizes our recent work in developing phase masks and in demonstrating their capabilities at JPL. Four-quadrant phase masks have been manufactured at JPL by means of both evaporation and etching, and we have been developing liquid crystal vortex phase masks in partnership with a commercial vendor. Both types of mask have been used with our extreme adaptive optics well-corrected subaperture at Palomar to detect known brown dwarf companions as close as ~ 2.5 λ/D to stars. Moreover, our recent vortex masks perform very well in laboratory tests, with a demonstrated infrared contrast of about 10−6 at 3 λ/D, and contrasts of a few 10−7 with an initial optical wavelength device. The demonstrated performance already meets the needs of ground-based extreme adaptive optics coronagraphy, and further planned improvements are aimed at reaching the 10−10 contrast needed for terrestrial exoplanet detection with a space-based coronagraph.

  3. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  4. Spatial Release from Masking with a Moving Target

    Directory of Open Access Journals (Sweden)

    M. Torben Pastore

    2017-12-01

    Full Text Available In the visual domain, a stationary object that is difficult to detect usually becomes far more salient if it moves while the objects around it do not. This “pop out” effect is important for parsing the visual world into figure/ground relationships that allow creatures to detect food, threats, etc. We tested for an auditory correlate to this visual effect by asking listeners to identify a single word, spoken by a female, embedded with two or four masking words spoken by males. Percentage correct scores were analyzed and compared between conditions where target and maskers were presented from the same position vs. when the target was presented from one position while maskers were presented from different positions. In some trials, the target word was moved across the speaker array using amplitude panning, while in other trials that target was played from a single, static position. Results showed a spatial release from masking for all conditions where the target and maskers were not located at the same position, but there was no statistically significant difference between identification performance when the target was moving vs. when it was stationary. These results suggest that, at least for short stimulus durations (0.75 s for the stimuli in this experiment, there is unlikely to be a “pop out” effect for moving target stimuli in the auditory domain as there is in the visual domain.

  5. Attentional capture by masked colour singletons.

    Science.gov (United States)

    Ansorge, Ulrich; Horstmann, Gernot; Worschech, Franziska

    2010-09-15

    We tested under which conditions a colour singleton of which an observer is unaware captures attention. To prevent visual awareness of the colour singleton, we used backward masking. We find that a masked colour singleton cue captures attention if it matches the observer's goal to search for target colours but not if it is task-irrelevant. This is also reflected in event-related potentials to the visible target: the masked goal-matching cue elicits an attentional potential (N2pc) in a target search task. By contrast, a non-matching but equally strong masked colour singleton cue failed to elicit a capture effect and an N2pc. Results are discussed with regard to currently pertaining conceptions of attentional capture by colour singletons. Copyright 2010 Elsevier Ltd. All rights reserved.

  6. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials.

    Science.gov (United States)

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L

    2013-12-01

    The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners who participated in the psychoacoustical experiment. The data indicate differences in N1 and P2 between stimuli with and without interaural phase disparities. However, differences for stimuli with and without coherent masker modulation were only found for P2, i.e., only P2 is sensitive to the increase in audibility, irrespective of the cue that caused the masking release. The amplitude of P2 is consistent with the psychoacoustical finding of an addition of the masking releases when both cues are present. Even though it cannot be concluded where along the auditory pathway the audibility is represented, the P2 component of auditory evoked potentials is a candidate for an objective measure of audibility in the human auditory system. Copyright © 2013 Elsevier B.V. All rights reserved.

  7. Effect of Ibuprofen on masking endodontic diagnosis.

    Science.gov (United States)

    Read, Jason K; McClanahan, Scott B; Khan, Asma A; Lunos, Scott; Bowles, Walter R

    2014-08-01

    An accurate diagnosis is of upmost importance before initiating endodontic treatment; yet, there are occasions when the practitioner cannot reproduce the patient's chief complaint because the patient has become asymptomatic. Ibuprofen taken beforehand may "mask" or eliminate the patient's symptoms. In fact, 64%-83% of patients with dental pain take analgesics before seeing a dentist. The purpose of this study was to examine the possible "masking" effect of ibuprofen on endodontic diagnostic tests. Forty-two patients with endodontic pain underwent testing (cold, percussion, palpation, and bite force measurement) and then received either placebo or 800 mg ibuprofen. Both patients and operators were blinded to the medication received. One hour later, diagnostic testing was repeated and compared with pretreatment testing. Ibuprofen affected testing values for vital teeth by masking palpation 40%, percussion 25%, and cold 25% on affected teeth with symptomatic irreversible pulpitis and symptomatic apical periodontitis. There was no observed masking effect in the placebo group on palpation, percussion, or cold values. When nonvital teeth were included, the masking effect of ibuprofen was decreased. However, little masking occurred with the bite force measurement differences. Analgesics taken before the dental appointment can affect endodontic diagnostic testing results. Bite force measurements can assist in identifying the offending tooth in cases in which analgesics "mask" the endodontic diagnosis. Copyright © 2014 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  8. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    With the market introduction of the NXE:3100, Extreme Ultra Violet Lithography (EUVL) enters a new stage. Now infrastructure in the wafer fabs must be prepared for new processes and new materials. Especially the infrastructure for masks poses a challenge. Because of the absence of a pellicle reticle front sides are exceptionally vulnerable to particles. It was also shown that particles on the backside of a reticle may cause tool down time. These effects set extreme requirements to the cleanliness level of the fab infrastructure for EUV masks. The cost of EUV masks justifies the use of equipment that is qualified on particle cleanliness. Until now equipment qualification on particle cleanliness have not been carried out with statistically based qualification procedures. Since we are dealing with extreme clean equipment the number of observed particles is expected to be very low. These particle levels can only be measured by repetitively cycling a mask substrate in the equipment. Recent work in the EUV AD-tool presents data on added particles during load/unload cycles, reported as number of Particles per Reticle Pass (PRP). In the interpretation of the data, variation by deposition statistics is not taken into account. In measurements with low numbers of added particles the standard deviation in PRP number can be large. An additional issue is that particles which are added in the routing outside the equipment may have a large impact on the testing result. The number mismatch between a single handling step outside the tool and the multiple cycling in the equipment makes accuracy of measurements rather complex. The low number of expected particles, the large variation in results and the combined effect of added particles inside and outside the equipment justifies putting good effort in making a test plan. Without a proper statistical background, tests may not be suitable for proving that equipment qualifies for the limiting cleanliness levels. Other risks are that a

  9. UV-LIGA technique for ECF micropumps using back UV exposure and self-alignment

    Science.gov (United States)

    Han, D.; Xia, Y.; Yokota, S.; Kim, J. W.

    2017-12-01

    This paper proposes and develops a novel UV-LIGA technique using back UV exposure and self-alignment to realize high aspect ratio micromachining (HARM) in high power density electro-conjugate fluid (ECF) micropumps. ECF is a functional fluid designed to be able to generate strong and active jet flow (ECF jetting) between anode and cathode in ECF when high DC voltage is applied. We have developed high power density ECF micropumps consisting of triangular prism and slit electrode pairs (TPSEs) fabricated by HARM. The traditional UV-LIGA technique for HARM is mainly divided into two approaches: (a) single thick layer and (b) multiple thin layers. Both methods have limitations—deformed molds in the former and misalignment between layers in the latter. Using the finite element method software COMSOL Multiphysics, we demonstrate that the deformed micro-molds critically impair the performance of ECF micropumps. In addition, we experimentally prove that the misalignment would easily trigger electric discharge in the ECF micropumps. To overcome these limitations, we conceive a new concept utilizing the seed electrode layer for electroforming as the UV shield and pattern photoresist (KMPR) by back UV exposure. The seed electrode layer should be composed of a non-transparent conductor (Au/Ti) for patterning and a transparent conductor (ITO) for wiring. Instead of ITO, we propose the concept of transparency-like electrodes comprised of thin metal line patterns. To verify this concept, KMPR layers with thicknesses of 70, 220, and 500 µm are experimentally investigated. In the case of 500 µm KMPR thickness, the concept of transparency-like electrode was partially proved. As a result, TPSEs with a height of 440 µm were successfully fabricated. Characteristic experiments demonstrated that ECF micropumps (367 mW cm-3) fabricated by back UV achieved almost the same output power density as ECF micropumps (391 mW cm-3) fabricated by front UV. This paper proves that the proposed

  10. UV-LIGA technique for ECF micropumps using back UV exposure and self-alignment

    International Nuclear Information System (INIS)

    Han, D; Xia, Y; Yokota, S; Kim, J W

    2017-01-01

    This paper proposes and develops a novel UV-LIGA technique using back UV exposure and self-alignment to realize high aspect ratio micromachining (HARM) in high power density electro-conjugate fluid (ECF) micropumps. ECF is a functional fluid designed to be able to generate strong and active jet flow (ECF jetting) between anode and cathode in ECF when high DC voltage is applied. We have developed high power density ECF micropumps consisting of triangular prism and slit electrode pairs (TPSEs) fabricated by HARM. The traditional UV-LIGA technique for HARM is mainly divided into two approaches: (a) single thick layer and (b) multiple thin layers. Both methods have limitations—deformed molds in the former and misalignment between layers in the latter. Using the finite element method software COMSOL Multiphysics, we demonstrate that the deformed micro-molds critically impair the performance of ECF micropumps. In addition, we experimentally prove that the misalignment would easily trigger electric discharge in the ECF micropumps. To overcome these limitations, we conceive a new concept utilizing the seed electrode layer for electroforming as the UV shield and pattern photoresist (KMPR) by back UV exposure. The seed electrode layer should be composed of a non-transparent conductor (Au/Ti) for patterning and a transparent conductor (ITO) for wiring. Instead of ITO, we propose the concept of transparency-like electrodes comprised of thin metal line patterns. To verify this concept, KMPR layers with thicknesses of 70, 220, and 500 µ m are experimentally investigated. In the case of 500 µ m KMPR thickness, the concept of transparency-like electrode was partially proved. As a result, TPSEs with a height of 440 µ m were successfully fabricated. Characteristic experiments demonstrated that ECF micropumps (367 mW cm −3 ) fabricated by back UV achieved almost the same output power density as ECF micropumps (391 mW cm −3 ) fabricated by front UV. This paper proves that the

  11. Fabless company mask technology approach: fabless but not fab-careless

    Science.gov (United States)

    Hisamura, Toshiyuki; Wu, Xin

    2009-10-01

    There are two different foundry-fabless working models in the aspect of mask. Some foundries have in-house mask facility while others contract with merchant mask vendors. Significant progress has been made in both kinds of situations. Xilinx as one of the pioneers of fabless semiconductor companies has been continually working very closely with both merchant mask vendors and mask facilities of foundries in past many years, contributed well in both technology development and benefited from corporations. Our involvement in manufacturing is driven by the following three elements: The first element is to understand the new fabrication and mask technologies and then find a suitable design / layout style to better utilize these new technologies and avoid potential risks. Because Xilinx has always been involved in early stage of advanced technology nodes, this early understanding and adoption is especially important. The second element is time to market. Reduction in mask and wafer manufacturing cycle-time can ensure faster time to market. The third element is quality. Commitment to quality is our highest priority for our customers. We have enough visibility on any manufacturing issues affecting the device functionality. Good correlation has consistently been observed between FPGA speed uniformity and the poly mask Critical Dimension (CD) uniformity performance. To achieve FPGA speed uniformity requirement, the manufacturing process as well as the mask and wafer CD uniformity has to be monitored. Xilinx works closely with the wafer foundries and mask suppliers to improve productivity and the yield from initial development stage of mask making operations. As an example, defect density reduction is one of the biggest challenges for mask supplier in development stage to meet the yield target satisfying the mask cost and mask turn-around-time (TAT) requirement. Historically, masks were considered to be defect free but at these advanced process nodes, that assumption no longer

  12. Is tinnitus an early voice of masked hypertension? High masked hypertension rate in patients with tinnitus.

    Science.gov (United States)

    Gun, Taylan; Özkan, Selçuk; Yavuz, Bunyamin

    2018-04-23

    Tinnitus is hearing a sound without any external acoustic stimulus. There are some clues of hypertension can cause tinnitus in different ways. The aim of the study was to evaluate the relationship between tinnitus and masked hypertension including echocardiographic parameters and severity of tinnitus. This study included 88 patients with tinnitus of at least 3 months duration and 85 age and gender-matched control subjects. Tinnitus severity index was used to classify the patients with tinnitus. After a complete medical history, all subjects underwent routine laboratory examination, office blood pressure measurement, hearing tests and ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of masked hypertension was significantly higher in patients with tinnitus than controls (18.2% vs 3.5%, p = 0.002). Office diastolic BP (76 ± 8.1 vs. 72.74 ± 8.68, p = 0.01), ambulatory 24-H diastolic BP (70.2 ± 9.6 vs. 66.9 ± 6.1, p = 0.07) and ambulatory daytime diastolic BP (73.7 ± 9.5 vs. 71.1 ± 6.2, p = 0.03) was significantly higher in patients with tinnitus than control group. Tinnitus severity index in patients without masked hypertension was 0 and tinnitus severity index in patients with masked hypertension were 2 (1-5). This study demonstrated that masked hypertension must be kept in mind if there is a complaint of tinnitus without any other obvious reason.

  13. Alternative method for variable aspect ratio vias using a vortex mask

    Science.gov (United States)

    Schepis, Anthony R.; Levinson, Zac; Burbine, Andrew; Smith, Bruce W.

    2014-03-01

    Historically IC (integrated circuit) device scaling has bridged the gap between technology nodes. Device size reduction is enabled by increased pattern density, enhancing functionality and effectively reducing cost per chip. Exemplifying this trend are aggressive reductions in memory cell sizes that have resulted in systems with diminishing area between bit/word lines. This affords an even greater challenge in the patterning of contact level features that are inherently difficult to resolve because of their relatively small area and complex aerial image. To accommodate these trends, semiconductor device design has shifted toward the implementation of elliptical contact features. This empowers designers to maximize the use of free device space, preserving contact area and effectively reducing the via dimension just along a single axis. It is therefore critical to provide methods that enhance the resolving capacity of varying aspect ratio vias for implementation in electronic design systems. Vortex masks, characterized by their helically induced propagation of light and consequent dark core, afford great potential for the patterning of such features when coupled with a high resolution negative tone resist system. This study investigates the integration of a vortex mask in a 193nm immersion (193i) lithography system and qualifies its ability to augment aspect ratio through feature density using aerial image vector simulation. It was found that vortex fabricated vias provide a distinct resolution advantage over traditionally patterned contact features employing a 6% attenuated phase shift mask (APM). 1:1 features were resolvable at 110nm pitch with a 38nm critical dimension (CD) and 110nm depth of focus (DOF) at 10% exposure latitude (EL). Furthermore, iterative source-mask optimization was executed as means to augment aspect ratio. By employing mask asymmetries and directionally biased sources aspect ratios ranging between 1:1 and 2:1 were achievable, however, this

  14. Why soft UV-A damages DNA: An optical micromanipulation study

    Science.gov (United States)

    Rapp, A.; Greulich, K. O.

    2013-09-01

    Optical micromanipulation studies have solved a puzzle on DNA damage and repair. Such knowledge is crucial for understanding cancer and ageing. So far it was not understood, why the soft UV component of sunlight, UV-A, causes the dangerous DNA double strand breaks. The energy of UV-A photons is below 4 eV per photon, too low to directly cleave the corresponding chemical bonds in DNA. This is occasionally used to claim that artificial sunbeds, which mainly use UV-A, would not impose a risk on health. UV-A is only sufficient for induction of single strand breaks. The essential new observation is that, when on the opposite strand there is another single strand break at a distance of up to 20 base pairs. These two breaks will be converted into a break of the whole double strand with all its known consequences for cancer and ageing. However, in natural sun the effect is counteracted. Simultaneous red light illumination reduces UV induced DNA damages to 1/3. Since sunlight has a red component, skin tanning with natural sun is not as risky as might appear at a first glance.

  15. Stretchable gold conductors embedded in PDMS and patterned by photolithography: fabrication and electromechanical characterization

    International Nuclear Information System (INIS)

    Adrega, T; Lacour, S P

    2010-01-01

    Stretchable gold conductors embedded in polydimethylsiloxane (PDMS) films were successfully prepared using standard photolithography. The minimum feature sizes patterned in the metal film and PDMS encapsulation are 10 µm and 20 µm, respectively. The micro-patterned conductors are robust to uni-axial (1D) and radial (2D) stretching with applied strains of tens of percent. The electrical response of the conductors follows a nonlinear increase with strain, and is reversible. The extensive stretchability of the conductors relies on a randomly and independently distributed network of micro-cracks (∼100 nm long) in the metal film on PDMS. The micro-cracks elongate to a few microns length both in the stretching and normal directions in 1D stretching but during 2D stretching, the micro-cracks grow and form 'dry mud' islands leaving the gold microstructure inside the islands intact. Patterning metallic thin films directly onto elastomeric substrates opens a promising route for microelectrodes and interconnects for soft and ultra-compliant MEMS and electronic devices.

  16. 42 CFR 84.117 - Gas mask containers; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Gas mask containers; minimum requirements. 84.117... SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF RESPIRATORY PROTECTIVE DEVICES Gas Masks § 84.117 Gas mask containers; minimum requirements. (a) Gas masks shall be equipped with a substantial...

  17. Simple and cost-effective fabrication of size-tunable zinc oxide architectures by multiple size reduction technique

    Directory of Open Access Journals (Sweden)

    Hyeong-Ho Park, Xin Zhang, Seon-Yong Hwang, Sang Hyun Jung, Semin Kang, Hyun-Beom Shin, Ho Kwan Kang, Hyung-Ho Park, Ross H Hill and Chul Ki Ko

    2012-01-01

    Full Text Available We present a simple size reduction technique for fabricating 400 nm zinc oxide (ZnO architectures using a silicon master containing only microscale architectures. In this approach, the overall fabrication, from the master to the molds and the final ZnO architectures, features cost-effective UV photolithography, instead of electron beam lithography or deep-UV photolithography. A photosensitive Zn-containing sol–gel precursor was used to imprint architectures by direct UV-assisted nanoimprint lithography (UV-NIL. The resulting Zn-containing architectures were then converted to ZnO architectures with reduced feature sizes by thermal annealing at 400 °C for 1 h. The imprinted and annealed ZnO architectures were also used as new masters for the size reduction technique. ZnO pillars of 400 nm diameter were obtained from a silicon master with pillars of 1000 nm diameter by simply repeating the size reduction technique. The photosensitivity and contrast of the Zn-containing precursor were measured as 6.5 J cm−2 and 16.5, respectively. Interesting complex ZnO patterns, with both microscale pillars and nanoscale holes, were demonstrated by the combination of dose-controlled UV exposure and a two-step UV-NIL.

  18. Simple and cost-effective fabrication of size-tunable zinc oxide architectures by multiple size reduction technique

    International Nuclear Information System (INIS)

    Park, Hyeong-Ho; Hwang, Seon-Yong; Jung, Sang Hyun; Kang, Semin; Shin, Hyun-Beom; Kang, Ho Kwan; Ko, Chul Ki; Zhang Xin; Hill, Ross H; Park, Hyung-Ho

    2012-01-01

    We present a simple size reduction technique for fabricating 400 nm zinc oxide (ZnO) architectures using a silicon master containing only microscale architectures. In this approach, the overall fabrication, from the master to the molds and the final ZnO architectures, features cost-effective UV photolithography, instead of electron beam lithography or deep-UV photolithography. A photosensitive Zn-containing sol–gel precursor was used to imprint architectures by direct UV-assisted nanoimprint lithography (UV-NIL). The resulting Zn-containing architectures were then converted to ZnO architectures with reduced feature sizes by thermal annealing at 400 °C for 1 h. The imprinted and annealed ZnO architectures were also used as new masters for the size reduction technique. ZnO pillars of 400 nm diameter were obtained from a silicon master with pillars of 1000 nm diameter by simply repeating the size reduction technique. The photosensitivity and contrast of the Zn-containing precursor were measured as 6.5 J cm −2 and 16.5, respectively. Interesting complex ZnO patterns, with both microscale pillars and nanoscale holes, were demonstrated by the combination of dose-controlled UV exposure and a two-step UV-NIL.

  19. Simulation based mask defect repair verification and disposition

    Science.gov (United States)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  20. A chronometric exploration of high-resolution 'sensitive TMS masking' effects on subjective and objective measures of vision.

    Science.gov (United States)

    de Graaf, Tom A; Herring, Jim; Sack, Alexander T

    2011-03-01

    Transcranial magnetic stimulation (TMS) can induce masking by interfering with ongoing neural activity in early visual cortex. Previous work has explored the chronometry of occipital involvement in vision by using single pulses of TMS with high temporal resolution. However, conventionally TMS intensities have been high and the only measure used to evaluate masking was objective in nature. Recent studies have begun to incorporate subjective measures of vision, alongside objective ones. The current study goes beyond previous work in two regards. First, we explored both objective vision (an orientation discrimination task) and subjective vision (a stimulus visibility rating on a four-point scale), across a wide range of time windows with high temporal resolution. Second, we used a very sensitive TMS-masking paradigm: stimulation was at relatively low TMS intensities, with a figure-8 coil, and the small stimulus was difficult to discriminate already at baseline level. We hypothesized that this should increase the effective temporal resolution of our paradigm. Perhaps for this reason, we are able to report a rather interesting masking curve. Within the classical-masking time window, previously reported to encompass broad SOAs anywhere between 60 and 120 ms, we report not one, but at least two dips in objective performance, with no masking in-between. The subjective measure of vision did not mirror this pattern. These preliminary data from our exploratory design suggest that, with sensitive TMS masking, we might be able to reveal visual processes in early visual cortex previously unreported.

  1. Neonatal mannequin comparison of the Upright self-inflating bag and snap-fit mask versus standard resuscitators and masks: leak, applied load and tidal volumes.

    Science.gov (United States)

    Rafferty, Anthony Richard; Johnson, Lucy; Davis, Peter G; Dawson, Jennifer Anne; Thio, Marta; Owen, Louise S

    2017-11-30

    Neonatal mask ventilation is a difficult skill to acquire and maintain. Mask leak is common and can lead to ineffective ventilation. The aim of this study was to determine whether newly available neonatal self-inflating bags and masks could reduce mask leak without additional load being applied to the face. Forty operators delivered 1 min episodes of mask ventilation to a mannequin using the Laerdal Upright Resuscitator, a standard Laerdal infant resuscitator (Laerdal Medical) and a T-Piece Resuscitator (Neopuff), using both the Laerdal snap-fit face mask and the standard Laerdal size 0/1 face mask (equivalent sizes). Participants were asked to use pressure sufficient to achieve 'appropriate' chest rise. Leak, applied load, airway pressure and tidal volume were measured continuously. Participants were unaware that load was being recorded. There was no difference in mask leak between resuscitation devices. Leak was significantly lower when the snap-fit mask was used with all resuscitation devices, compared with the standard mask (14% vs 37% leak, Pmask was preferred by 83% of participants. The device-mask combinations had no significant effect on applied load. The Laerdal Upright Resuscitator resulted in similar leak to the other resuscitation devices studied, and did not exert additional load to the face and head. The snap-fit mask significantly reduced overall leak with all resuscitation devices and was the mask preferred by participants. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  2. Single Chip EUV, VUV and Deep UV Photodetector System with Integrated Amplifier, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — We here propose the development and fabrication of an integrated sensor device capable of detecting across a wide band of UV radiation, from extreme UV (1 to 50 nm)...

  3. Analysis and test of laws for backward (metacontrast) masking

    NARCIS (Netherlands)

    Francis, G.; Rothmayer, M.; Hermens, F.

    2004-01-01

    In backward visual masking, it is common to find that the mask has its biggest effect when it follows the target by several tens of milliseconds. Research in the 1960s and 1970s suggested that masking effects were best characterized by the stimulus onset asynchrony (SOA) between the target and mask.

  4. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  5. Individual differences in metacontrast masking regarding sensitivity and response bias.

    Science.gov (United States)

    Albrecht, Thorsten; Mattler, Uwe

    2012-09-01

    In metacontrast masking target visibility is modulated by the time until a masking stimulus appears. The effect of this temporal delay differs across participants in such a way that individual human observers' performance shows distinguishable types of masking functions which remain largely unchanged for months. Here we examined whether individual differences in masking functions depend on different response criteria in addition to differences in discrimination sensitivity. To this end we reanalyzed previously published data and conducted a new experiment for further data analyses. Our analyses demonstrate that a distinction of masking functions based on the type of masking stimulus is superior to a distinction based on the target-mask congruency. Individually different masking functions are based on individual differences in discrimination sensitivities and in response criteria. Results suggest that individual differences in metacontrast masking result from individually different criterion contents. Copyright © 2012 Elsevier Inc. All rights reserved.

  6. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  7. Massively-parallel FDTD simulations to address mask electromagnetic effects in hyper-NA immersion lithography

    Science.gov (United States)

    Tirapu Azpiroz, Jaione; Burr, Geoffrey W.; Rosenbluth, Alan E.; Hibbs, Michael

    2008-03-01

    In the Hyper-NA immersion lithography regime, the electromagnetic response of the reticle is known to deviate in a complicated manner from the idealized Thin-Mask-like behavior. Already, this is driving certain RET choices, such as the use of polarized illumination and the customization of reticle film stacks. Unfortunately, full 3-D electromagnetic mask simulations are computationally intensive. And while OPC-compatible mask electromagnetic field (EMF) models can offer a reasonable tradeoff between speed and accuracy for full-chip OPC applications, full understanding of these complex physical effects demands higher accuracy. Our paper describes recent advances in leveraging High Performance Computing as a critical step towards lithographic modeling of the full manufacturing process. In this paper, highly accurate full 3-D electromagnetic simulation of very large mask layouts are conducted in parallel with reasonable turnaround time, using a Blue- Gene/L supercomputer and a Finite-Difference Time-Domain (FDTD) code developed internally within IBM. A 3-D simulation of a large 2-D layout spanning 5μm×5μm at the wafer plane (and thus (20μm×20μm×0.5μm at the mask) results in a simulation with roughly 12.5GB of memory (grid size of 10nm at the mask, single-precision computation, about 30 bytes/grid point). FDTD is flexible and easily parallelizable to enable full simulations of such large layout in approximately an hour using one BlueGene/L "midplane" containing 512 dual-processor nodes with 256MB of memory per processor. Our scaling studies on BlueGene/L demonstrate that simulations up to 100μm × 100μm at the mask can be computed in a few hours. Finally, we will show that the use of a subcell technique permits accurate simulation of features smaller than the grid discretization, thus improving on the tradeoff between computational complexity and simulation accuracy. We demonstrate the correlation of the real and quadrature components that comprise the

  8. An investigation into the efficiency of disposable face masks.

    Science.gov (United States)

    Rogers, K B

    1980-01-01

    Disposable face masks used in hospitals have been assessed for the protection afforded the patient and the wearer by challenges of simulated natural conditions of stress. Operating theatre masks made of synthetic materials allow the wearer to breathe through the masks, and these have been shown to protect the patient well but the wearer slightly less. Cheaper paper masks are worn for ward duties, and of these only the Promask protected in area in front of the wearer: air does not pass through this mask, expired air is prevented from passing forward, and the wearer breathes unfiltered air. All the other paper masks tested allowed many bacteria-laden particles to pass through them. PMID:7440756

  9. Study on wetting properties of periodical nanopatterns by a combinative technique of photolithography and laser interference lithography

    KAUST Repository

    Yang, Yung-Lang

    2010-03-01

    This study presents the wetting properties, including hydrophilicity, hydrophobicity and anisotropic behavior, of water droplets on the silicon wafer surface with periodical nanopatterns and hierarchical structures. This study fabricates one- and two-dimensional periodical nanopatterns using laser interference lithography (LIL). The fabrication of hierarchical structures was effectively achieved by combining photolithography and LIL techniques. Unlike conventional fabrication methods, the LIL technique is mainly used to control the large-area design of periodical nanopatterns in this study. The minimum feature size for each nanopattern is 100 nm. This study shows that the wetting behavior of one-dimensional, two-dimensional, and hierarchical patterns can be obtained, benefiting the development of surface engineering for microfluidic systems. © 2010 Elsevier B.V. All rights reserved.

  10. Face mask ventilation--the dos and don'ts.

    Science.gov (United States)

    Wood, Fiona E; Morley, Colin J

    2013-12-01

    Face mask ventilation provides respiratory support to newly born or sick infants. It is a challenging technique and difficult to ensure that an appropriate tidal volume is delivered because large and variable leaks occur between the mask and face; airway obstruction may also occur. Technique is more important than the mask shape although the size must appropriately fit the face. The essence of the technique is to roll the mask on to the face from the chin while avoiding the eyes, with a finger and thumb apply a strong even downward pressure to the top of the mask, away from the stem and sloped sides or skirt of the mask, place the other fingers under the jaw and apply a similar upward pressure. Preterm infants require continuous end-expiratory pressure to facilitate lung aeration and maintain lung volume. This is best done with a T-piece device, not a self-inflating or flow-inflating bag. Copyright © 2013 Elsevier Ltd. All rights reserved.

  11. SU-E-T-65: A Prospective Trial of Open Face Masks for Head and Neck Radiotherapy

    International Nuclear Information System (INIS)

    Wiant, D; Squire, S; Maurer, J; Liu, H; Hayes, L; Sintay, B

    2015-01-01

    Purpose: Open face head and neck masks allow for active patient monitoring during treatment and may reduced claustrophobia and anxiety compared to closed masks. The ability of open masks to limit intrafraction motion and to preserve the patient shape/position from simulation over protracted treatments should be considered. Methods: Thirty-two head and neck patients were prospectively randomized to treatment in a closed mask or a novel open face mask. All patients received daily volumetric imaging. The daily images were automatically rigidly registered to the planning CT’s offline using a commercial image processing tool. The shifts needed to optimize the registration, the mutual information coefficient (MI), and the Pearson correlation (PC) coefficients were recorded to evaluate shape preservation. The open group was set-up and monitored with surface imaging at treatment. The real time surface imaging information was recorded to evaluate intrafraction motion. Results: Sixteen patients were included in each group. Evaluations were made over a total of 984 fractions. The mean MI and PC showed significantly higher shape preservation for the open group than for the closed group (p = 0). The mean rotations for the open group were smaller or < 0.15° larger versus the closed group. The mean intrafraction motion for the open group was 0.93 +/−0.99 mm (2 SD). The maximum single fraction displacement was 3.2 mm. Fourteen of 16 patients showed no significant correlation of motion with fraction number (p > 0.05). Conclusion: The open masks preserved shape as well as the closed masks, and they limited motion to < 2 mm for 95% of the treated fractions. These results are consistent over treatment courses of up to 35 fractions. The open mask is suitable for treatment with or without active monitoring. This work was partially supported by Qfix

  12. SU-E-T-65: A Prospective Trial of Open Face Masks for Head and Neck Radiotherapy

    Energy Technology Data Exchange (ETDEWEB)

    Wiant, D; Squire, S; Maurer, J; Liu, H; Hayes, L; Sintay, B [Cone Health Cancer Center, Greensboro, NC (United States)

    2015-06-15

    Purpose: Open face head and neck masks allow for active patient monitoring during treatment and may reduced claustrophobia and anxiety compared to closed masks. The ability of open masks to limit intrafraction motion and to preserve the patient shape/position from simulation over protracted treatments should be considered. Methods: Thirty-two head and neck patients were prospectively randomized to treatment in a closed mask or a novel open face mask. All patients received daily volumetric imaging. The daily images were automatically rigidly registered to the planning CT’s offline using a commercial image processing tool. The shifts needed to optimize the registration, the mutual information coefficient (MI), and the Pearson correlation (PC) coefficients were recorded to evaluate shape preservation. The open group was set-up and monitored with surface imaging at treatment. The real time surface imaging information was recorded to evaluate intrafraction motion. Results: Sixteen patients were included in each group. Evaluations were made over a total of 984 fractions. The mean MI and PC showed significantly higher shape preservation for the open group than for the closed group (p = 0). The mean rotations for the open group were smaller or < 0.15° larger versus the closed group. The mean intrafraction motion for the open group was 0.93 +/−0.99 mm (2 SD). The maximum single fraction displacement was 3.2 mm. Fourteen of 16 patients showed no significant correlation of motion with fraction number (p > 0.05). Conclusion: The open masks preserved shape as well as the closed masks, and they limited motion to < 2 mm for 95% of the treated fractions. These results are consistent over treatment courses of up to 35 fractions. The open mask is suitable for treatment with or without active monitoring. This work was partially supported by Qfix.

  13. Continuous improvements of defectivity rates in immersion photolithography via functionalized membranes in point-of-use photochemical filtration

    Science.gov (United States)

    D'Urzo, Lucia; Bayana, Hareen; Vandereyken, Jelle; Foubert, Philippe; Wu, Aiwen; Jaber, Jad; Hamzik, James

    2017-03-01

    Specific "killer-defects", such as micro-line-bridges are one of the key challenges in photolithography's advanced applications, such as multi-pattern. These defects generate from several sources and are very difficult to eliminate. Pointof-use filtration (POU) plays a crucial role on the mitigation, or elimination, of such defects. Previous studies have demonstrated how the contribution of POU filtration could not be studied independently from photoresists design and track hardware settings. Specifically, we investigated how an effective combination of optimized photoresist, filtration rate, filtration pressure, membrane and device cleaning, and single and multilayer filter membranes at optimized pore size could modulate the occurrence of such defects [1, 2, 3 and 4]. However, the ultimate desired behavior for POU filtration is the selective retention of defect precursor molecules contained in commercially available photoresist. This optimal behavior can be achieved via customized membrane functionalization. Membrane functionalization provides additional non-sieving interactions which combined with efficient size exclusion can selectively capture certain defect precursors. The goal of this study is to provide a comprehensive assessment of membrane functionalization applied on an asymmetric ultra-high molecular weight polyethylene (UPE) membrane at different pore size. Defectivity transferred in a 45 nm line 55 nm space (45L/55S) pattern, created through 193 nm immersion (193i) lithography with a positive tone chemically amplified resist (PT-CAR), has been evaluated on organic under-layer coated wafers. Lithography performance, such as critical dimensions (CD), line width roughness (LWR) and focus energy matrix (FEM) is also assessed.

  14. A respiratory mask for resting and exercising dogs.

    Science.gov (United States)

    Stavert, D M; Reischl, P; O'Loughlin, B J

    1982-02-01

    A respiratory face mask has been developed for use with unsedated beagles trained to run on a treadmill. The latex rubber mask, shaped to fit the animal's muzzle, incorporates two modified, commercially available, pulmonary valves for separating inspiratory and expiratory flows. The mask has a dead space of 30 cm3 and a flow resistance below 1 cmH2O . 1(-1) . s. The flexible mask is used to measure breath-by-breath respiratory variables over extended periods of time during rest and exercise.

  15. Communication masking in marine mammals: A review and research strategy.

    Science.gov (United States)

    Erbe, Christine; Reichmuth, Colleen; Cunningham, Kane; Lucke, Klaus; Dooling, Robert

    2016-02-15

    Underwater noise, whether of natural or anthropogenic origin, has the ability to interfere with the way in which marine mammals receive acoustic signals (i.e., for communication, social interaction, foraging, navigation, etc.). This phenomenon, termed auditory masking, has been well studied in humans and terrestrial vertebrates (in particular birds), but less so in marine mammals. Anthropogenic underwater noise seems to be increasing in parts of the world's oceans and concerns about associated bioacoustic effects, including masking, are growing. In this article, we review our understanding of masking in marine mammals, summarise data on marine mammal hearing as they relate to masking (including audiograms, critical ratios, critical bandwidths, and auditory integration times), discuss masking release processes of receivers (including comodulation masking release and spatial release from masking) and anti-masking strategies of signalers (e.g. Lombard effect), and set a research framework for improved assessment of potential masking in marine mammals. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  16. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  17. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  18. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  19. The fastest saccadic responses escape visual masking

    DEFF Research Database (Denmark)

    Crouzet, Sébastien M.; Overgaard, Morten; Busch, Niko A.

    2014-01-01

    Object-substitution masking (OSM) occurs when a briefly presented target in a search array is surrounded by small dots that remain visible after the target disappears. The reduction of target visibility occurring after OSM has been suggested to result from a specific interference with reentrant......, which gives access to very early stages of visual processing, target visibility was reduced either by OSM, conventional backward masking, or low stimulus contrast. A general reduction of performance was observed in all three conditions. However, the fastest saccades did not show any sign of interference...... under either OSM or backward masking, as they did under the low-contrast condition. This finding supports the hypothesis that masking interferes mostly with reentrant processing at later stages, while leaving early feedforward processing largely intact....

  20. New method of contour-based mask-shape compiler

    Science.gov (United States)

    Matsuoka, Ryoichi; Sugiyama, Akiyuki; Onizawa, Akira; Sato, Hidetoshi; Toyoda, Yasutaka

    2007-10-01

    We have developed a new method of accurately profiling a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, it is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method for a DFM solution in which two dimensional data are extracted for an error free practical simulation by precise reproduction of a real mask shape in addition to the mask data simulation. The flow centering around the design data is fully automated and provides an environment where optimization and verification for fully automated model calibration with much less error is available. It also allows complete consolidation of input and output functions with an EDA system by constructing a design data oriented system structure. This method therefore is regarded as a strategic DFM approach in the semiconductor metrology.

  1. Reductone effect on UV-irradiated starved E. coli cells

    International Nuclear Information System (INIS)

    Felzenszwalb, I.; Gomes, R.A.

    1982-01-01

    A starvation-induced resistence enhancement (SIRE) to UV and reductone treatments was observed in repair-profient E. coli cells. The UV-reductone positive interaction, which is possibly related to excision repair mechanisms, was not modified by prestarvation when all cells in culture had completed their round of DNA replication. In irradiated prestarved reductone-treated cells, a decrease in the DNA degradation rate was detected after the removal of reductone and the induction of a lower number of DNA single-strand breaks. The induction kinectics of DNA single-strand breaks in prestarved UV-irradiated and the repair kinetics of these lesions are slower than in non-starved cells. The resistance enhancement demonstrated under these conditions could be justified either by the generation of fewer doubles strand breaks during repair or by the possibility of repair of these lesions. (Author) [pt

  2. Mask design and fabrication in coded aperture imaging

    International Nuclear Information System (INIS)

    Shutler, Paul M.E.; Springham, Stuart V.; Talebitaher, Alireza

    2013-01-01

    We introduce the new concept of a row-spaced mask, where a number of blank rows are interposed between every pair of adjacent rows of holes of a conventional cyclic difference set based coded mask. At the cost of a small loss in signal-to-noise ratio, this can substantially reduce the number of holes required to image extended sources, at the same time increasing mask strength uniformly across the aperture, as well as making the mask automatically self-supporting. We also show that the Finger and Prince construction can be used to wrap any cyclic difference set onto a two-dimensional mask, regardless of the number of its pixels. We use this construction to validate by means of numerical simulations not only the performance of row-spaced masks, but also the pixel padding technique introduced by in ’t Zand. Finally, we provide a computer program CDSGEN.EXE which, on a fast modern computer and for any Singer set of practical size and open fraction, generates the corresponding pattern of holes in seconds

  3. GLEBUS SAINCIUC’S PAPIER-MÂCHÉ PORTRAIT MASKS

    Directory of Open Access Journals (Sweden)

    MARIAN ANA

    2016-12-01

    Full Text Available The portrait masks created by Glebus Sainciuc (1919-2012 portray painters, sculptors, musicians, writers, actors, playwrights,filmmakers etc., and they are a proof of the fact that the individual contribution of these persons to the artistic development of our country has not been forgotten. Morphologically, the papier-mâché portrait masks of master Glebus Sainciuc are situated at the limit of painting, graphic drawing and sculpture; the masks were created by the master using the papier-mâché techniques. We should mention that the author’s predilection for the fauvist and naïve techniques in portrait representation, together with the style of his caricatures and small size drawings, infl uenced the caricatures style of his masks. The first portrait masks were created by Glebus Sainciuc in 1957, and by the end of his artistic activity his collection consisted of 350 masks – all depicting different persons, but all sharing the same portrait techniques and showing the inner charm of these persons.

  4. Comparison of Cloud Detection Using the CERES-MODIS Ed4 and LaRC AVHRR Cloud Masks and CALIPSO Vertical Feature Mask

    Science.gov (United States)

    Trepte, Q. Z.; Minnis, P.; Palikonda, R.; Bedka, K. M.; Sun-Mack, S.

    2011-12-01

    Accurate detection of cloud amount and distribution using satellite observations is crucial in determining cloud radiative forcing and earth energy budget. The CERES-MODIS (CM) Edition 4 cloud mask is a global cloud detection algorithm for application to Terra and Aqua MODIS data with the aid of other ancillary data sets. It is used operationally for the NASA's Cloud and Earth's Radiant Energy System (CERES) project. The LaRC AVHRR cloud mask, which uses only five spectral channels, is based on a subset of the CM cloud mask which employs twelve MODIS channels. The LaRC mask is applied to AVHRR data for the NOAA Climate Data Record Program. Comparisons among the CM Ed4, and LaRC AVHRR cloud masks and the CALIPSO Vertical Feature Mask (VFM) constitute a powerful means for validating and improving cloud detection globally. They also help us understand the strengths and limitations of the various cloud retrievals which use either active and passive satellite sensors. In this paper, individual comparisons will be presented for different types of clouds over various surfaces, including daytime and nighttime, and polar and non-polar regions. Additionally, the statistics of the global, regional, and zonal cloud occurrence and amount from the CERES Ed4, AVHRR cloud masks and CALIPSO VFM will be discussed.

  5. Tunable Direct Writing of FBGs into a Non-Photosensitive Tm-Doped Fiber Core with an fs Laser and Phase Mask

    International Nuclear Information System (INIS)

    Cheng-Wei, Song; Yang, Wang; Yun-Jun, Zhang; You-Lun, Ju

    2009-01-01

    Fiber Bragg gratings (FBGs) are successfully written in a non-photosensitive Tm-doped single-mode fiber by a 800 nm fs laser and a 2.7 μm period phase mask. The intra-core FBGs are written using the phase mask ±1 order interference, and have a period of 1.35 μm, which responds to the second-order reflective central wavelength at 1946.4 nm. Based on the magnification tuning writing technology, the tunable writing technology is also experimentally investigated. The distance between the phase mask and the fiber, between the phase mask and the tuning lens, and the focal length of the tuning lens all have an influence on the tunable characteristics. Four different FBGs tuning refiective central wavelengths located at 1958.7 nm, 1970.8 nm, 1882.5 nm and 1899.7 nm are obtained

  6. Polymer Masks for nanostructuring of graphene

    DEFF Research Database (Denmark)

    Shvets, Violetta

    This PhD project is a part of Center for Nanostructured Graphene (CNG) activities. The aim of the project is to develop a new lithography method for creation of highly ordered nanostructures with as small as possible feature and period sizes. The method should be applicable for graphene nanostruc...... demonstrated the opening of what could be interpreted as a band gap....... polymer masks is developed. Mask fabrication is realized by microtoming of 30-60 nm thin sections from pre-aligned polymer monoliths with different morphologies. The resulting polymer masks are then transferred to both silicon and graphene substrates. Hexagonally packed hole patterns with 10 nm hole...

  7. CUVE - Cubesat UV Experiment: Unveil Venus' UV Absorber with Cubesat UV Mapping Spectrometer

    Science.gov (United States)

    Cottini, V.; Aslam, S.; D'Aversa, E.; Glaze, L.; Gorius, N.; Hewagama, T.; Ignatiev, N.; Piccioni, G.

    2017-09-01

    Our Venus mission concept Cubesat UV Experiment (CUVE) is one of ten proposals selected for funding by the NASA PSDS3 Program - Planetary Science Deep Space SmallSat Studies. CUVE concept is to insert a CubeSat spacecraft into a Venusian orbit and perform remote sensing of the UV spectral region using a high spectral resolution point spectrometer to resolve UV molecular bands, observe nightglow, and characterize the unidentified main UV absorber. The UV spectrometer is complemented by an imaging UV camera with multiple bands in the UV absorber main band range for contextual imaging. CUVE Science Objectives are: the nature of the "Unknown" UV-absorber; the abundances and distributions of SO2 and SO at and above Venus's cloud tops and their correlation with the UV absorber; the atmospheric dynamics at the cloud tops, structure of upper clouds and wind measurements from cloud-tracking; the nightglow emissions: NO, CO, O2. This mission will therefore be an excellent platform to study Venus' cloud top atmospheric properties where the UV absorption drives the planet's energy balance. CUVE would complement past, current and future Venus missions with conventional spacecraft, and address critical science questions cost effectively.

  8. Conceptual Masking: How One Picture Captures Attention from Another Picture.

    Science.gov (United States)

    Loftus, Geoffrey R.; And Others

    1988-01-01

    Five experiments studied operations of conceptual masking--the reduction of conceptual memory performance for an initial stimulus when it is followed by a masking picture process. The subjects were 337 undergraduates at the University of Washington (Seattle). Conceptual masking is distinguished from perceptual masking. (TJH)

  9. 1995 mask industry quality assessment

    Science.gov (United States)

    Bishop, Chris; Strott, Al

    1995-12-01

    The third annual mask industry assessment will again survey various industry companies for key performance measurements in the areas of quality and delivery. This year's assessment is enhanced to include the area of safety and further breakdown of the data into 5-inch vs. 6- inch. The data compiled includes shipments, customer return rate, customer return reason, performance to schedule, plate survival yield, and throughput time (TPT) from 1988 through Q2, 1995. Contributor identities remain protected by utilizing Arthur Andersen & Company to ensure participant confidentiality. Participation in the past included representation of over 75% of the total merchant and captive mask volume in the United States. This year's assessment is expected to result in expanded participation by again inviting all mask suppliers domestically to participate as well as an impact from inviting international suppliers to participate.

  10. Endogenous cueing attenuates object substitution masking.

    Science.gov (United States)

    Germeys, Filip; Pomianowska, I; De Graef, P; Zaenen, P; Verfaillie, K

    2010-07-01

    Object substitution masking (OSM) is a form of visual masking in which a briefly presented target surrounded by four small dots is masked by the continuing presence of the four dots after target offset. A major parameter in the prediction of OSM is the time required for attention to be directed to the target following its onset. Object substitution theory (Di Lollo et al. in J Exp Psychol Gen 129:481-507, 2000) predicts that the sooner attention can be focused at the target's location, the less masking will ensue. However, recently Luiga and Bachmann (Psychol Res 71:634-640, 2007) presented evidence that precueing of attention to the target location prior to target-plus-mask onset by means of a central (endogenous) arrow cue does not reduce OSM. When attention was cued exogenously, OSM was attenuated. Based on these results, Luiga and Bachmann argued that object substitution theory should be adapted by differentiating the ways of directing attention to the target location. The goal of the present study was to further examine the dissociation between the effects of endogenous and exogenous precueing on OSM. Contrary to Luiga and Bachmann, our results show that prior shifts of attention to the target location initiated by both exogenous and endogenous cues reduce OSM as predicted by object substitution theory and its computational model CMOS.

  11. A novel anti-influenza copper oxide containing respiratory face mask.

    Science.gov (United States)

    Borkow, Gadi; Zhou, Steve S; Page, Tom; Gabbay, Jeffrey

    2010-06-25

    Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10)TCID(50) of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were masks 5.03+/-0.54 log(10)TCID(50). The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks may significantly reduce the risk of hand or environmental contamination, and thereby subsequent infection, due to improper handling and disposal of the masks.

  12. Development of movable mask system to cope with high beam current

    International Nuclear Information System (INIS)

    Suetsugu, Y.; Shibata, K.; Sanami, T.; Kageyama, T.; Takeuchi, Y.

    2003-01-01

    The KEK B factory (KEKB), a high current electron-positron collider, has a movable mask (or collimator) system to reduce the background noise in the BELLE detector coming from spent particles. The early movable masks, however, had severe problems of heating, arcing, and vacuum leaks over the stored beam current of several hundred mA. The cause is intense trapped higher order modes (HOMs) excited at the mask head, where the cross section of the beam chamber changed drastically. The mask head, made of copper-tungsten alloy or pure copper, was frequently damaged by hitting of the high energy beam at the same time. Since the problems of the mask were revealed, several kinds of improved masks have been designed employing rf technologies in dealing with the HOM and installed to the ring step by step. Much progress has come from adopting a trapped-mode free structure, where the mask was a bent chamber itself. Recently the further improved mask with a reduced HOM design or HOM dampers was developed to suppress the heating of vacuum components near the mask due to the HOM traveling from the mask. To avoid damage to the mask head, on the other hand, a titanium mask head was tried. The latest masks are working as expected now at the stored beam current of 1.5 A. Presented are the problems and experiences on the movable mask system for the KEKB, which are characteristic of and common in a high intensity accelerator

  13. Self-masking subtraction tomosynthesis

    International Nuclear Information System (INIS)

    Chakraborty, D.P.; Yester, M.V.; Barnes, G.T.; Lakshminarayanan, A.V.

    1984-01-01

    The authors tested the image quality and dose savings of self-masking subtraction tomosynthesis (SST), which combines digital tomosynthesis with subtraction of a blurred self-mask. High-quality images of the inner ear of a head phantom were obtained at moderate dose savings. Although they were taken with linear motion, they did not exhibit the streaking due to off-fulcrum objects that is characteristic of conventional linear tomography. SST could reduce patient dose by a factor of at least 12 in examinations of the inner ear, and the mechanical aspects can be implemented with moderate modifications of existing instrumentation

  14. Region-selective electroless gold plating on polycarbonate sheets by UV-patterning in combination with silver activating

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Qinghua [Institute of Microanalytical Systems, Department of Chemistry, Zhejiang University, Zijin' gang Campus, Hangzhou 310058 (China); Chen Hengwu, E-mail: hwchen@zju.edu.c [Institute of Microanalytical Systems, Department of Chemistry, Zhejiang University, Zijin' gang Campus, Hangzhou 310058 (China); Wang Yi [Institute of Microanalytical Systems, Department of Chemistry, Zhejiang University, Zijin' gang Campus, Hangzhou 310058 (China)

    2010-02-28

    A simple, time- and cost-effective approach for region-selective metalization of polycarbonate (PC) surface has been established by combining photoresist-free UV-patterning with tin- and amine-free silver activating and electroless gold plating. The surface of PC sheets was exposed to the UV lights emitted from a low-pressure mercury lamp through a photomask, the micro pattern on the mask being transferred to the PC surface due to the photochemical generation of carboxyl groups on the UV-exposed region. The UV-exposed PC sheets were then treated with an ammoniacal AgNO{sub 3} solution, so that the silver ions were chemisorbed by the photochemically generated carboxyl groups. When the Ag{sup +}-adsorbed PC sheet was immersed into an electroless gold plating bath, shiny gold film quickly deposited on the UV-exposed region, resulting in the formation of a micro gold devices on the PC surface. The whole plating process including UV-exposure, surface activating and gold plating can be completed in about 3-4 h. Attenuated total reflection Fourier transformation infrared spectrometer (ATR-FT-IR), X-ray photoelectron spectroscopy (XPS), atomic force microscope (AFM) and scanning electron microscope (SEM) were employed to trace the surface change during the plating process. Cyclic voltammetry (CV) and Scotch-tape test were employed to characterize the electrochemical properties and adhesion strength of the prepared micro gold devices, respectively. The prepared micro gold electrodes were demonstrated for amperometric detection of hydrogen peroxide.

  15. High-performance UV detector made of ultra-long ZnO bridging nanowires

    International Nuclear Information System (INIS)

    Li Yanbo; Della Valle, Florent; Simonnet, Mathieu; Yamada, Ichiro; Delaunay, Jean-Jacques

    2009-01-01

    A nanowatt UV photoconductive detector made up of ultra-long (∼100 μm) ZnO bridging nanowires has been fabricated by a single-step chemical vapor deposition (CVD) process. The electrodes, forming comb-shaped thick ZnO layers, and the sensing elements, consisting of ZnO nanowires bridging the electrodes, were fabricated simultaneously in a single-step CVD process. The device showed drastic changes (10-10 5 times) in current under a wide range of UV irradiances (10 -8 -10 -2 W cm -2 ). Moreover, the detector exhibited fast response (rise and decay times of the order of 1 s) to UV illumination in air, but no response to visible light (hν<3.2 eV). Our approach provides a simple and cost-effective way to fabricate high-performance 'visible-blind' UV detectors.

  16. Three-dimensional rearrangement of single atoms using actively controlled optical microtraps.

    Science.gov (United States)

    Lee, Woojun; Kim, Hyosub; Ahn, Jaewook

    2016-05-02

    We propose and demonstrate three-dimensional rearrangements of single atoms. In experiments performed with single 87Rb atoms in optical microtraps actively controlled by a spatial light modulator, we demonstrate various dynamic rearrangements of up to N = 9 atoms including rotation, 2D vacancy filling, guiding, compactification, and 3D shuffling. With the capability of a phase-only Fourier mask to generate arbitrary shapes of the holographic microtraps, it was possible to place single atoms at arbitrary geometries of a few μm size and even continuously reconfigure them by conveying each atom. For this purpose, we loaded a series of computer-generated phase masks in the full frame rate of 60 Hz of the spatial light modulator, so the animation of phase mask transformed the holographic microtraps in real time, driving each atom along the assigned trajectory. Possible applications of this method of transformation of single atoms include preparation of scalable quantum platforms for quantum computation, quantum simulation, and quantum many-body physics.

  17. Evaluation of Criteria to Detect Masked Hypertension

    Science.gov (United States)

    Booth, John N.; Muntner, Paul; Diaz, Keith M.; Viera, Anthony J.; Bello, Natalie A.; Schwartz, Joseph E.; Shimbo, Daichi

    2016-01-01

    The prevalence of masked hypertension, out-of-clinic daytime systolic/diastolic blood pressure (SBP/DBP)≥135/85 mmHg on ambulatory blood pressure monitoring (ABPM) among adults with clinic SBP/DBPABPM testing criterion. In a derivation cohort (n=695), the index was clinic SBP+1.3*clinic DBP. In an external validation cohort (n=675), the sensitivity for masked hypertension using an index ≥190 mmHg and ≥217 mmHg and prehypertension status was 98.5%, 71.5% and 82.5%, respectively. Using NHANES data (n=11,778), we estimated that these thresholds would refer 118.6, 44.4 and 59.3 million US adults, respectively, to ABPM screening for masked hypertension. In conclusion, the CBP index provides a useful approach to identify candidates for masked hypertension screening using ABPM. PMID:27126770

  18. Reusable High Aspect Ratio 3-D Nickel Shadow Mask

    Science.gov (United States)

    Shandhi, M.M.H.; Leber, M.; Hogan, A.; Warren, D.J.; Bhandari, R.; Negi, S.

    2017-01-01

    Shadow Mask technology has been used over the years for resistless patterning and to pattern on unconventional surfaces, fragile substrate and biomaterial. In this work, we are presenting a novel method to fabricate high aspect ratio (15:1) three-dimensional (3D) Nickel (Ni) shadow mask with vertical pattern length and width of 1.2 mm and 40 μm respectively. The Ni shadow mask is 1.5 mm tall and 100 μm wide at the base. The aspect ratio of the shadow mask is 15. Ni shadow mask is mechanically robust and hence easy to handle. It is also reusable and used to pattern the sidewalls of unconventional and complex 3D geometries such as microneedles or neural electrodes (such as the Utah array). The standard Utah array has 100 active sites at the tip of the shaft. Using the proposed high aspect ratio Ni shadow mask, the Utah array can accommodate 300 active sites, 200 of which will be along and around the shaft. The robust Ni shadow mask is fabricated using laser patterning and electroplating techniques. The use of Ni 3D shadow mask will lower the fabrication cost, complexity and time for patterning out-of-plane structures. PMID:29056835

  19. [Patients' reaction to pharmacists wearing a mask during their consultations].

    Science.gov (United States)

    Tamura, Eri; Kishimoto, Keiko; Fukushima, Noriko

    2013-01-01

      This study sought to determine the effect of pharmacists wearing a mask on the consultation intention of patients who do not have a trusting relationship with the pharmacists. We conducted a questionnaire survey of customers at a Tokyo drugstore in August 2012. Subjects answered a questionnaire after watching two medical teaching videos, one in which the pharmacist was wearing a mask and the other in which the pharmacist was not wearing a mask. Data analysis was performed using a paired t-test and multiple logistic regression. The paired t-test revealed a significant difference in 'Maintenance Problem' between the two pharmacist situations. After excluding factors not associated with wearing a mask, multiple logistic regression analysis identified three independent variables with a significant effect on participants not wanting to consult with a pharmacist wearing a mask. Positive factors were 'active-inactive' and 'frequency mask use', a negative factor was 'age'. Our study has shown that pharmacists wearing a mask may be a factor that prevents patients from consulting with pharmacist. Those patients whose intention to consult might be affected by the pharmacists wearing a mask tended to be younger, to have no habit of wearing masks preventively themselves, and to form a negative opinion of such pharmacists. Therefore, it was estimated that pharmacists who wear masks need to provide medical education by asking questions more positively than when they do not wear a mask in order to prevent the patient worrying about oneself.

  20. Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    Science.gov (United States)

    Newman, Kevin Edward; Belikov, Ruslan; Guyon, Olivier; Balasubramanian, Kunjithapatham; Wilson, Dan

    2013-01-01

    Recent advances in coronagraph technologies for exoplanet imaging have achieved contrasts close to 1e10 at 4 lambda/D and 1e-9 at 2 lambda/D in monochromatic light. A remaining technological challenge is to achieve high contrast in broadband light; a challenge that is largely limited by chromaticity of the focal plane mask. The size of a star image scales linearly with wavelength. Focal plane masks are typically the same size at all wavelengths, and must be sized for the longest wavelength in the observational band to avoid starlight leakage. However, this oversized mask blocks useful discovery space from the shorter wavelengths. We present here the design, development, and testing of an achromatic focal plane mask based on the concept of optical filtering by a diffractive optical element (DOE). The mask consists of an array of DOE cells, the combination of which functions as a wavelength filter with any desired amplitude and phase transmission. The effective size of the mask scales nearly linearly with wavelength, and allows significant improvement in the inner working angle of the coronagraph at shorter wavelengths. The design is applicable to almost any coronagraph configuration, and enables operation in a wider band of wavelengths than would otherwise be possible. We include initial results from a laboratory demonstration of the mask with the Phase Induced Amplitude Apodization coronagraph.

  1. The effect of masking in the attentional dwell time paradigm

    DEFF Research Database (Denmark)

    Petersen, Anders

    2009-01-01

    , 1994). In most studies of attentional dwell time, two masked targets have been used. Moore et al. (1996) have criticised the masking of the first target when measuring the attentional dwell time, finding a shorter attentional dwell time when the first mask was omitted. In the presented work, the effect...... of the first mask is further investigated by including a condition where the first mask is presented without a target. The results from individual subjects show that the findings of Moore et al. can be replicated. The results also suggest that presenting the first mask without a target is enough to produce...... an impairment of the second target. Hence, the attentional dwell time may be a combined effect arising from attending to both the first target and its mask....

  2. Quality of patient positioning during cerebral tomotherapy irradiation using different mask systems

    Energy Technology Data Exchange (ETDEWEB)

    Leitzen, C.; Wilhelm-Buchstab, T.; Garbe, S.; Luetter, C.; Muedder, T.; Simon, B.; Schild, H.H.; Schueller, H. [Universitaetsklinik Bonn, Radiologische Klinik, FE Strahlentherapie, Bonn (Germany)

    2014-04-15

    Patient immobilization during brain tumor radiotherapy is achieved by employing different mask systems. Two innovative mask systems were developed to minimize the problems of claustrophobic patients. Our aim was to evaluate whether the quality of patient immobilization using the new mask systems was equivalent to the standard mask system currently in use. Thirty-three patients with cerebral target volumes were irradiated using the Hi-Art II tomotherapy system between 2010 and 2012. Each group of 11 patients was fitted with one of the two new mask systems (Crystal {sup registered} or Open Face {sup registered} mask, Orfit) or the standard three-point mask (Raycast {sup registered} -HP, Orfit) and a total of 557 radiotherapy fractions were evaluated. After positioning was checked by MV-CT, the necessary table adjustments were noted. Data were analyzed by comparing the groups, and safety margins were calculated for nonimage-guided irradiation. The mean values of the table adjustments were: (a) lateral (mm): -0.22 (mask 1, standard deviation (σ): 2.15); 1.1 (mask 2, σ: 2.4); -0.64 (mask 3, σ: 2.9); (b) longitudinal (mm): -1 (mask 1, σ: 2.57); -0.5 (mask 2, σ: 4.7); -1.22 (mask 3, σ: 2.52); (c) vertical (mm): 0.62 (mask 1, σ: 0.63); 1.2 (mask 2, σ: 1.0); 0.57 (mask 3, σ: 0.28); (d) roll: 0.35 (mask 1, σ: 0.75); 0 (mask 2, σ: 0.8); 0.02 (mask 3, σ: 1.12). The outcomes suggest necessary safety margins of 5.49-7.38 mm (lateral), 5.4-6.56 mm (longitudinal), 0.82-3.9 mm (vertical), and 1.93-4.5 (roll). There were no significant differences between the groups. The new mask systems improve patient comfort while providing consistent patient positioning. (orig.)

  3. Quality of patient positioning during cerebral tomotherapy irradiation using different mask systems

    International Nuclear Information System (INIS)

    Leitzen, C.; Wilhelm-Buchstab, T.; Garbe, S.; Luetter, C.; Muedder, T.; Simon, B.; Schild, H.H.; Schueller, H.

    2014-01-01

    Patient immobilization during brain tumor radiotherapy is achieved by employing different mask systems. Two innovative mask systems were developed to minimize the problems of claustrophobic patients. Our aim was to evaluate whether the quality of patient immobilization using the new mask systems was equivalent to the standard mask system currently in use. Thirty-three patients with cerebral target volumes were irradiated using the Hi-Art II tomotherapy system between 2010 and 2012. Each group of 11 patients was fitted with one of the two new mask systems (Crystal registered or Open Face registered mask, Orfit) or the standard three-point mask (Raycast registered -HP, Orfit) and a total of 557 radiotherapy fractions were evaluated. After positioning was checked by MV-CT, the necessary table adjustments were noted. Data were analyzed by comparing the groups, and safety margins were calculated for nonimage-guided irradiation. The mean values of the table adjustments were: (a) lateral (mm): -0.22 (mask 1, standard deviation (σ): 2.15); 1.1 (mask 2, σ: 2.4); -0.64 (mask 3, σ: 2.9); (b) longitudinal (mm): -1 (mask 1, σ: 2.57); -0.5 (mask 2, σ: 4.7); -1.22 (mask 3, σ: 2.52); (c) vertical (mm): 0.62 (mask 1, σ: 0.63); 1.2 (mask 2, σ: 1.0); 0.57 (mask 3, σ: 0.28); (d) roll: 0.35 (mask 1, σ: 0.75); 0 (mask 2, σ: 0.8); 0.02 (mask 3, σ: 1.12). The outcomes suggest necessary safety margins of 5.49-7.38 mm (lateral), 5.4-6.56 mm (longitudinal), 0.82-3.9 mm (vertical), and 1.93-4.5 (roll). There were no significant differences between the groups. The new mask systems improve patient comfort while providing consistent patient positioning. (orig.)

  4. Causal binary mask estimation for speech enhancement using sparsity constraints

    DEFF Research Database (Denmark)

    Kressner, Abigail Anne; Anderson, David V.; Rozell, Christopher J.

    2013-01-01

    and interferer signals to preserve only the time-frequency regions that are target-dominated. Single-channel noise suppression algorithms trying to approximate the IBM using locally estimated signal-to-noise ratios without oracle knowledge have had limited success. Thought of in another way, the IBM exploits...... algorithm from the signal processing literature. However, the algorithm employs a non-causal estimator. The present work introduces an improved de-noising algorithm that uses more realistic frame-based (causal) computations to estimate a binary mask....

  5. Study on DNA damages induced by UV radiation

    International Nuclear Information System (INIS)

    Doan Hong Van; Dinh Ba Tuan; Tran Tuan Anh; Nguyen Thuy Ngan; Ta Bich Thuan; Vo Thi Thuong Lan; Tran Minh Quynh; Nguyen Thi Thom

    2015-01-01

    DNA damages in Escherichia coli (E. coli) exposed to UV radiation have been investigated. After 30 min of exposure to UV radiation of 5 mJ/cm"2, the growth of E. coli in LB broth medium was about only 10% in compared with non-irradiated one. This results suggested that the UV radiation caused the damages for E. coli genome resulted in reduction in its growth and survival, and those lesions can be somewhat recovered. For both solutions of plasmid DNAs and E. coli cells containing plasmid DNA, this dose also caused the breakage on single and double strands of DNA, shifted the morphology of DNA plasmid from supercoiled to circular and linear forms. The formation of pyrimidine dimers upon UV radiation significantly reduced when the DNA was irradiated in the presence of Ganoderma lucidum extract. Thus, studies on UV-induced DNA damage at molecular level are very essential to determine the UV radiation doses corresponding to the DNA damages, especially for creation and selection of useful radiation-induced mutants, as well as elucidation the protective effects of the specific compounds against UV light. (author)

  6. Counteracting Power Analysis Attacks by Masking

    Science.gov (United States)

    Oswald, Elisabeth; Mangard, Stefan

    The publication of power analysis attacks [12] has triggered a lot of research activities. On the one hand these activities have been dedicated toward the development of secure and efficient countermeasures. On the other hand also new and improved attacks have been developed. In fact, there has been a continuous arms race between designers of countermeasures and attackers. This chapter provides a brief overview of the state-of-the art in the arms race in the context of a countermeasure called masking. Masking is a popular countermeasure that has been extensively discussed in the scientific community. Numerous articles have been published that explain different types of masking and that analyze weaknesses of this countermeasure.

  7. Differential effect of visual masking in perceptual categorization.

    Science.gov (United States)

    Hélie, Sébastien; Cousineau, Denis

    2015-06-01

    This article explores the visual information used to categorize stimuli drawn from a common stimulus space into verbal and nonverbal categories using 2 experiments. Experiment 1 explores the effect of target duration on verbal and nonverbal categorization using backward masking to interrupt visual processing. With categories equated for difficulty for long and short target durations, intermediate target duration shows an advantage for verbal categorization over nonverbal categorization. Experiment 2 tests whether the results of Experiment 1 can be explained by shorter target duration resulting in a smaller signal-to-noise ratio of the categorization stimulus. To test for this possibility, Experiment 2 used integration masking with the same stimuli, categories, and masks as Experiment 1 with a varying level of mask opacity. As predicted, low mask opacity yielded similar results to long target duration while high mask opacity yielded similar results to short target duration. Importantly, intermediate mask opacity produced an advantage for verbal categorization over nonverbal categorization, similar to intermediate target duration. These results suggest that verbal and nonverbal categorization are affected differently by manipulations affecting the signal-to-noise ratio of the stimulus, consistent with multiple-system theories of categorizations. The results further suggest that verbal categorization may be more digital (and more robust to low signal-to-noise ratio) while the information used in nonverbal categorization may be more analog (and less robust to lower signal-to-noise ratio). This article concludes with a discussion of how these new results affect the use of masking in perceptual categorization and multiple-system theories of perceptual category learning. (c) 2015 APA, all rights reserved).

  8. Propagation of resist heating mask error to wafer level

    Science.gov (United States)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  9. Physics and technology development of multilayer EUV reflective optics

    NARCIS (Netherlands)

    Louis, Eric

    2012-01-01

    This thesis describes the development of molybdenum/silicon based multilayer reflective elements for the Extreme UV wavelength range, as motivated by their application in photolithography for semiconductor manufacturing. The thesis reflects the basic thin film physics, technological developments,

  10. A conceptual approach to the masking effect of measures of disproportionality.

    Science.gov (United States)

    Maignen, Francois; Hauben, Manfred; Hung, Eric; Holle, Lionel Van; Dogne, Jean-Michel

    2014-02-01

    Masking is a statistical issue by which true signals of disproportionate reporting are hidden by the presence of other products in the database. Masking is currently not perfectly understood. There is no algorithm to identify the potential masking drugs to remove them for subsequent analyses of disproportionality. The primary objective of our study is to develop a mathematical framework for assessing the extent and impact of the masking effect of measures of disproportionality. We have developed a masking ratio that quantifies the masking effect of a given product. We have conducted a simulation study to validate our algorithm. The masking ratio is a measure of the strength of the masking effect whether the analysis is performed at the report or event level, and the manner in which reports are allocated to cells in the contingency table significantly impact the masking mechanisms. The reports containing both the product of interest and the masking product need to be handled appropriately. The proposed algorithm can use simplified masking provided that underlying assumptions (in particular the size of the database) are verified. For any event, the strongest masking effect is associated with the drug with the highest number of records (reports excluding the product of interest). Our study provides significant insights with practical implications for real-world pharmacovigilance that are supported by both real and simulated data. The public health impact of masking is still unknown. Copyright © 2013 John Wiley & Sons, Ltd.

  11. X-ray face mask and chest shield device

    International Nuclear Information System (INIS)

    Moti, S.

    1981-01-01

    A protective face mask is designed to shield an x-ray technician or machine operator primarily from random secondary or scatter x-rays deflected towards his face, head and neck by the table, walls, equipment and other reflecting elements in an x-ray room or chamber. The face mask and chest shield device can be mounted on a patient's shoulders in reverse attitude to protect the back of a patient's head and neck from the x-ray beam. The face mask is relatively or substantially transparent and contains lead in combination with a plastic ionomer or comonomer, which to a degree absorbs or resists penetration of the random deflected secondary or scatter x-rays or the x-ray beam through the mask. The face mask is removably attachable to the chest shield for easy application of the device to and support upon the shoulders of the technician or the patient. (author)

  12. Random mask optimization for fast neutron coded aperture imaging

    Energy Technology Data Exchange (ETDEWEB)

    McMillan, Kyle [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Univ. of California, Los Angeles, CA (United States); Marleau, Peter [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Brubaker, Erik [Sandia National Lab. (SNL-CA), Livermore, CA (United States)

    2015-05-01

    In coded aperture imaging, one of the most important factors determining the quality of reconstructed images is the choice of mask/aperture pattern. In many applications, uniformly redundant arrays (URAs) are widely accepted as the optimal mask pattern. Under ideal conditions, thin and highly opaque masks, URA patterns are mathematically constructed to provide artifact-free reconstruction however, the number of URAs for a chosen number of mask elements is limited and when highly penetrating particles such as fast neutrons and high-energy gamma-rays are being imaged, the optimum is seldom achieved. In this case more robust mask patterns that provide better reconstructed image quality may exist. Through the use of heuristic optimization methods and maximum likelihood expectation maximization (MLEM) image reconstruction, we show that for both point and extended neutron sources a random mask pattern can be optimized to provide better image quality than that of a URA.

  13. Perception of Scary Halloween Masks by Zoo Animals and Humans

    OpenAIRE

    Sinnott, Joan M.; Speaker, H. Anton; Powell, Laura A.; Mosteller, Kelly W.

    2012-01-01

    Zoo animals were tested to see if they perceived the scary nature of Halloween masks, using a procedure that measured the avoidance response latency to take food from a masked human experimenter. Human perception of the masks was also assessed using a rating scale, with results showing that a Bill Clinton mask was rated not scary, while a Vampire mask was rated very scary. Animal results showed that primate latencies correlated significantly with the human ratings, while non-primate latencies...

  14. New method of 2-dimensional metrology using mask contouring

    Science.gov (United States)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  15. Masking interrupts figure-ground signals in V1.

    Science.gov (United States)

    Lamme, Victor A F; Zipser, Karl; Spekreijse, Henk

    2002-10-01

    In a backward masking paradigm, a target stimulus is rapidly (figure-ground segregation can be recorded. Here, we recorded from awake macaque monkeys, engaged in a task where they had to detect figures from background in a pattern backward masking paradigm. We show that the V1 figure-ground signals are selectively and fully suppressed at target-mask intervals that psychophysically result in the target being invisible. Initial response transients, signalling the features that make up the scene, are not affected. As figure-ground modulations depend on feedback from extrastriate areas, these results suggest that masking selectively interrupts the recurrent interactions between V1 and higher visual areas.

  16. Mechanical and thermal modeling of the SCALPEL mask

    International Nuclear Information System (INIS)

    Martin, C. J.; Semke, W. H.; Dicks, G. A.; Engelstad, R. L.; Lovell, E. G.; Liddle, J. A.; Novembre, A. E.

    1999-01-01

    Scattering with angular limitation projection electron-beam lithography (SCALPEL) is being developed by Lucent Technologies for sub-130 nm lithography. The mask fabrication and exposure processes produce mask distortions that result in pattern placement errors. In order to understand these distortions, and determine how to reduce them to levels consistent with the error budget, structural and heat transfer finite element models have been generated to simulate the mechanical and thermal response of the mask. In addition, sensitivity studies of the distortions due to key design parameters that may be used to refine the SCALPEL mask configuration have been conducted. (c) 1999 American Vacuum Society

  17. Improvement of radiographs by means of optical masks

    International Nuclear Information System (INIS)

    Shishov, B.A.; Tereshenko, O.I.; Tyurin, E.I.

    1985-01-01

    High-gradient photographic material improves contrast and detectability of small details. Parts of the radiographs will however tend to be over- or underexposed. The recorded information can be improved by optical masks that modify the light in various parts of the image according to film sensitivity. For screen-film systems an immediate correction of the image by inserted masks results in a better recording of details while the well known detail filtering process improves only the visual detectability of the already recorded information. A special cassette for the generation of masks and a method for the calculation of correction factors for various screen combinations and masks types are described. (author)

  18. Active mask segmentation of fluorescence microscope images.

    Science.gov (United States)

    Srinivasa, Gowri; Fickus, Matthew C; Guo, Yusong; Linstedt, Adam D; Kovacević, Jelena

    2009-08-01

    We propose a new active mask algorithm for the segmentation of fluorescence microscope images of punctate patterns. It combines the (a) flexibility offered by active-contour methods, (b) speed offered by multiresolution methods, (c) smoothing offered by multiscale methods, and (d) statistical modeling offered by region-growing methods into a fast and accurate segmentation tool. The framework moves from the idea of the "contour" to that of "inside and outside," or masks, allowing for easy multidimensional segmentation. It adapts to the topology of the image through the use of multiple masks. The algorithm is almost invariant under initialization, allowing for random initialization, and uses a few easily tunable parameters. Experiments show that the active mask algorithm matches the ground truth well and outperforms the algorithm widely used in fluorescence microscopy, seeded watershed, both qualitatively, as well as quantitatively.

  19. Measurement of width and step-height of photolithographic product patterns by using digital holography

    Energy Technology Data Exchange (ETDEWEB)

    Shin, Ju Yeop; Kang, Sung Hoon; Ma, Hye Joon; Jung, Hyun Chul; Hong, Chung Ki; Kim, Kyeong Suk [Chosun University, Gwangju (Korea, Republic of); Kwon, Ik Hwan [Dept. of Physics, Pohang University of Science and Technology, Pohang (Korea, Republic of); Yang, Seung Pil [Dept. of Ophthalmic Optics, Dong A College of Health, Youngam (Korea, Republic of)

    2016-02-15

    The semiconductor industry is one of the key industries of Korea, which has continued growing at a steady annual growth rate. Important technology for the semiconductor industry is high integration of devices. This is to increase the memory capacity for unit area, of which key is photolithography. The photolithography refers to a technique for printing the shadow of light lit on the mask surface on to wafer, which is the most important process in a semiconductor manufacturing process. In this study, the width and step-height of wafers patterned through this process were measured to ensure uniformity. The widths and inter-plate heights of the specimens patterned using photolithography were measured using transmissive digital holography. A transmissive digital holographic interferometer was configured, and nine arbitrary points were set on the specimens as measured points. The measurement of each point was compared with the measurements performed using a commercial device called scanning electron microscope (SEM) and Alpha Step. Transmission digital holography requires a short measurement time, which is an advantage compared to other techniques. Furthermore, it uses magnification lenses, allowing the flexibility of changing between high and low magnifications. The test results confirmed that transmissive digital holography is a useful technique for measuring patterns printed using photolithography.

  20. Measurement of width and step-height of photolithographic product patterns by using digital holography

    International Nuclear Information System (INIS)

    Shin, Ju Yeop; Kang, Sung Hoon; Ma, Hye Joon; Jung, Hyun Chul; Hong, Chung Ki; Kim, Kyeong Suk; Kwon, Ik Hwan; Yang, Seung Pil

    2016-01-01

    The semiconductor industry is one of the key industries of Korea, which has continued growing at a steady annual growth rate. Important technology for the semiconductor industry is high integration of devices. This is to increase the memory capacity for unit area, of which key is photolithography. The photolithography refers to a technique for printing the shadow of light lit on the mask surface on to wafer, which is the most important process in a semiconductor manufacturing process. In this study, the width and step-height of wafers patterned through this process were measured to ensure uniformity. The widths and inter-plate heights of the specimens patterned using photolithography were measured using transmissive digital holography. A transmissive digital holographic interferometer was configured, and nine arbitrary points were set on the specimens as measured points. The measurement of each point was compared with the measurements performed using a commercial device called scanning electron microscope (SEM) and Alpha Step. Transmission digital holography requires a short measurement time, which is an advantage compared to other techniques. Furthermore, it uses magnification lenses, allowing the flexibility of changing between high and low magnifications. The test results confirmed that transmissive digital holography is a useful technique for measuring patterns printed using photolithography

  1. Dead space variability of face masks for valved holding chambers.

    Science.gov (United States)

    Amirav, Israel; Newhouse, Michael T

    2008-03-01

    Valved holding chambers with masks are commonly used to deliver inhaled medications to young children with asthma. Optimal mask properties such as their dead space volume have received little attention. The smaller the mask the more likely it is that a greater proportion of the dose in the VHC will be inhaled with each breath, thus speeding VHC emptying and improving overall aerosol delivery efficiency and dose. Masks may have different DSV and thus different performance. To compare both physical dead space and functional dead space of different face masks under various applied pressures. The DSV of three commonly used face masks of VHCs was measured by water displacement both under various pressures (to simulate real-life application, dynamic DSV) and under no pressure (static DSV). There was a great variability of both static and dynamic dead space among various face mask for VHCs, which is probably related to their flexibility. Different masks have different DSV characteristics. This variability should be taken into account when comparing the clinical efficacy of various VHCs.

  2. A novel anti-influenza copper oxide containing respiratory face mask.

    Directory of Open Access Journals (Sweden)

    Gadi Borkow

    Full Text Available BACKGROUND: Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. METHODOLOGY/PRINCIPAL FINDINGS: We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10TCID(50 of human influenza A virus (H1N1 and avian influenza virus (H9N2, respectively, under simulated breathing conditions (28.3 L/min. Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (< or = 0.88 log(10TCID(50, while 4.67+/-1.35 log(10TCID(50 were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were < or = 0.97+/-0.01 log(10TCID(50 and from the control masks 5.03+/-0.54 log(10TCID(50. The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. CONCLUSIONS/SIGNIFICANCE: Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical

  3. Adaptation, saturation, and physiological masking in single auditory-nerve fibers.

    Science.gov (United States)

    Smith, R L

    1979-01-01

    Results are reviewed concerning some effects, at a units's characteristic frequency, of a short-term conditioning stimulus on the responses to perstimulatory and poststimulatory test tones. A phenomenological equation is developed from the poststimulatory results and shown to be consistent with the perstimulatory results. According to the results and equation, the response to a test tone equals the unconditioned or unadapted response minus the decrement produced by adaptation to the conditioning tone. Furthermore, the decrement is proportional to the driven response to the conditioning tone and does not depend on sound intensity per se. The equation has a simple interpretation in terms of two processes in cascade--a static saturating nonlinearity followed by additive adaptation. Results are presented to show that this functional model is sufficient to account for the "physiological masking" produced by wide-band backgrounds. According to this interpretation, a sufficiently intense background produces saturation. Consequently, a superimposed test tone cause no change in response. In addition, when the onset of the background precedes the onset of the test tone, the total firing rate is reduced by adaptation. Evidence is reviewed concerning the possible correspondence between the variables in the model and intracellular events in the auditory periphery.

  4. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  5. Negative ion source improvement by introduction of a shutter mask

    International Nuclear Information System (INIS)

    Belchenko, Yu.I.; Oka, Y.; Kaneko, O.; Takeiri, Y.; Tsumori, K.; Osakabe, M.; Ikeda, K.; Asano, E.; Kawamoto, T.

    2004-01-01

    Studies of a multicusp source were recently done at the National Institute for Fusion Science by plasma grid masking. The maximal H - ion yield is ∼1.4 times greater for the shutter mask case than that for the standard source. Negative ion current evolution during the cesium feed to the masked plasma grid evidenced that about 60% of negative ions are produced on the shutter mask surface, while about 30% are formed on the plasma grid emission hole edges, exposed by cesium with the mask open

  6. Airflow-Restricting Mask Reduces Acute Performance in Resistance Exercise

    Directory of Open Access Journals (Sweden)

    Yuri L. Motoyama

    2016-09-01

    Full Text Available Background: The aim of this study was to compare the number of repetitions to volitional failure, the blood lactate concentration, and the perceived exertion to resistance training with and without an airflow-restricting mask. Methods: Eight participants participated in a randomized, counterbalanced, crossover study. Participants were assigned to an airflow-restricting mask group (MASK or a control group (CONT and completed five sets of chest presses and parallel squats until failure at 75% one-repetition-maximum test (1RM with 60 s of rest between sets. Ratings of perceived exertion (RPEs, blood lactate concentrations (Lac−, and total repetitions were taken after the training session. Results: MASK total repetitions were lower than those of the CONT, and (Lac− and MASK RPEs were higher than those of the CONT in both exercises. Conclusions: We conclude that an airflow-restricting mask in combination with resistance training increase perceptions of exertion and decrease muscular performance and lactate concentrations when compared to resistance training without this accessory. This evidence shows that the airflow-restricting mask may change the central nervous system and stop the exercise beforehand to prevent some biological damage.

  7. Neopuff T-piece resuscitator mask ventilation: Does mask leak vary with different peak inspiratory pressures in a manikin model?

    Science.gov (United States)

    Maheshwari, Rajesh; Tracy, Mark; Hinder, Murray; Wright, Audrey

    2017-08-01

    The aim of this study was to compare mask leak with three different peak inspiratory pressure (PIP) settings during T-piece resuscitator (TPR; Neopuff) mask ventilation on a neonatal manikin model. Participants were neonatal unit staff members. They were instructed to provide mask ventilation with a TPR with three PIP settings (20, 30, 40 cm H 2 O) chosen in a random order. Each episode was for 2 min with 2-min rest period. Flow rate and positive end-expiratory pressure (PEEP) were kept constant. Airway pressure, inspiratory and expiratory tidal volumes, mask leak, respiratory rate and inspiratory time were recorded. Repeated measures analysis of variance was used for statistical analysis. A total of 12 749 inflations delivered by 40 participants were analysed. There were no statistically significant differences (P > 0.05) in the mask leak with the three PIP settings. No statistically significant differences were seen in respiratory rate and inspiratory time with the three PIP settings. There was a significant rise in PEEP as the PIP increased. Failure to achieve the desired PIP was observed especially at the higher settings. In a neonatal manikin model, the mask leak does not vary as a function of the PIP when the flow rate is constant. With a fixed rate and inspiratory time, there seems to be a rise in PEEP with increasing PIP. © 2017 Paediatrics and Child Health Division (The Royal Australasian College of Physicians).

  8. Optical performances of the FM JEM-X masks

    Science.gov (United States)

    Reglero, V.; Rodrigo, J.; Velasco, T.; Gasent, J. L.; Chato, R.; Alamo, J.; Suso, J.; Blay, P.; Martínez, S.; Doñate, M.; Reina, M.; Sabau, D.; Ruiz-Urien, I.; Santos, I.; Zarauz, J.; Vázquez, J.

    2001-09-01

    The JEM-X Signal Multiplexing Systems are large HURA codes "written" in a pure tungsten plate 0.5 mm thick. 24.247 hexagonal pixels (25% open) are spread over a total area of 535 mm diameter. The tungsten plate is embedded in a mechanical structure formed by a Ti ring, a pretensioning system (Cu-Be) and an exoskeleton structure that provides the required stiffness. The JEM-X masks differ from the SPI and IBIS masks on the absence of a code support structure covering the mask assembly. Open pixels are fully transparent to X-rays. The scope of this paper is to report the optical performances of the FM JEM-X masks defined by uncertainties on the pixel location (centroid) and size coming from the manufacturing and assembly processes. Stability of the code elements under thermoelastic deformations is also discussed. As a general statement, JEM-X Mask optical properties are nearly one order of magnitude better than specified in 1994 during the ESA instrument selection.

  9. Comodulation masking release in bit-rate reduction systems

    DEFF Research Database (Denmark)

    Vestergaard, Martin David; Rasmussen, Karsten Bo; Poulsen, Torben

    1999-01-01

    It has been suggested that the level dependence of the upper masking slope be utilized in perceptual models in bit-rate reduction systems. However, comodulation masking release (CMR) phenomena lead to a reduction of the masking effect when a masker and a probe signal are amplitude modulated...... with the same frequency. In bit-rate reduction systems the masker would be the audio signal and the probe signal would represent the quantization noise. Masking curves have been determined for sinusoids and 1-Bark-wide noise maskers in order to investigate the risk of CMR, when quantizing depths are fixed...... in accordance with psycho-acoustical principles. Masker frequencies of 500 Hz, 1 kHz, and 2 kHz have been investigated, and the masking of pure tone probes has been determined in the first four 1/3 octaves above the masker. Modulation frequencies between 6 and 20 Hz were used with a modulation depth of 0...

  10. Study on properties of UV-curable films based on alkali-soluble photosensitive polysiloxane urethane acrylate oligomer

    International Nuclear Information System (INIS)

    Sun Fang; Zhang Nan; Du Hongguang; Jiang Shengling

    2011-01-01

    A UV-curable alkali-soluble polysiloxane urethane acrylate (APSUA) for solder mask was designed and synthesized in this work. The effect of composition of APSUA on physical and mechanical properties of UV curing APSUA materials including water resistance, volume shrinkage, hardness, tensile strength, elongation and heat resistance, was investigated in this paper. The results showed that reactive monomers with hydroxyl bonding could increase water absorption of the APSUA. The water absorption of the APSUA decreased with increasing crosslinking yields. The volume shrinkage of the APSUA decreased with increasing APSUA concentrations in the system and the volume shrinkage of investigated APSUA was lower than 6%. Multi-functional monomer and acrylate monomer with rigid structure could improve hardness of APSUA. When functionality of reactive monomer increased the heat resistance of APSUA could enhanced. The APSUA possesses excellent compatibility with most of acrylate monomers. (authors)

  11. Masking responses to light in period mutant mice.

    Science.gov (United States)

    Pendergast, Julie S; Yamazaki, Shin

    2011-10-01

    Masking is an acute effect of an external signal on an overt rhythm and is distinct from the process of entrainment. In the current study, we investigated the phase dependence and molecular mechanisms regulating masking effects of light pulses on spontaneous locomotor activity in mice. The circadian genes, Period1 (Per1) and Per2, are necessary components of the timekeeping machinery and entrainment by light appears to involve the induction of the expression of Per1 and Per2 mRNAs in the suprachiasmatic nuclei (SCN). We assessed the roles of the Per genes in regulating masking by assessing the effects of light pulses on nocturnal locomotor activity in C57BL/6J Per mutant mice. We found that Per1(-/-) and Per2(-/-) mice had robust negative masking responses to light. In addition, the locomotor activity of Per1(-/-)/Per2(-/-) mice appeared to be rhythmic in the light-dark (LD) cycle, and the phase of activity onset was advanced (but varied among individual mice) relative to lights off. This rhythm persisted for 1 to 2 days in constant darkness in some Per1(-/-)/Per2(-/-) mice. Furthermore, Per1(-/-)/Per2(-/-) mice exhibited robust negative masking responses to light. Negative masking was phase dependent in wild-type mice such that maximal suppression was induced by light pulses at zeitgeber time 14 (ZT14) and gradually weaker suppression occurred during light pulses at ZT16 and ZT18. By measuring the phase shifts induced by the masking protocol (light pulses were administered to mice maintained in the LD cycle), we found that the phase responsiveness of Per mutant mice was altered compared to wild-types. Together, our data suggest that negative masking responses to light are robust in Per mutant mice and that the Per1(-/-)/Per2(-/-) SCN may be a light-driven, weak/damping oscillator.

  12. Electrostatic mask for active targets

    International Nuclear Information System (INIS)

    Pancin, J; Gangnant, P; Libin, J-F; Raabe, R; Roger, T; Roussel-Chomaz, P; Gibelin, J; Goth, M

    2012-01-01

    Active gas targets have been used in nuclear physics since 30 years. They are promising systems in view of the new exotic beams soon available at facilities like SPIRAL2 or FAIR, but the system can still be improved. One of the main limitation is the dynamic range in energy deposition. The energy deposited per unit length can be 3 decades higher for the beam than for the light reaction products and the risk to saturate the electronics or that the detector spark are not negligible. A simple solution using a wire plane to mask partially the beam is presented here. Some simulation has been realized and some experimental results are shown confirming the feasibility of this wire tunable mask. The mask can be used from full transparency to full opacity without degrading neither the drift electric field of the chamber nor the performances of detection of the beam or the light products.

  13. Filter penetration and breathing resistance evaluation of respirators and dust masks.

    Science.gov (United States)

    Ramirez, Joel; O'Shaughnessy, Patrick

    2017-02-01

    The primary objective of this study was to compare the filter performance of a representative selection of uncertified dust masks relative to the filter performance of a set of NIOSH-approved N95 filtering face-piece respirators (FFRs). Five different models of commercially available dust masks were selected for this study. Filter penetration of new dust masks was evaluated against a sodium chloride aerosol. Breathing resistance (BR) of new dust masks and FFRs was then measured for 120 min while challenging the dust masks and FFRs with Arizona road dust (ARD) at 25°C and 30% relative humidity. Results demonstrated that a wide range of maximum filter penetration was observed among the dust masks tested in this study (3-75% at the most penetrating particle size (p masks did not vary greatly (8-13 mm H 2 O) but were significantly different (p mask. Microscopic analysis of the external layer of each dust mask and FFR suggests that different collection media in the external layer influences the development of the dust layer and therefore affects the increase in BR differently between the tested models. Two of the dust masks had penetration values masks, those with penetration > 15%, had quality factors ranging between 0.04-0.15 primarily because their initial BR remained relatively high. These results indicate that some dust masks analysed during this research did not have an expected very low BR to compensate for their high penetration.

  14. X-ray face mask and bib device

    International Nuclear Information System (INIS)

    Forshee, D.J.

    1982-01-01

    An x-ray protective face mask is made of a relatively transparent lead containing radiation shielding plastics material, and is removably attachable to a chest or bib shield for application of the device to and support upon the shoulders of a technician or a patient. Alternatively, the face mask is formed of a lens portion supported in a plastics frame, upon the lower portion of which is removably attached a bib shield that in turn is removably attachable to an apron. The frame of the face mask, bib shield and apron are preferably lined with lead sheets to protect the neck, face, chest and body of the technician from the random secondary or scatter x-ray beams. The face mask and bib shield can be formed of a moulded plastics material as a unitary device, the lens portion being attached to the frame therefor. (author)

  15. Mask manufacturing improvement through capability definition and bottleneck line management

    Science.gov (United States)

    Strott, Al

    1994-02-01

    In 1989, Intel's internal mask operation limited itself to research and development activities and re-inspection and pellicle application of externally manufactured masks. Recognizing the rising capital cost of mask manufacturing at the leading edge, Intel's Mask Operation management decided to offset some of these costs by manufacturing more masks internally. This was the beginning of the challenge they set to manufacture at least 50% of Intel's mask volume internally, at world class performance levels. The first step in responding to this challenge was the completion of a comprehensive operation capability analysis. A series of bottleneck improvements by focus teams resulted in an average cycle time improvement to less than five days on all product and less than two days on critical products.

  16. Joint optimization of source, mask, and pupil in optical lithography

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  17. Maximizing noise energy for noise-masking studies.

    Science.gov (United States)

    Jules Étienne, Cédric; Arleo, Angelo; Allard, Rémy

    2017-08-01

    Noise-masking experiments are widely used to investigate visual functions. To be useful, noise generally needs to be strong enough to noticeably impair performance, but under some conditions, noise does not impair performance even when its contrast approaches the maximal displayable limit of 100 %. To extend the usefulness of noise-masking paradigms over a wider range of conditions, the present study developed a noise with great masking strength. There are two typical ways of increasing masking strength without exceeding the limited contrast range: use binary noise instead of Gaussian noise or filter out frequencies that are not relevant to the task (i.e., which can be removed without affecting performance). The present study combined these two approaches to further increase masking strength. We show that binarizing the noise after the filtering process substantially increases the energy at frequencies within the pass-band of the filter given equated total contrast ranges. A validation experiment showed that similar performances were obtained using binarized-filtered noise and filtered noise (given equated noise energy at the frequencies within the pass-band) suggesting that the binarization operation, which substantially reduced the contrast range, had no significant impact on performance. We conclude that binarized-filtered noise (and more generally, truncated-filtered noise) can substantially increase the energy of the noise at frequencies within the pass-band. Thus, given a limited contrast range, binarized-filtered noise can display higher energy levels than Gaussian noise and thereby widen the range of conditions over which noise-masking paradigms can be useful.

  18. Effects of SofZia-preserved travoprost and benzalkonium chloride-preserved latanoprost on the ocular surface -- a multicentre randomized single-masked study.

    Science.gov (United States)

    Aihara, Makoto; Oshima, Hiromi; Araie, Makoto

    2013-02-01

    To assess the effect of SofZia-preserved travoprost on ocular surface conditions in comparison with benzalkonium chloride (BAK)-preserved latanoprost. A prospective randomized multicentre single-masked comparative study. Patients with open-angle glaucoma or ocular hypertension who had been treated with BAK-preserved latanoprost 0.005% (Xalatan(®) ) monotherapy for at least 3 months. Patients were enrolled at 23 facilities. Patients were randomly divided into the X-X group, continuous use of Xalatan(®) , or the X-T group, switching from Xalatan(®) to SofZia-preserved travoprost 0.004% (TravatanZ(®) ), and followed for 3 months. The superficial punctate keratopathy (SPK), conjunctival epitheliopathy, hyperaemia, tear break-up time (TBUT) and intraocular pressure (IOP) were examined for each patient in a masked manner. Changes in the frequency of keratoconjunctival epitheliopathy were evaluated 3 months after study initiation. Intra- and intergroup comparisons of changes in SPK, conjunctival epitheliopathy, hyperaemia, TBUT and IOP were also carried out. Two hundred twenty patients participated and 215 completed the 3-month study. The frequency of keratoconjunctival epitheliopathy significantly decreased in the X-T group (p = 0.036) and the intergroup difference was also significant (p = 0.001). SPK scores and TBUT were significantly improved in the X-T group (p = 0.034, 0.049), also with significant intergroup differences in the cornea excluding the inferior area and TBUT. There were no significant intergroup differences in changes of the hyperaemia scores and the IOP reduction. Switching to SofZia-preserved travoprost after BAK-preserved latanoprost resulted in a lower incidence of keratoconjunctival epitheliopathy, especially in the cornea, with no clinically relevant changes in hyperaemia and IOP. © 2012 The Authors. Acta Ophthalmologica © 2012 Acta Ophthalmologica Scandinavica Foundation.

  19. Fabrication of High-Aspect-Ratio 3D Hydrogel Microstructures Using Optically Induced Electrokinetics

    Directory of Open Access Journals (Sweden)

    Yi Li

    2016-04-01

    Full Text Available We present a rapid hydrogel polymerization and prototyping microfabrication technique using an optically induced electrokinetics (OEK chip, which is based on a non-UV hydrogel curing principle. Using this technique, micro-scale high-aspect-ratio three-dimensional polymer features with different geometric sizes can be fabricated within 1–10 min by projecting pre-defined visible light image patterns onto the OEK chip. This method eliminates the need for traditional photolithography masks used for patterning and fabricating polymer microstructures and simplifies the fabrication processes. This technique uses cross-link hydrogels, such as poly(ethylene glycol (PEG-diacrylate (PEGDA, as fabrication materials. We demonstrated that hydrogel micropillar arrays rapidly fabricated using this technique can be used as molds to create micron-scale cavities in PDMS (polydimethylsiloxane substrates. Furthermore, hollow, circular tubes with controllable wall thicknesses and high-aspect ratios can also be fabricated. These results show the potential of this technique to become a rapid prototyping technology for producing microfluidic devices. In addition, we show that rapid prototyping of three-dimensional suspended polymer structures is possible without any sacrificial etching process.

  20. Long-range tactile masking occurs in the postural body schema.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2016-02-01

    Long-range tactile masking has been reported between mirror symmetric body locations. This suggests a general principle of contralateral inhibition between corresponding points on each side of the body that may serve to enhance distinguishing touches on the two halves of the body. Do such effects occur before or after posture is added to the body schema? Here, we address this question by exploring the effect of arm position on long-range tactile masking. The influence of arm position was investigated using different positions of both the test and masking arms. Tactile sensitivity was measured on one forearm, while vibrotactile-masking stimulation was applied to the opposite arm or to a control site on the shoulder. No difference was found in sensitivity when test arm position was varied. Physical contact between the arms significantly increased the effectiveness of a masking stimulus applied to the other arm. Long-range masking between the arms was strongest when the arms were held parallel to each other and was abolished if the position of either the test arm or the masking arm was moved from this position. Modulation of the effectiveness of masking by the position of both the test and masking arms suggests that these effects occur after posture information is added to the body's representation in the brain.

  1. Estimation of the Ideal Binary Mask using Directional Systems

    DEFF Research Database (Denmark)

    Boldt, Jesper; Kjems, Ulrik; Pedersen, Michael Syskind

    2008-01-01

    The ideal binary mask is often seen as a goal for time-frequency masking algorithms trying to increase speech intelligibility, but the required availability of the unmixed signals makes it difficult to calculate the ideal binary mask in any real-life applications. In this paper we derive the theory...... and the requirements to enable calculations of the ideal binary mask using a directional system without the availability of the unmixed signals. The proposed method has a low complexity and is verified using computer simulation in both ideal and non-ideal setups showing promising results....

  2. UV Deprivation Influences Social UV Preference in Juvenile Sticklebacks

    Directory of Open Access Journals (Sweden)

    Ricarda Modarressie

    2015-05-01

    Full Text Available Social aggregations occur in many different animal taxa and mainly result from non-random assortment. Investigating factors that shape and maintain the composition of social aggregations are among others a main topic for understanding ecological speciation processes. Aggregation decisions are mediated by olfactory and visual cues, which in many animals are extended into the UV part of the electromagnetic spectrum. Here, we were interested in developmental plasticity of social preferences with respect to UV radiation in aquatic organisms. Specifically, we tested whether different lighting environments with respect to UV wavelengths during early life stages influence the shoaling preference in juvenile threespine sticklebacks (Gasterosteus aculeatus. Family (full-sibling groups were split and reared under UV-lacking (UV- and UV-present (UV+ lighting conditions. Subsequent shoal choice experiments, in which test fish from both rearing conditions could simultaneously choose between a shoal seen behind a UV-blocking (UV- and a shoal seen behind a UV-transmitting (UV+ filter, revealed a significant effect of lighting condition during rearing on association preference. Test fish that had been deprived of UV spent significantly more time near the UV- shoal compared to the test fish reared under full-spectrum lighting conditions. The results are discussed with respect to plasticity of the visual system and environmental lighting conditions.

  3. A procedure and program to calculate shuttle mask advantage

    Science.gov (United States)

    Balasinski, A.; Cetin, J.; Kahng, A.; Xu, X.

    2006-10-01

    A well-known recipe for reducing mask cost component in product development is to place non-redundant elements of layout databases related to multiple products on one reticle plate [1,2]. Such reticles are known as multi-product, multi-layer, or, in general, multi-IP masks. The composition of the mask set should minimize not only the layout placement cost, but also the cost of the manufacturing process, design flow setup, and product design and introduction to market. An important factor is the quality check which should be expeditious and enable thorough visual verification to avoid costly modifications once the data is transferred to the mask shop. In this work, in order to enable the layer placement and quality check procedure, we proposed an algorithm where mask layers are first lined up according to the price and field tone [3]. Then, depending on the product die size, expected fab throughput, and scribeline requirements, the subsequent product layers are placed on the masks with different grades. The actual reduction of this concept to practice allowed us to understand the tradeoffs between the automation of layer placement and setup related constraints. For example, the limited options of the numbers of layer per plate dictated by the die size and other design feedback, made us consider layer pairing based not only on the final price of the mask set, but also on the cost of mask design and fab-friendliness. We showed that it may be advantageous to introduce manual layer pairing to ensure that, e.g., all interconnect layers would be placed on the same plate, allowing for easy and simultaneous design fixes. Another enhancement was to allow some flexibility in mixing and matching of the layers such that non-critical ones requiring low mask grade would be placed in a less restrictive way, to reduce the count of orphan layers. In summary, we created a program to automatically propose and visualize shuttle mask architecture for design verification, with

  4. Effects of temporal integration on the shape of visual backward masking functions.

    Science.gov (United States)

    Francis, Gregory; Cho, Yang Seok

    2008-10-01

    Many studies of cognition and perception use a visual mask to explore the dynamics of information processing of a target. Especially important in these applications is the time between the target and mask stimuli. A plot of some measure of target visibility against stimulus onset asynchrony is called a masking function, which can sometimes be monotonic increasing but other times is U-shaped. Theories of backward masking have long hypothesized that temporal integration of the target and mask influences properties of masking but have not connected the influence of integration with the shape of the masking function. With two experiments that vary the spatial properties of the target and mask, the authors provide evidence that temporal integration of the stimuli plays a critical role in determining the shape of the masking function. The resulting data both challenge current theories of backward masking and indicate what changes to the theories are needed to account for the new data. The authors further discuss the implication of the findings for uses of backward masking to explore other aspects of cognition.

  5. Bromate Formation Characteristics of UV Irradiation, Hydrogen Peroxide Addition, Ozonation, and Their Combination Processes

    Directory of Open Access Journals (Sweden)

    Naoyuki Kishimoto

    2012-01-01

    Full Text Available Bromate formation characteristics of six-physicochemical oxidation processes, UV irradiation, single addition of hydrogen peroxide, ozonation, UV irradiation with hydrogen peroxide addition (UV/H2O2, ozonation with hydrogen peroxide addition (O3/H2O2, and ozonation with UV irradiation (O3/UV were investigated using 1.88 μM of potassium bromide solution with or without 6.4 μM of 4-chlorobenzoic acid. Bromate was not detected during UV irradiation, single addition of H2O2, and UV/H2O2, whereas ozone-based treatments produced . Hydroxyl radicals played more important role in bromate formation than molecular ozone. Acidification and addition of radical scavengers such as 4-chlorobenzoic acid were effective in inhibiting bromate formation during the ozone-based treatments because of inhibition of hydroxyl radical generation and consumption of hydroxyl radicals, respectively. The H2O2 addition was unable to decompose 4-chlorobenzoic acid, though O3/UV and O3/H2O2 showed the rapid degradation, and UV irradiation and UV/H2O2 showed the slow degradation. Consequently, if the concentration of organic contaminants is low, the UV irradiation and/or UV/H2O2 are applicable to organic contaminants removal without bromate formation. However, if the concentration of organic contaminants is high, O3/H2O2 and O3/UV should be discussed as advanced oxidation processes because of their high organic removal efficiency and low bromate formation potential at the optimum condition.

  6. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  7. UNMASKING MASKED HYPERTENSION: PREVALENCE, CLINICAL IMPLICATIONS, DIAGNOSIS, CORRELATES, AND FUTURE DIRECTIONS

    Science.gov (United States)

    Peacock, James; Diaz, Keith M.; Viera, Anthony J.; Schwartz, Joseph E.; Shimbo, Daichi

    2014-01-01

    Masked hypertension’ is defined as having non-elevated clinic blood pressure (BP) with elevated out-of-clinic average BP, typically determined by ambulatory BP monitoring. Approximately 15–30% of adults with non-elevated clinic BP have masked hypertension. Masked hypertension is associated with increased risks of cardiovascular morbidity and mortality compared to sustained normotension (non-elevated clinic and ambulatory BP), which is similar to or approaching the risk associated with sustained hypertension (elevated clinic and ambulatory BP). The confluence of increased cardiovascular risk and a failure to be diagnosed by the conventional approach of clinic BP measurement makes masked hypertension a significant public health concern. However, many important questions remain. First, the definition of masked hypertension varies across studies. Further, the best approach in the clinical setting to exclude masked hypertension also remains unknown. It is unclear whether home BP monitoring is an adequate substitute for ambulatory BP monitoring in identifying masked hypertension. Few studies have examined the mechanistic pathways that may explain masked hypertension. Finally, scarce data are available on the best approach to treating individuals with masked hypertension. Herein, we review the current literature on masked hypertension including definition, prevalence, clinical implications, special patient populations, correlates, issues related to diagnosis, treatment, and areas for future research. PMID:24573133

  8. The uvsI gene of Aspergillus nidulans required for UV-mutagenesis encodes a homolog to REV3, a subunit of the DNA polymerase zeta of yeast involved in translesion DNA synthesis.

    Science.gov (United States)

    Han, K Y; Chae, S K; Han, D M

    1998-07-01

    Defects in the uvsI gene of Aspergillus nidulans resulted in high UV sensitivity and reductions of spontaneous and UV-induced reversion of certain alleles, uvsl;uvsA double mutants exhibited high methyl methane sulfonate (MMS)-sensitivity in contrast to the slight sensitivity of the component single mutants. Using such a double mutant as recipient, a clone complementing uvsI501 has been isolated from a chromosome III specific library. The deduced amino acid sequence from the 1.1-kb sequenced region, a part of the 5.2-kb DNA fragment showing uvsI-complementing activity, had a 62% identity with REV3 of yeast. Disruptants of the cloned gene demonstrated the same level of sensitivity to UV light as uvsI and failed to complement uvsI501 in heterozygous diploids.

  9. Masked rhodamine dyes of five principal colors revealed by photolysis of a 2-diazo-1-indanone caging group: synthesis, photophysics, and light microscopy applications.

    OpenAIRE

    Belov, V.; Mitronova, G.; Bossi, M.; Boyarski, V.; Hebisch, E.; Geisler, C.; Kolmakov, K.; Wurm, C.; Willig, K.; Hell, S.

    2014-01-01

    Caged rhodamine dyes (Rhodamines NN) of five basic colors were synthesized and used as “hidden” markers in subdiffractional and conventional light microscopy. These masked fluorophores with a 2-diazo-1-indanone group can be irreversibly photoactivated, either by irradiation with UV- or violet light (one-photon process), or by exposure to intense red light (λ∼750 nm; two-photon mode). All dyes possess a very small 2-diazoketone caging group incorporated into the 2-diazo-1-indanone residue with...

  10. Selective spatial attention modulates bottom-up informational masking of speech

    OpenAIRE

    Carlile, Simon; Corkhill, Caitlin

    2015-01-01

    To hear out a conversation against other talkers listeners overcome energetic and informational masking. Largely attributed to top-down processes, information masking has also been demonstrated using unintelligible speech and amplitude-modulated maskers suggesting bottom-up processes. We examined the role of speech-like amplitude modulations in information masking using a spatial masking release paradigm. Separating a target talker from two masker talkers produced a 20?dB improvement in speec...

  11. Masked hypertension, a review of the literature.

    NARCIS (Netherlands)

    Verberk, W.J.; Thien, Th.; Leeuw, P.W. de

    2007-01-01

    Masked hypertension (blood pressure that is normal in the physicians' office but elevated elsewhere) is a common phenomenon as prevalence among studies varies from 8 to 45% and is seen at all ages. large discrepancies, however, exist between studies that have dealt with masked hypertension. It is of

  12. Comparison of monaural (CMR) and binaural (BMLD) masking release

    NARCIS (Netherlands)

    Par, van de S.L.J.D.E.; Kohlrausch, A.G.

    1998-01-01

    Release of masking for a sinusoidal signal of 5 kHz masked by a 25-Hz-wide noise band centered around 5 kHz was measured. The masking release was provided by a second noise band that was comodulated with the on-frequency masker band. For CMR configurations the second noise band was centered at 3 kHz

  13. Selective spatial attention modulates bottom-up informational masking of speech.

    Science.gov (United States)

    Carlile, Simon; Corkhill, Caitlin

    2015-03-02

    To hear out a conversation against other talkers listeners overcome energetic and informational masking. Largely attributed to top-down processes, information masking has also been demonstrated using unintelligible speech and amplitude-modulated maskers suggesting bottom-up processes. We examined the role of speech-like amplitude modulations in information masking using a spatial masking release paradigm. Separating a target talker from two masker talkers produced a 20 dB improvement in speech reception threshold; 40% of which was attributed to a release from informational masking. When across frequency temporal modulations in the masker talkers are decorrelated the speech is unintelligible, although the within frequency modulation characteristics remains identical. Used as a masker as above, the information masking accounted for 37% of the spatial unmasking seen with this masker. This unintelligible and highly differentiable masker is unlikely to involve top-down processes. These data provides strong evidence of bottom-up masking involving speech-like, within-frequency modulations and that this, presumably low level process, can be modulated by selective spatial attention.

  14. UV Reflectance of Jupiter's Moon Europa and Asteroid (16) Psyche

    Science.gov (United States)

    Becker, T. M.; Retherford, K. D.; Roth, L.; Hendrix, A.; McGrath, M. A.; Cunningham, N.; Feaga, L. M.; Saur, J.; Elkins-Tanton, L. T.; Walhund, J. E.; Molyneux, P.

    2017-12-01

    Surface reflectance observations of solar system objects in the UV are not only complimentary to longer wavelength observations for identifying surface composition, but can also reveal new and meaningful information about the surfaces of those bodies. On Europa, far-UV (FUV) spectral observations made by the Hubble Space Telescope (HST) show that the surface lacks a strong water ice absorption edge near 165 nm, which is intriguing because such a band has been detected on most icy satellites. This may suggest that radiolytic processing by Jupiter's magnetosphere has altered the surface, causing absorption at wavelengths longward of the H2O edge, masking this feature. Additionally, the FUV spectra are blue (increasing albedo with shorter wavelengths), and regions that are observed to be dark in the visible appear bright in the FUV. This spectral inversion, also observed on the Moon and some asteroids, may provide insight into the properties of the surface material and how they are processed.We also explore the UV reflectance spectra of the main belt asteroid (16) Psyche. This asteroid is believed to be the metallic remnant core of a differentiated asteroid, stripped of its mantle through collisions. However, there is speculation that the asteroid could have formed as-is from highly reduced metal-rich material near the Sun early in the formation of the solar system. Further, spectral observations in the infrared have revealed pyroxene and hydroxyl on the asteroid's surface, complicating the interpretation that (16) Psyche is a pure metallic object. Laboratory studies indicate that there are diagnostic spectral features in the UV that could be useful for determining the surface composition. We obtained HST observations of Psyche from 160 - 300 nm. Preliminary results show a featureless, red-sloped spectrum, inconsistent with significant amounts of pyroxene on the surface. We will present the spectra of Europa and the asteroid (16) Psyche and discuss the unique details

  15. Chemical and UV Mutagenesis.

    Science.gov (United States)

    Bose, Jeffrey L

    2016-01-01

    The ability to create mutations is an important step towards understanding bacterial physiology and virulence. While targeted approaches are invaluable, the ability to produce genome-wide random mutations can lead to crucial discoveries. Transposon mutagenesis is a useful approach, but many interesting mutations can be missed by these insertions that interrupt coding and noncoding sequences due to the integration of an entire transposon. Chemical mutagenesis and UV-based random mutagenesis are alternate approaches to isolate mutations of interest with the potential of only single nucleotide changes. Once a standard method, difficulty in identifying mutation sites had decreased the popularity of this technique. However, thanks to the recent emergence of economical whole-genome sequencing, this approach to making mutations can once again become a viable option. Therefore, this chapter provides an overview protocol for random mutagenesis using UV light or DNA-damaging chemicals.

  16. Photolithography and Fluorescence Correlation Spectroscopy used to examine the rates of exchange in reverse micelle systems

    Science.gov (United States)

    Norris, Zach; Mawson, Cara; Johnson, Kyron; Kessler, Sarah; Rebecca, Anne; Wolf, Nathan; Lim, Michael; Nucci, Nathaniel

    Reverse micelles are molecular complexes that encapsulate a nanoscale pool of water in a surfactant shell dissolved in non-polar solvent. These complexes have a wide range of applications, and in all cases, the degree to which reverse micelles (RM) exchange their contents is relevant for their use. Despite its importance, this aspect of RM behavior is poorly understood. Photolithography is employed here to create micro and nano scale fluidic systems in which mixing rates can be precisely measured using fluorescence correlation spectroscopy (FCS). Micro-channel patterns are etched using reactive ion etching process into a layer of silicon dioxide on crystalline silicon substrates. Solutions containing mixtures of reverse micelles, proteins, and fluorophores are placed into reservoirs in the patterns, while diffusion and exchange between RMs is monitored using a FCS system built from a modified confocal Raman spectrometer. Using this approach, the diffusion and exchange rates for RM systems are measured as a function of the components of the RM mixture. Funding provided by Rowan University.

  17. Masking Responses to Light in Period Mutant Mice

    Science.gov (United States)

    Pendergast, Julie S.; Yamazaki, Shin

    2013-01-01

    Masking is an acute effect of an external signal on an overt rhythm and is distinct from the process of entrainment. In the current study, we investigated the phase dependence and molecular mechanisms regulating masking effects of light pulses on spontaneous locomotor activity in mice. The circadian genes, Period1 (Per1) and Per2, are necessary components of the timekeeping machinery and entrainment by light appears to involve the induction of the expression of Per1 and Per2 mRNAs in the suprachiasmatic nuclei (SCN). We assessed the roles of the Per genes in regulating masking by assessing the effects of light pulses on nocturnal locomotor activity in C57BL/6J Per mutant mice. We found that Per1−/− and Per2−/− mice had robust negative masking responses to light. In addition, the locomotor activity of Per1−/−/Per2−/− mice appeared to be rhythmic in the light-dark (LD) cycle, and the phase of activity onset was advanced (but varied among individual mice) relative to lights off. This rhythm persisted for 1 to 2 days in constant darkness in some Per1−/−/Per2−/− mice. Furthermore, Per1−/−/Per2−/− mice exhibited robust negative masking responses to light. Negative masking was phase dependent in wild-type mice such that maximal suppression was induced by light pulses at zeitgeber time 14 (ZT14) and gradually weaker suppression occurred during light pulses at ZT16 and ZT18. By measuring the phase shifts induced by the masking protocol (light pulses were administered to mice maintained in the LD cycle), we found that the phase responsiveness of Per mutant mice was altered compared to wild-types. Together, our data suggest that negative masking responses to light are robust in Per mutant mice and that the Per1−/−/Per2−/− SCN may be a light-driven, weak/damping oscillator. PMID:21793695

  18. Role of cyclobutane dimers in UV-denaturation of DNA

    International Nuclear Information System (INIS)

    Zavil'gel'skij, G.B.; Zuev, A.V.

    1978-01-01

    UV irradiation of double-stranded DNA produces local denatured regions. The evidence presented indicates that these single-stranded regions arise from photoproducts other than pyrimidine dimers. The irradiation of T2 DNA at 8x10 4 erg/mm 2 (254 nm) produces 6-8% thymine dimers, amd Tsub(mel) drops by 12-14 deg C, accompanied by a significant broadening of the transition profile. The kinetics of denatured region formation and lowering Tsub(mel) corresponds to that of formation of crosslinkages and differs markedly from the kinetics of formation of cyclobutane pyrimidine dimers. Treatment of UV-irradiated DNA with light in the presence of yeast photoreactivating enzyme monomerizes almost all thymine dimers but does not change the Tsub(mel). Local denatured regions are detected in UV-irradiated DNA and are absent from AcPhM-sensibilized DNA, which contains 20-25% thymine dimers, as determined by the accridine orange fluorescence technique. S1 nuclease from Aspergillis oryzae produces single-strand breaks in UV-irradiated DNA of phage PM2 but is not active on AcPhM-treated PM2 DNA, which contains about 50 thymine dimers. It is supposed that the formation of a cyclobutane dimer only weakens the hydrogen bonds in the AT base pair rather than breaks them. Local denatured regions are thought to arise from the accumulation in UV-irradiated DNA (254 nm) of the sufficient number of photoproducts with impaired ability to base pairing

  19. The Emergence of Visual Awareness: Temporal Dynamics in Relation to Task and Mask Type

    Science.gov (United States)

    Kiefer, Markus; Kammer, Thomas

    2017-01-01

    also indicated that the emergence of awareness of single features is variable and might be influenced by the continuity of the feature dimensions. The present work thus suggests that the emergence of awareness is neither purely gradual nor dichotomous, but highly dynamic depending on the task and mask type. PMID:28316583

  20. Surface and sub-surface thermal oxidation of ruthenium thin films

    NARCIS (Netherlands)

    Coloma Ribera, R.; van de Kruijs, Robbert Wilhelmus Elisabeth; Zoethout, E.; Yakshin, Andrey; Bijkerk, Frederik

    2014-01-01

    For next generation Extreme UV photolithography, multilayer coatings may require protective capping layers against surface contamination. Ruthenium, as a low-oxidation metal, is often used as a reference material. The oxidation behaviour of Ru thin films has been studied using X-ray reflectometry

  1. Testing Tactile Masking between the Forearms.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2016-02-10

    Masking, in which one stimulus affects the detection of another, is a classic technique that has been used in visual, auditory, and tactile research, usually using stimuli that are close together to reveal local interactions. Masking effects have also been demonstrated in which a tactile stimulus alters the perception of a touch at a distant location. Such effects can provide insight into how components of the body's representations in the brain may be linked. Occasional reports have indicated that touches on one hand or forearm can affect tactile sensitivity at corresponding contralateral locations. To explore the matching of corresponding points across the body, we can measure the spatial tuning and effect of posture on contralateral masking. Careful controls are required to rule out direct effects of the remote stimulus, for example by mechanical transmission, and also attention effects in which thresholds may be altered by the participant's attention being drawn away from the stimulus of interest. The use of this technique is beneficial as a behavioural measure for exploring which parts of the body are functionally connected and whether the two sides of the body interact in a somatotopic representation. This manuscript describes a behavioural protocol that can be used for studying contralateral tactile masking.

  2. Mask effects on cosmological studies with weak-lensing peak statistics

    International Nuclear Information System (INIS)

    Liu, Xiangkun; Pan, Chuzhong; Fan, Zuhui; Wang, Qiao

    2014-01-01

    With numerical simulations, we analyze in detail how the bad data removal, i.e., the mask effect, can influence the peak statistics of the weak-lensing convergence field reconstructed from the shear measurement of background galaxies. It is found that high peak fractions are systematically enhanced because of the presence of masks; the larger the masked area is, the higher the enhancement is. In the case where the total masked area is about 13% of the survey area, the fraction of peaks with signal-to-noise ratio ν ≥ 3 is ∼11% of the total number of peaks, compared with ∼7% of the mask-free case in our considered cosmological model. This can have significant effects on cosmological studies with weak-lensing convergence peak statistics, inducing a large bias in the parameter constraints if the effects are not taken into account properly. Even for a survey area of 9 deg 2 , the bias in (Ω m , σ 8 ) is already intolerably large and close to 3σ. It is noted that most of the affected peaks are close to the masked regions. Therefore, excluding peaks in those regions in the peak statistics can reduce the bias effect but at the expense of losing usable survey areas. Further investigations find that the enhancement of the number of high peaks around the masked regions can be largely attributed to the smaller number of galaxies usable in the weak-lensing convergence reconstruction, leading to higher noise than that of the areas away from the masks. We thus develop a model in which we exclude only those very large masks with radius larger than 3' but keep all the other masked regions in peak counting statistics. For the remaining part, we treat the areas close to and away from the masked regions separately with different noise levels. It is shown that this two-noise-level model can account for the mask effect on peak statistics very well, and the bias in cosmological parameters is significantly reduced if this model is applied in the parameter fitting.

  3. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    International Nuclear Information System (INIS)

    Okhotnikov, V V; Linnik, S A; Gaidaichuk, A V; Shashev, D V; Nazarova, G Yu; Yurchenko, V I

    2016-01-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·10 7 pcs/cm 2 ). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,). (paper)

  4. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    Science.gov (United States)

    Okhotnikov, V. V.; Linnik, S. A.; Gaidaichuk, A. V.; Shashev, D. V.; Nazarova, G. Yu; Yurchenko, V. I.

    2016-02-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·107 pcs/cm2). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,).

  5. Masking with faces in central visual field under a variety of temporal schedules.

    Science.gov (United States)

    Daar, Marwan; Wilson, Hugh R

    2015-11-01

    With a few exceptions, previous studies have explored masking using either a backward mask or a common onset trailing mask, but not both. In a series of experiments, we demonstrate the use of faces in central visual field as a viable method to study the relationship between these two types of mask schedule. We tested observers in a two alternative forced choice face identification task, where both target and mask comprised synthetic faces, and show that a simple model can successfully predict masking across a variety of masking schedules ranging from a backward mask to a common onset trailing mask and a number of intermediate variations. Our data are well accounted for by a window of sensitivity to mask interference that is centered at around 100 ms. Copyright © 2015 Elsevier Ltd. All rights reserved.

  6. Hyper-realistic face masks: a new challenge in person identification.

    Science.gov (United States)

    Sanders, Jet Gabrielle; Ueda, Yoshiyuki; Minemoto, Kazusa; Noyes, Eilidh; Yoshikawa, Sakiko; Jenkins, Rob

    2017-01-01

    We often identify people using face images. This is true in occupational settings such as passport control as well as in everyday social environments. Mapping between images and identities assumes that facial appearance is stable within certain bounds. For example, a person's apparent age, gender and ethnicity change slowly, if at all. It also assumes that deliberate changes beyond these bounds (i.e., disguises) would be easy to spot. Hyper-realistic face masks overturn these assumptions by allowing the wearer to look like an entirely different person. If unnoticed, these masks break the link between facial appearance and personal identity, with clear implications for applied face recognition. However, to date, no one has assessed the realism of these masks, or specified conditions under which they may be accepted as real faces. Herein, we examined incidental detection of unexpected but attended hyper-realistic masks in both photographic and live presentations. Experiment 1 (UK; n = 60) revealed no evidence for overt detection of hyper-realistic masks among real face photos, and little evidence of covert detection. Experiment 2 (Japan; n = 60) extended these findings to different masks, mask-wearers and participant pools. In Experiment 3 (UK and Japan; n = 407), passers-by failed to notice that a live confederate was wearing a hyper-realistic mask and showed limited evidence of covert detection, even at close viewing distance (5 vs. 20 m). Across all of these studies, viewers accepted hyper-realistic masks as real faces. Specific countermeasures will be required if detection rates are to be improved.

  7. Additional phase information from UV damage of selenomethionine labelled proteins

    Energy Technology Data Exchange (ETDEWEB)

    Sanctis, Daniele de [ESRF, Structural Biology Group, 6 rue Jules Horowitz, 38043 Grenoble Cedex (France); Tucker, Paul A.; Panjikar, Santosh, E-mail: panjikar@embl-hamburg.de [EMBL Hamburg Outstation, c/o DESY, Notkestrasse 85, D-22603 Hamburg (Germany)

    2011-05-01

    Successful examples of ultraviolet radiation-damage-induced phasing with anomalous scattering from selenomethionine protein crystals have been demonstrated. Currently, selenium is the most widely used phasing vehicle for experimental phasing, either by single anomalous scattering or multiple-wavelength anomalous dispersion (MAD) procedures. The use of the single isomorphous replacement anomalous scattering (SIRAS) phasing procedure with selenomethionine containing proteins is not so commonly used, as it requires isomorphous native data. Here it is demonstrated that isomorphous differences can be measured from intensity changes measured from a selenium labelled protein crystal before and after UV exposure. These can be coupled with the anomalous signal from the dataset collected at the selenium absorption edge to obtain SIRAS phases in a UV-RIPAS phasing experiment. The phasing procedure for two selenomethionine proteins, the feruloyl esterase module of xylanase 10B from Clostridium thermocellum and the Mycobacterium tuberculosis chorismate synthase, have been investigated using datasets collected near the absorption edge of selenium before and after UV radiation. The utility of UV radiation in measuring radiation damage data for isomorphous differences is highlighted and it is shown that, after such measurements, the UV-RIPAS procedure yields comparable phase sets with those obtained from the conventional MAD procedure. The results presented are encouraging for the development of alternative phasing approaches for selenomethionine proteins in difficult cases.

  8. Barriers to mask wearing for influenza-like illnesses among urban Hispanic households.

    Science.gov (United States)

    Ferng, Yu-hui; Wong-McLoughlin, Jennifer; Barrett, Angela; Currie, Leanne; Larson, Elaine

    2011-01-01

    To identify barriers to mask wearing and to examine the factors associated with the willingness to wear masks among households. We used data sources from a study assessing the impact of 3 nonpharmaceutical interventions on the rates of influenza: exit interviews; home visits with a subset of the mask group; and a focus group. Risk perception score, univariate analysis, and logistic regression were conducted to identify the characteristics and predictors of mask use. Thematic barriers to mask wearing were identified from qualitative data obtained at home visits and focus group. Respondents from the mask group, when compared with the nonmask group, demonstrated higher risk perception scores concerning influenza (maximum score: 60, means: 37.6 and 30.2, pmask wearing (maximum score: 10, means: 7.8 and 7.3, p=.043). There was no significant association between demographic, attitudinal, or knowledge variables and adherence to wearing masks. Thematic barriers were identified such as social acceptability of mask use, comfort and fit, and perception of the risk/need for masks. Face masks may not be an effective intervention for seasonal or pandemic influenza unless the risk perception of influenza is high. Dissemination of culturally appropriate mask use information by health authorities and providers must be emphasized when educating the public. © 2010 Wiley Periodicals, Inc.

  9. Thermoelectric single-photon detector

    International Nuclear Information System (INIS)

    Kuzanyan, A A; Petrosyan, V A; Kuzanyan, A S

    2012-01-01

    The ability to detect a single photon is the ultimate level of sensitivity in the measurement of optical radiation. Sensors capable of detecting single photons and determining their energy have many scientific and technological applications. Kondo-enhanced Seebeck effect cryogenic detectors are based on thermoelectric heat-to-voltage conversion and voltage readout. We evaluate the prospects of CeB 6 and (La,Ce)B 6 hexaboride crystals for their application as a sensitive element in this type of detectors. We conclude that such detectors can register a single UV photon, have a fast count rate (up to 45 MHz) and a high spectral resolution of 0.1 eV. We calculate the electric potential generated along the thermoelectric sensor upon registering a UV single photon.

  10. Advantages, Disadvantages, Indications, Contraindications and Surgical Technique of Laryngeal Airway Mask.

    Science.gov (United States)

    Jannu, Anubhav; Shekar, Ashim; Balakrishna, Ramdas; Sudarshan, H; Veena, G C; Bhuvaneshwari, S

    2017-12-01

    The beauty of the laryngeal mask is that it forms an air tight seal enclosing the larynx rather than plugging the pharynx, and avoid airway obstruction in the oropharynx. The goal of its development was to create an intermediate form of airway management face mask and endotracheal tube. Indication for its use includes any procedure that would normally involve the use of a face mask. The laryngeal mask airway was designed as a new concept in airway management and has been gaining a firm position in anesthetic practice. Despite wide spread use the definitive role of the laryngeal mask airway is yet to be established. In some situations, such as after failed tracheal intubation or in oral surgery its use is controversial. There are several unresolved issues, for example the effect of the laryngeal mask on regurgitation and whether or not cricoids pressure prevents placement of mask. We review the techniques of insertion, details of misplacement, and complications associated with use of the laryngeal mask. We then attempt to clarify the role of laryngeal mask in air way management during anesthesia, discussing the advantages and disadvantages as well as indications and contraindications of its use in oral and maxillofacial surgery.

  11. Proximity Effect in Gate Fabrication Using Photolithography Technique

    Directory of Open Access Journals (Sweden)

    Joanna Prazmowska

    2017-01-01

    Full Text Available In the paper the technological factors influencing test structure gate length were described. The influence of test structure gate placement (Schottky metallization between ohmic contacts, on mesa and on GaN surface was analyzed and discussed. Moreover, various distances between ohmic contacts paths were tested. Except for experimental investigations, simulations using finite elements method in COMSOL were performed for the same structure. The modelling results revealed crucial impact of a gap beyond the mask on the electric field distribution in photoresist layer. The smallest value of relative error of test finger lengths was observed for finger parts placed between ohmic paths on mesas. It was explained by thicker lift-off double layer between ohmic paths and the smallest Y-gap compared to test fingers placed on mesa and outside of it. Simulation did not bring an explanation of larger values of relative error for smaller distance between ohmic paths.

  12. Automatic circuit analysis based on mask information

    International Nuclear Information System (INIS)

    Preas, B.T.; Lindsay, B.W.; Gwyn, C.W.

    1976-01-01

    The Circuit Mask Translator (CMAT) code has been developed which converts integrated circuit mask information into a circuit schematic. Logical operations, pattern recognition, and special functions are used to identify and interconnect diodes, transistors, capacitors, and resistances. The circuit topology provided by the translator is compatible with the input required for a circuit analysis program

  13. Effect of test exercises and mask donning on measured respirator fit.

    Science.gov (United States)

    Crutchfield, C D; Fairbank, E O; Greenstein, S L

    1999-12-01

    Quantitative respirator fit test protocols are typically defined by a series of fit test exercises. A rationale for the protocols that have been developed is generally not available. There also is little information available that describes the effect or effectiveness of the fit test exercises currently specified in respiratory protection standards. This study was designed to assess the relative impact of fit test exercises and mask donning on respirator fit as measured by a controlled negative pressure and an ambient aerosol fit test system. Multiple donnings of two different sizes of identical respirator models by each of 14 test subjects showed that donning affects respirator fit to a greater degree than fit test exercises. Currently specified fit test protocols emphasize test exercises, and the determination of fit is based on a single mask donning. A rationale for a modified fit test protocol based on fewer, more targeted test exercises and multiple mask donnings is presented. The modified protocol identified inadequately fitting respirators as effectively as the currently specified Occupational Safety and Health Administration (OSHA) quantitative fit test protocol. The controlled negative pressure system measured significantly (p < 0.0001) more respirator leakage than the ambient aerosol fit test system. The bend over fit test exercise was found to be predictive of poor respirator fit by both fit test systems. For the better fitting respirators, only the talking exercise generated aerosol fit factors that were significantly lower (p < 0.0001) than corresponding donning fit factors.

  14. Design criteria for small coded aperture masks in gamma-ray astronomy

    International Nuclear Information System (INIS)

    Sembay, S.; Gehrels, N.

    1990-01-01

    Most theoretical work on coded aperture masks in X-ray and low-energy γ-ray astronomy has concentrated on masks with large numbers of elements. For γ-ray spectrometers in the MeV range, the detector plane usually has only a few discrete elements, so that masks with small numbers of elements are called for. For this case it is feasible to analyse by computer all the possible mask patterns of given dimension to find the ones that best satisfy the desired performance criteria. In this paper we develop a particular set of performance criteria for comparing the flux sensitivities, source positioning accuracies and transparencies of different mask patterns. We then present the results of such a computer analysis for masks up to dimension 5x5 unit cell and conclude that there is a great deal of flexibility in one's choice of mask pattern for each dimension. (orig.)

  15. Mechanically and electrically robust metal-mask design for organic CMOS circuits

    Science.gov (United States)

    Shintani, Michihiro; Qin, Zhaoxing; Kuribara, Kazunori; Ogasahara, Yasuhiro; Hiromoto, Masayuki; Sato, Takashi

    2018-04-01

    The design of metal masks for fabricating organic CMOS circuits requires the consideration of not only the electrical property of the circuits, but also the mechanical strength of the masks. In this paper, we propose a new design flow for metal masks that realizes coanalysis of the mechanical and electrical properties and enables design exploration considering the trade-off between the two properties. As a case study, we apply a “stitching technique” to the mask design of a ring oscillator and explore the best design. With this technique, mask patterns are divided into separate parts using multiple mask layers to improve the mechanical strength at the cost of high resistance of the vias. By a numerical experiment, the design trade-off of the stitching technique is quantitatively analyzed, and it is demonstrated that the proposed flow is useful for the exploration of the designs of metal masks.

  16. Lensless digital holography with diffuse illumination through a pseudo-random phase mask.

    Science.gov (United States)

    Bernet, Stefan; Harm, Walter; Jesacher, Alexander; Ritsch-Marte, Monika

    2011-12-05

    Microscopic imaging with a setup consisting of a pseudo-random phase mask, and an open CMOS camera, without an imaging objective, is demonstrated. The pseudo random phase mask acts as a diffuser for an incoming laser beam, scattering a speckle pattern to a CMOS chip, which is recorded once as a reference. A sample which is afterwards inserted somewhere in the optical beam path changes the speckle pattern. A single (non-iterative) image processing step, comparing the modified speckle pattern with the previously recorded one, generates a sharp image of the sample. After a first calibration the method works in real-time and allows quantitative imaging of complex (amplitude and phase) samples in an extended three-dimensional volume. Since no lenses are used, the method is free from lens abberations. Compared to standard inline holography the diffuse sample illumination improves the axial sectioning capability by increasing the effective numerical aperture in the illumination path, and it suppresses the undesired so-called twin images. For demonstration, a high resolution spatial light modulator (SLM) is programmed to act as the pseudo-random phase mask. We show experimental results, imaging microscopic biological samples, e.g. insects, within an extended volume at a distance of 15 cm with a transverse and longitudinal resolution of about 60 μm and 400 μm, respectively.

  17. Improved photoluminescence efficiency in UV nanopillar light emitting diode structures by recovery of dry etching damage.

    Science.gov (United States)

    Jeon, Dae-Woo; Jang, Lee-Woon; Jeon, Ju-Won; Park, Jae-Woo; Song, Young Ho; Jeon, Seong-Ran; Ju, Jin-Woo; Baek, Jong Hyeob; Lee, In-Hwan

    2013-05-01

    In this study, we have fabricated 375-nm-wavelength InGaN/AlInGaN nanopillar light emitting diodes (LED) structures on c-plane sapphire. A uniform and highly vertical nanopillar structure was fabricated using self-organized Ni/SiO2 nano-size mask by dry etching method. To minimize the dry etching damage, the samples were subjected to high temperature annealing with subsequent chemical passivation in KOH solution. Prior to annealing and passivation the UV nanopillar LEDs showed the photoluminescence (PL) efficiency about 2.5 times higher than conventional UV LED structures which is attributed to better light extraction efficiency and possibly some improvement of internal quantum efficiency due to partially relieved strain. Annealing alone further increased the PL efficiency by about 4.5 times compared to the conventional UV LEDs, while KOH passivation led to the overall PL efficiency improvement by more than 7 times. Combined results of Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) suggest that annealing decreases the number of lattice defects and relieves the strain in the surface region of the nanopillars whereas KOH treatment removes the surface oxide from nanopillar surface.

  18. My Other Half Manifested in Mask-Making

    Science.gov (United States)

    Abel, Xanthippi

    2010-01-01

    Every fall season, each grade level of Rowland Hall St. Mark's Lower School in Salt Lake City, Utah, completes a mask-making project to be featured in a schoolwide parade. This sparked an opportunity to incorporate the fourth-grade unit of realistic and observational drawing with mask making. In this article, the author describes how her students…

  19. CVD diamond sensor for UV-photon detection

    CERN Document Server

    Periale, L; Gervino, G; Lamarina, A M; Palmisano, C; Periale, R; Picchi, P

    2012-01-01

    A new generation of UV photosensors, based on single crystal Chemical Vapour Deposition (CVD) diamonds to work optically coupled with large volume two-phase liquid-Ar (LAr) or liquid-Xe (LXe) detectors nowadays under design for the next generation of WIMPs experiments, is under development. Preliminary tests and first calibrations show these devices can have better performance than the existing UV sensitive detectors (higher photosensitivity and better signal-to-noise ratio). I-V characteristics, dark current measurements, linearity response to X-ray irradiation, and alpha-particle energy resolution are reported and discussed. (C) 2011 Elsevier B.V. All rights reserved.

  20. X ray reflection masks: Manufacturing, characterization and first tests

    Science.gov (United States)

    Rahn, Stephen

    1992-09-01

    SXPL (Soft X-ray Projection Lithography) multilayer mirrors are characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors with a 2d in the region of 14 nm were characterized by Cu-k(alpha) grazing incidence as well as soft X-ray normal incidence reflectivity measurements. The multilayer mirrors were patterned by reactive ion etching with CF4 using a photoresist as etch mask, thus producing X-ray reflection masks. The masks were tested at the synchrotron radiation laboratory of the electron accelerator ELSA. A double crystal X-ray monochromator was modified so as to allow about 0.5 sq cm of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto a resist and structure sizes down to 8 micrometers were nicely reproduced. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  1. Masked hypertension: evidence of the need to treat

    NARCIS (Netherlands)

    Ogedegbe, Gbenga; Agyemang, Charles; Ravenell, Joseph E.

    2010-01-01

    The diagnosis of masked hypertension has been made easier with the widespread availability of home blood pressure monitoring devices with levels of accuracy comparable to ambulatory blood pressure monitoring. The negative impact of masked hypertension on cardiovascular morbidity and mortality is

  2. Beyond a Mask and Against the Bottleneck: Retroactive Dual-Task Interference During Working Memory Consolidation of a Masked Visual Target

    NARCIS (Netherlands)

    Nieuwenstein, Mark; Wyble, Brad

    While studies on visual memory commonly assume that the consolidation of a visual stimulus into working memory is interrupted by a trailing mask, studies on dual-task interference suggest that the consolidation of a stimulus can continue for several hundred milliseconds after a mask. As a result,

  3. A Particle-In-Cell approach to particle flux shaping with a surface mask

    Directory of Open Access Journals (Sweden)

    G. Kawamura

    2017-08-01

    Full Text Available The Particle-In-Cell simulation code PICS has been developed to study plasma in front of a surface with two types of masks, step-type and roof-type. Parameter scans with regard to magnetic field angle, electron density, and mask height were carried out to understand their influence on ion particle flux distribution on a surface. A roof-type mask with a small mask height yields short decay length in the flux distribution which is consistent with that estimated experimentally. A roof-type mask with a large height yields very long decay length and the flux value does not depend on a mask height or an electron density, but rather on a mask length and a biasing voltage of the surface. Mask height also changes the flux distribution apart from the mask because of the shading effect of the mask. Electron density changes the distribution near the mask edge according to the Debye length. Dependence of distribution on parameters are complicated especially for a roof-type mask, and simulation study with various parameters are useful to understand the physical reasons of dependence and also is useful as a tool for experiment studies.

  4. Characterizing the monaural and binaural processes underlying reflection masking

    DEFF Research Database (Denmark)

    Buchholz, Jörg

    2007-01-01

    for the two RMTs, it is shown that forward masking effects only have a significant effect on reflection masking for delays above 7–10 ms. Moreover, binaural mechanisms were revealed which deteriorate auditory detection of test reflections for delays below 7–10 ms and enhance detection for larger delays....... The monaural and binaural processes that may underlie reflection masking are discussed in terms of auditory-modelling concepts....

  5. Pressure Ulcer Incidence in Patients Wearing Nasal-Oral Versus Full-Face Noninvasive Ventilation Masks.

    Science.gov (United States)

    Schallom, Marilyn; Cracchiolo, Lisa; Falker, Antoinette; Foster, Jennifer; Hager, JoAnn; Morehouse, Tamara; Watts, Peggy; Weems, Linda; Kollef, Marin

    2015-07-01

    Device-related pressure ulcers from noninvasive ventilation masks alter skin integrity and cause patients discomfort. To examine the incidence, location, and stage of pressure ulcers and patients' comfort with a nasal-oral mask compared with a full-face mask. A before-after study of a convenience sample of patients with noninvasive ventilation orders in 5 intensive care units was conducted. Two groups of 100 patients each received either the nasal-oral mask or the full-face mask. Skin was assessed before the mask was applied and every 12 hours after that or upon mask removal. Comfort levels were assessed every 12 hours on a Likert scale of 1 to 5 (1, most comfortable). A pressure ulcer developed in 20% of patients in the nasal-oral mask group and 2% of patients in the full-face mask group (P face mask (mean [SD], 1.9 [1.1]) than with the nasal-oral mask (mean [SD], 2.7 [1.2], P face mask and 25 (SD, 20.7) and 92% for nasal-oral mask. No patients who had a pressure ulcer develop with the nasal-oral mask had a pressure ulcer develop with the full-face mask. The full-face mask resulted in significantly fewer pressure ulcers and was more comfortable for patients. The full-face mask is a reasonable alternative to traditional nasal-oral masks for patients receiving noninvasive ventilation. ©2015 American Association of Critical-Care Nurses.

  6. Mask pressure effects on the nasal bridge during short-term noninvasive ventilation

    Science.gov (United States)

    Brill, Anne-Kathrin; Pickersgill, Rachel; Moghal, Mohammad; Morrell, Mary J.; Simonds, Anita K.

    2018-01-01

    The aim of this study was to assess the influence of different masks, ventilator settings and body positions on the pressure exerted on the nasal bridge by the mask and subjective comfort during noninvasive ventilation (NIV). We measured the pressure over the nasal bridge in 20 healthy participants receiving NIV via four different NIV masks (three oronasal masks, one nasal mask) at three different ventilator settings and in the seated or supine position. Objective pressure measurements were obtained with an I-Scan pressure-mapping system. Subjective comfort of the mask fit was assessed with a visual analogue scale. The masks exerted mean pressures between 47.6±29 mmHg and 91.9±42.4 mmHg on the nasal bridge. In the supine position, the pressure was lower in all masks (57.1±31.9 mmHg supine, 63.9±37.3 mmHg seated; pmasks, a change of inspiratory positive airway pressure (IPAP) did not influence the objective pressure over the nasal bridge. Subjective discomfort was associated with higher IPAP and positively correlated with the pressure on the skin. Objective measurement of pressure on the skin during mask fitting might be helpful for mask selection. Mask fitting in the supine position should be considered in the clinical routine. PMID:29637077

  7. Pulmonary emphysema quantitation with Computed Tomography. Comparison between the visual score with high resolution CT, expiratory density mask with spiral CT and lung function studies

    International Nuclear Information System (INIS)

    Zompatori, Maurizio; Battaglia, Milva; Rimondi, Maria Rita; Vivacqua, Donatella; Biscarini, Manuela; Fasano, Luca; Pacilli, Angela Maria Grazia; Guerrieri, Aldo; Fabbri, Mario; Cavina, Mauro

    1997-01-01

    CT is the most accurate method to detect pulmonary emphysema in vivo. They compared prospectively two different methods for emphysema quantitation in 5 normal volunteers and 20 consecutive patients with chronic obstructive pulmonary disease (COPD). All subjects were submitted to function tests and HRCT; three scans were acquired at preselected levels during inspiration. The type and extent of pulmonary emphysema were defined by two independent observers under blind conditions. Disagreements were subsequently settled by consent. All subjects were also examined with expiratory spiral CT using a density mask program, at two different cut-off levels (-850,-900 HU). Visual score and expiratory spiral density mask values (-850 HU) were significantly correlated (r = 0.86), but the visual extent of emphysema was always higher than shown by expiratory spiral CT. The emphysema extent assessed with both CT methods correlated with the function result of expiratory airflow obstruction and gas diffusion impairment (visual score versus forced expiratory volume in one second: r = -0.81, versus single breath carbon monoxide diffusion: r = -0.78. Spiral expiratory density mask -850 HU versus forced expiratory volume in one second: r = -0.85 versus single breath carbon monoxide diffusion: r = -0.77). When -900 HU was used as the cut-off value for the expiratory density mask, the correlation with single breath carbon monoxide diffusion worsened (r = -0.56). Visual score and expiratory density mask -850 HU gave similar results and permitted COPD patients to be clearly distinguished from normal controls (p < 0.01). They believe the true residual volume should lie somewhere in between the CT value and the function results with the helium dilution technique and conclude that the extent of pulmonary emphysema can be confidently assessed with CT methods. Finally, the simple visual score may be as reliable as such highly sophisticated new methods as the spiral expiratory density mask

  8. UV-induced DNA-binding proteins in human cells

    International Nuclear Information System (INIS)

    Glazer, P.M.; Greggio, N.A.; Metherall, J.E.; Summers, W.C.

    1989-01-01

    To investigate the response of human cells to DNA-damaging agents such as UV irradiation, the authors examined nuclear protein extracts of UV-irradiated HeLa cells for the presence of DNA-binding proteins. Electrophoretically separated proteins were transferred to a nitrocellulose filter that was subsequently immersed in a binding solution containing radioactively labeled DNA probes. Several DNA-binding proteins were induced in HeLa cells after UV irradiation. These included proteins that bind predominantly double-stranded DNA and proteins that bind both double-stranded and single-stranded DNA. The binding proteins were induced in a dose-dependent manner by UV light. Following a dose of 12 J/m 2 , the binding proteins in the nuclear extracts increased over time to a peak in the range of 18 hr after irradiation. Experiments with metabolic inhibitors (cycloheximide and actinomycin D) revealed that de novo synthesis of these proteins is not required for induction of the binding activities, suggesting that the induction is mediated by protein modification

  9. A new suction mask to reduce leak during neonatal resuscitation: a manikin study.

    Science.gov (United States)

    Lorenz, Laila; Maxfield, Dominic A; Dawson, Jennifer A; Kamlin, C Omar F; McGrory, Lorraine; Thio, Marta; Donath, Susan M; Davis, Peter G

    2016-09-01

    Leak around the face mask is a common problem during neonatal resuscitation. A newly designed face mask using a suction system to enhance contact between the mask and the infant's face might reduce leak and improve neonatal resuscitation. The aim of the study is to determine whether leak is reduced using the suction mask (Resusi-sure mask) compared with a conventional mask (Laerdal Silicone mask) in a manikin model. Sixty participants from different professional categories (neonatal consultants, fellows, registrars, nurses, midwives and students) used each face mask in a random order to deliver 2 min of positive pressure ventilation to a manikin. Delivered airway pressures were measured using a pressure line. Inspiratory and expiratory flows were measured using a flow sensor, and expiratory tidal volumes and mask leaks were derived from these values. A median (IQR) leak of 12.1 (0.6-39.0)% was found with the conventional mask compared with 0.7 (0.2-4.6)% using the suction mask (p=0.002). 50% of the participants preferred to use the suction mask and 38% preferred to use the conventional mask. There was no correlation between leak and operator experience. A new neonatal face mask based on the suction system reduced leak in a manikin model. Clinical studies to test the safety and effectiveness of this mask are needed. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  10. Investigation and modeling of CPL mask profiles using OCD

    Science.gov (United States)

    Chen, Hsuan-Chen; Lin, Ren-Hao; Chen, Chien-Cheng; Huang, Cheng-Hsuan; Lien, Ta-Cheng; Chen, Chia-Jen; Lee, Gaston; Lee, Hsin-Chang; Yen, Anthony

    2016-05-01

    Mask profile of chromeless phase-shifting lithography (CPL) defined by OCD has been investigated. In CPL masks, unbalanced bombardments caused by different ion accelerations lead to the formation of micro-notch structures. A better understanding of micro-notch structures is essential for quality gating of mask processes to improve of CPL mask profiles. By measuring 12 of 16 elements of Mueller matrix, we are able to set up a model to simulate the depth of micro-notch structure profile which shows good correlation with TEM images. Moreover, values of CD, quartz etching depth and side wall angle acquired by OCD are presented and compared with those obtained by SEM, TEM and AFM, respectively.

  11. The effect of betel nut chewing on contour and object masking.

    Science.gov (United States)

    Ho, Ming-Chou; Wang, Chin-Kun

    2011-11-01

    The betel nut is a common stimulant in many Asian countries. We employed the masking task developed by Enns and Di Lollo (Trends in Cognitive Sciences, 4, 345-352, 1997) to investigate the effects of betel nuts on sensory and attentional processing. In the masking task, participants needed to identify a target that was masked by either a contour mask or an object mask. Sensory processing was assessed by examining target identification in the contour mask condition when the target was presented only centrally, whereas attentional processing was assessed by examining target identification in the object mask condition when the target was presented randomly in either a central or a parafoveal location. The results showed that chewing betel nut and chewing gum produced significant contour masking with a large effect size, similar to the pure control condition, in which participants chewed nothing, and the placebo control condition, in which what participants chewed was disguised. This suggests that neither betel nut nor gum affects sensory processing. Alternatively, betel nut chewing could produce a reduction in object masking for the habitual chewers and the nonchewers, suggesting an effect of betel nut on attentional processing. This concentrated attention was also observed in the placebo control condition; thus, it cannot be exclusively driven by the expectation effect. Also, chewing per se reduced the attentional distribution foveally.

  12. Failed tracheal intubation using a laryngoscope and intubating laryngeal mask.

    Science.gov (United States)

    Asai, T; Hirose, T; Shingu, K

    2000-04-01

    To report unexpected failed tracheal intubation using a laryngoscope and an intubating laryngeal mask, and difficult ventilation via a facemask, laryngeal mask and intubating laryngeal mask, in a patient with an unrecognized lingual tonsillar hypertrophy. A 63-yr-old woman, who had undergone clipping of an aneurysm seven weeks previously, was scheduled for ventriculo-peritoneal shunt. At the previous surgery, there had been no difficulty in ventilation or in tracheal intubation. Her trachea remained intubated nasally for 11 days after surgery. Preoperatively, her consciousness was impaired. There were no restrictions in head and neck movements or mouth opening. The thyromental distance was 7 cm. After induction of anesthesia, manual ventilation via a facemask with a Guedel airway was suboptimal and the chest expanded insufficiently. At laryngoscopy using a Macintosh or McCoy device, only the tip of the epiglottis, but not the glottis, could be seen, and tracheal intubation failed. There was a partial obstruction during manual ventilation through either the intubating laryngeal mask or conventional laryngeal mask; intubation through each device failed. Digital examination of the pharynx, after removal of the laryngeal mask, indicated a mass occupying the vallecula. Lingual tonsillar hypertrophy (1 x 1 x 2 cm) was found to be the cause of the failure. Awake fibrescope-aided tracheal intubation was accomplished. Unexpected lingual tonsillar hypertrophy can cause both ventilation and tracheal intubation difficult, and neither the laryngeal mask nor intubating laryngeal mask may be helpful in the circumstances.

  13. Mask-based dual-axes tomoholography using soft x-rays

    International Nuclear Information System (INIS)

    Guehrs, Erik; Frömmel, Stefanie; Günther, Christian M; Hessing, Piet; Schneider, Michael; Shemilt, Laura; Eisebitt, Stefan; Fohler, Manuel

    2015-01-01

    We explore tomographic mask-based Fourier transform x-ray holography with respect to the use of a thin slit as a reference wave source. This imaging technique exclusively uses the interference between the waves scattered by the object and the slit simplifying the experimental realization and ensuring high data quality. Furthermore, we introduce a second reference slit to rotate the sample around a second axis and to record a dual-axes tomogram. Compared to a single-axis tomogram, the reconstruction artifacts are decreased in accordance with the reduced missing data wedge. Two demonstration experiments are performed where test structures are imaged with a lateral resolution below 100 nm. (paper)

  14. Status of EUVL mask development in Europe (Invited Paper)

    Science.gov (United States)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  15. A 3D-RBS study of irradiation-induced deformation and masking properties of ordered colloidal nanoparticulate masks

    International Nuclear Information System (INIS)

    Zolnai, Z.; Deak, A.; Nagy, N.; Toth, A.L.; Kotai, E.; Battistig, G.

    2010-01-01

    The 500 keV Xe 2+ irradiation-induced anisotropic deformation of ordered colloidal silica nanoparticulate masks is followed using 2 MeV 4 He + Rutherford Backscattering Spectrometry (RBS) with different measurement geometries and the improved data analysis capabilities of the RBS-MAST spectrum simulation code. The three-dimensional (3D) geometrical transformation from spherical to oblate ellipsoidal and polygonal shape and the decrease of the mask's hole size is described. The masking properties of the silica monolayer and the depth distribution of Xe in the underlying Si substrate vs. the irradiated Xe 2+ fluence are discussed. Field Emission Scanning Electron Microscopy (FESEM) is applied as complementary characterization tool. Our results give contribution to clarify the impact of ion-nanoparticle interactions on the potentials and limits of nanosphere lithography. We also show the capability of the conventional RBS technique to characterize laterally ordered submicron-sized three-dimensional structures.

  16. Surgical Face Masks Worn by Patients with Multidrug-Resistant Tuberculosis

    Science.gov (United States)

    Mphahlele, Matsie; Stoltz, Anton; Venter, Kobus; Mathebula, Rirhandzu; Masotla, Thabiso; Lubbe, Willem; Pagano, Marcello; First, Melvin; Jensen, Paul A.; van der Walt, Martie; Nardell, Edward A.

    2012-01-01

    Rationale: Drug-resistant tuberculosis transmission in hospitals threatens staff and patient health. Surgical face masks used by patients with tuberculosis (TB) are believed to reduce transmission but have not been rigorously tested. Objectives: We sought to quantify the efficacy of surgical face masks when worn by patients with multidrug-resistant TB (MDR-TB). Methods: Over 3 months, 17 patients with pulmonary MDR-TB occupied an MDR-TB ward in South Africa and wore face masks on alternate days. Ward air was exhausted to two identical chambers, each housing 90 pathogen-free guinea pigs that breathed ward air either when patients wore surgical face masks (intervention group) or when patients did not wear masks (control group). Efficacy was based on differences in guinea pig infections in each chamber. Measurements and Main Results: Sixty-nine of 90 control guinea pigs (76.6%; 95% confidence interval [CI], 68–85%) became infected, compared with 36 of 90 intervention guinea pigs (40%; 95% CI, 31–51%), representing a 56% (95% CI, 33–70.5%) decreased risk of TB transmission when patients used masks. Conclusions: Surgical face masks on patients with MDR-TB significantly reduced transmission and offer an adjunct measure for reducing TB transmission from infectious patients. PMID:22323300

  17. Simultaneous masking additivity for short Gaussian-shaped tones: spectral effects.

    Science.gov (United States)

    Laback, Bernhard; Necciari, Thibaud; Balazs, Peter; Savel, Sophie; Ystad, Sølvi

    2013-08-01

    Laback et al. [(2011). J. Acoust. Soc. Am. 129, 888-897] investigated the additivity of nonsimultaneous masking using short Gaussian-shaped tones as maskers and target. The present study involved Gaussian stimuli to measure the additivity of simultaneous masking for combinations of up to four spectrally separated maskers. According to most basilar membrane measurements, the maskers should be processed linearly at the characteristic frequency (CF) of the target. Assuming also compression of the target, all masker combinations should produce excess masking (exceeding linear additivity). The results for a pair of maskers flanking the target indeed showed excess masking. The amount of excess masking could be predicted by a model assuming summation of masker-evoked excitations in intensity units at the target CF and compression of the target, using compressive input/output functions derived from the nonsimultaneous masking study. However, the combinations of lower-frequency maskers showed much less excess masking than predicted by the model. This cannot easily be attributed to factors like off-frequency listening, combination tone perception, or between-masker suppression. It was better predicted, however, by assuming weighted intensity summation of masker excitations. The optimum weights for the lower-frequency maskers were smaller than one, consistent with partial masker compression as indicated by recent psychoacoustic data.

  18. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  19. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  20. Demagnifying electron projection with grid masks

    International Nuclear Information System (INIS)

    Politycki, A.; Meyer, A.

    1978-01-01

    Tightly toleranced micro- and submicrostructures with smooth edges were realized by using transmission masks with an improved supporting grid (width of traverses 0.8 μm). Local edge shift due to the proximity effect is kept at a minimum. Supporting grids with stil narrower traverses (0.5 μm) were prepared by generating the grid pattern by electron beam writing. Masks of this kind allow projection at a demagnification ratio of 1:4, resulting in large image fields. (orig.) [de

  1. Correction: Inferior alveolar nerve injury with laryngeal mask airway: a case report.

    LENUS (Irish Health Repository)

    Hanumanthaiah, Deepak

    2011-11-30

    ABSTRACT: Following the publication of our article [Inferior alveolar nerve injury with laryngeal mask airway: a case report. Journal of Medical Case Reports 2011, 5:122] it was brought to our attention that we inadvertently used the registered trademark of the Laryngeal Mask Company Limited (LMA) as the abbreviation for laryngeal mask airway. A Portex(R) Soft Seal(R) Laryngeal Mask was used and not a device manufactured by the Laryngeal Mask Company.

  2. Optimum design of a coded mask X-ray telescope for rocket applications

    International Nuclear Information System (INIS)

    Gunson, J.; Polychronopulos, B.

    1976-01-01

    A review of the principles of current X-ray telescopes is made with particular emphasis on two-step imaging techniques involving coding masks. The merits and limitations of the various types of coding masks in use are examined in detail. The limitations are shown to arise from the finite nature of practical masks. By postulating periodicity, 'optimum masks' can be constructed with ideal imaging qualities. The theory for the design of such masks and the practical considerations involved in the design of a rocket-borne X-ray telescope system are discussed in full, with particular attention paid to resolution, field of view and image noise. The main emphasis throughout the paper is on one-dimensional masks but two-dimensional masks are also studied. It is concluded that optimum masks could prove very valuable in astronomical applications and also in other fields such as radiography, where high imaging quality coupled with high sensitivity and low cost are of utmost importance. (author)

  3. Exploiting Small Leakages in Masks to Turn a Second-Order Attack into a First-Order Attack and Improved Rotating Substitution Box Masking with Linear Code Cosets

    Science.gov (United States)

    DeTrano, Alexander; Karimi, Naghmeh; Karri, Ramesh; Guo, Xiaofei; Carlet, Claude; Guilley, Sylvain

    2015-01-01

    Masking countermeasures, used to thwart side-channel attacks, have been shown to be vulnerable to mask-extraction attacks. State-of-the-art mask-extraction attacks on the Advanced Encryption Standard (AES) algorithm target S-Box recomputation schemes but have not been applied to scenarios where S-Boxes are precomputed offline. We propose an attack targeting precomputed S-Boxes stored in nonvolatile memory. Our attack targets AES implemented in software protected by a low entropy masking scheme and recovers the masks with 91% success rate. Recovering the secret key requires fewer power traces (in fact, by at least two orders of magnitude) compared to a classical second-order attack. Moreover, we show that this attack remains viable in a noisy environment or with a reduced number of leakage points. Eventually, we specify a method to enhance the countermeasure by selecting a suitable coset of the masks set. PMID:26491717

  4. Comparison of Aerosol Delivery by Face Mask and Tracheostomy Collar.

    Science.gov (United States)

    Bugis, Alaa A; Sheard, Meryl M; Fink, James B; Harwood, Robert J; Ari, Arzu

    2015-09-01

    The purpose of this study was to compare the performance of a tracheostomy collar, Wright mask, and aerosol mask attached to a jet nebulizer in facilitating aerosolized medication delivery to the lungs. We also compared albuterol delivery with open versus closed fenestration and determined the effect of inspiratory-expiratory ratio (I:E) on aerosol delivery. Albuterol (2.5 mg/3 mL) was administered to an in vitro model consisting of an adult teaching mannequin extrathoracic and upper airway with stoma intubated with an 8-mm fenestrated tracheostomy tube. The cuff was deflated. A collecting filter at the level of the bronchi was connected to a breathing simulator at a tidal volume of 400 mL, breathing frequency of 20 breaths/min, and I:E of 2:1 and 1:2. A jet nebulizer was operated with O2 at 8 L/min. Each interface was tested in triplicate. The flow was discontinued at the end of nebulization. For each test, the nebulizer was attached to a tracheostomy collar with the fenestration open or closed, a Wright mask, or an aerosol mask. Drug was analyzed by spectrophotometry (276 nm). A paired t test and analysis of variance were performed (P mask (4.1 ± 0.6%) and aerosol mask (3.5 ± 0.04%) were both less than with the tracheostomy collar under either condition (P mask (7.2 ± 0.6%), and aerosol mask (6.1 ± 0.5%). In an adult tracheostomy model, the tracheostomy collar delivered more aerosol to the bronchi than the Wright or aerosol mask. An I:E of 2:1 caused greater aerosol deposition compared with an I:E of 1:2. During aerosol administration via a tracheostomy collar, closing the fenestration improved aerosol delivery. Copyright © 2015 by Daedalus Enterprises.

  5. Development and evaluation of new mask protocols for gene expression profiling in humans and chimpanzees

    Directory of Open Access Journals (Sweden)

    Siegmund Kimberly D

    2009-03-01

    Full Text Available Abstract Background Cross-species gene expression analyses using oligonucleotide microarrays designed to evaluate a single species can provide spurious results due to mismatches between the interrogated transcriptome and arrayed probes. Based on the most recent human and chimpanzee genome assemblies, we developed updated and accessible probe masking methods that allow human Affymetrix oligonucleotide microarrays to be used for robust genome-wide expression analyses in both species. In this process, only data from oligonucleotide probes predicted to have robust hybridization sensitivity and specificity for both transcriptomes are retained for analysis. Results To characterize the utility of this resource, we applied our mask protocols to existing expression data from brains, livers, hearts, testes, and kidneys derived from both species and determined the effects probe numbers have on expression scores of specific transcripts. In all five tissues, probe sets with decreasing numbers of probes showed non-linear trends towards increased variation in expression scores. The relationships between expression variation and probe number in brain data closely matched those observed in simulated expression data sets subjected to random probe masking. However, there is evidence that additional factors affect the observed relationships between gene expression scores and probe number in tissues such as liver and kidney. In parallel, we observed that decreasing the number of probes within probe sets lead to linear increases in both gained and lost inferences of differential cross-species expression in all five tissues, which will affect the interpretation of expression data subject to masking. Conclusion We introduce a readily implemented and updated resource for human and chimpanzee transcriptome analysis through a commonly used microarray platform. Based on empirical observations derived from the analysis of five distinct data sets, we provide novel guidelines

  6. Transverse UV-laser irradiation-induced defects and absorption in a single-mode erbium-doped optical fiber

    International Nuclear Information System (INIS)

    Tortech, B.; Ouerdane, Y.; Boukenter, A.; Meunier, J. P.; Girard, S.; Van Uffelen, M.; Berghmans, F.; Regnier, E.; Berghmans, F.; Thienpont, H.

    2009-01-01

    Near UV-visible absorption coefficients of an erbium-doped optical fiber were investigated through an original technique based on a transverse cw UV-laser irradiation operating at 244 nm. Such irradiation leads to the generation of a quite intense guided luminescence signal in near UV spectral range. This photoluminescence probe source combined with a longitudinal translation of the fiber sample (at a constant velocity) along the UV-laser irradiation, presents several major advantages: (i) we bypass and avoid the procedures classically used to study the radiation induced attenuation which are not adapted to our case mainly because the samples present a very strong absorption with significant difficulties due to the injection of adequate UV-light levels in a small fiber diameter: (ii) the influence of the laser irradiation on the host matrix of the optical fiber is directly correlated to the evolution of the generated photoluminescence signal and (iii) in our experimental conditions, short fiber sample lengths (typically 20-30 cm) suffice to determine the associated absorption coefficients over the entire studied spectral domain. The generated photoluminescence signal is also used to characterize the absorption of the erbium ions in the same wavelength range with no cut-back method needed. (authors)

  7. Redistribution of melanosomal complexes within keratinocytes following UV-A irradiation

    International Nuclear Information System (INIS)

    Lavker, R.M.; Kaidbey, K.H.

    1982-01-01

    In contrast to other ultraviolet (UV) wavelengths, UV-A can induce long-term or 'true' pigmentation rapidly with little or no latency. The response cannot be clearly separated from immediate pigment darkening and is too rapid in onset to be explained by neomelanogenesis. In order to investigate possible mechanisms for this phenomenon, UV-irradiated skin was examined microscopically and ultrastructurally 18 h postirradiation. Specimens from skin sites tanned by exposure to melanogenic doses of UV-A showed a paradoxical reduction in the degree of basal melanization by light microscopy compared to unirradiated skin. Ultrastructurally, there was migration and dispersion of packaged melanosomes within keratinocytes from their normal, aggregated location around the nucleus towards the periphery of the cell. These changes were not observed in specimens exposed to melanogenic doses of UV-B. We propose that UV-A wavelengths can selectively cause redistribution of melanin-laden organelles within human keratinocytes in vivo and that this phenomenon accounts for the visually observed hyperpigmentation that develops soon after single exposures to these wavelengths. Dispersion of melanosomal complexes may be another mechanism by which UV-radiation (UVR) can induce tanning in human skin. (orig.)

  8. Redistribution of melanosomal complexes within keratinocytes following UV-A irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Lavker, R.M.; Kaidbey, K.H.

    1982-03-01

    In contrast to other ultraviolet (UV) wavelengths, UV-A can induce long-term or 'true' pigmentation rapidly with little or no latency. The response cannot be clearly separated from immediate pigment darkening and is too rapid in onset to be explained by neomelanogenesis. In order to investigate possible mechanisms for this phenomenon, UV-irradiated skin was examined microscopically and ultrastructurally 18 h postirradiation. Specimens from skin sites tanned by exposure to melanogenic doses of UV-A showed a paradoxical reduction in the degree of basal melanization by light microscopy compared to unirradiated skin. Ultrastructurally, there was migration and dispersion of packaged melanosomes within keratinocytes from their normal, aggregated location around the nucleus towards the periphery of the cell. These changes were not observed in specimens exposed to melanogenic doses of UV-B. We propose that UV-A wavelengths can selectively cause redistribution of melanin-laden organelles within human keratinocytes in vivo and that this phenomenon accounts for the visually observed hyperpigmentation that develops soon after single exposures to these wavelengths. Dispersion of melanosomal complexes may be another mechanism by which UV-radiation (UVR) can induce tanning in human skin.

  9. [Thermoplastic mask in radiotherapy: a source of anxiety for the patient?].

    Science.gov (United States)

    Arino, C; Stadelmaier, N; Dupin, C; Kantor, G; Henriques de Figueiredo, B

    2014-12-01

    The thermoplastic mask often used to immobilize patients in radiotherapy can cause varying levels of stress and anxiety. This study aimed at evaluating the anxiety related to the use of radiotherapy masks and the coping strategies adopted by patients. Nineteen patients treated with radiotherapy mask for head and neck cancer, a brain tumour or a lymphoma, were met twice by a psychologist, either after the making of the mask and the first course of radiotherapy, or in the middle and at the end of treatment. Thirty-four semi-structured interviews were treated using a thematic content analysis and 13 patients answered to anxiety (STAI-YB) and coping (WCC) scales. The STAI-YB anxiety scores related to wearing the masks were low during the radiotherapy treatment period, and were confirmed by the remarks of patients recorded during the semi-structured interviews. Most patients had a positive perception of the mask, and considered it as a friend or protection. Twelve out of the 13 patients admitting to anxiety benefited from problem focused coping strategies. Thermoplastic mask-related anxiety is low and possibly lies in the positive representation patients have about the mask. The explanations provided by health professionals on the radiotherapy mask possibly have a very positive effect on this perception. Copyright © 2014 Société française de radiothérapie oncologique (SFRO). Published by Elsevier SAS. All rights reserved.

  10. Performance of an Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    Science.gov (United States)

    Newman, Kevin; Belikov, Ruslan; Pluzhnik, Eugene; Balasubramanian, Kunjithapatham; Wilson, Dan

    2014-01-01

    Coronagraph technology combined with wavefront control is close to achieving the contrast and inner working angle requirements in the lab necessary to observe the faint signal of an Earth-like exoplanet in monochromatic light. An important remaining technological challenge is to achieve high contrast in broadband light. Coronagraph bandwidth is largely limited by chromaticity of the focal plane mask, which is responsible for blocking the stellar PSF. The size of a stellar PSF scales linearly with wavelength; ideally, the size of the focal plane mask would also scale with wavelength. A conventional hard-edge focal plane mask has a fixed size, normally sized for the longest wavelength in the observational band to avoid starlight leakage. The conventional mask is oversized for shorter wavelengths and blocks useful discovery space. Recently we presented a solution to the size chromaticity challenge with a focal plane mask designed to scale its effective size with wavelength. In this paper, we analyze performance of the achromatic size-scaling focal plane mask within a Phase Induced Amplitude Apodization (PIAA) coronagraph. We present results from wavefront control around the achromatic focal plane mask, and demonstrate the size-scaling effect of the mask with wavelength. The edge of the dark zone, and therefore the inner working angle of the coronagraph, scale with wavelength. The achromatic mask enables operation in a wider band of wavelengths compared with a conventional hard-edge occulter.

  11. Reflective Occultation Mask for Evaluation of Occulter Designs for Planet Finding

    Science.gov (United States)

    Hagopian, John; Lyon, Richard; Shiri, Shahram; Roman, Patrick

    2011-01-01

    Advanced formation flying occulter designs utilize a large occulter mask flying in formation with an imaging telescope to block and null starlight to allow imaging of faint planets in exosolar systems. A paper describes the utilization of subscale reflective occultation masks to evaluate formation flying occulter designs. The use of a reflective mask allows mounting of the occulter by conventional means and simplifies the test configuration. The innovation alters the test set-up to allow mounting of the mask using standard techniques to eliminate the problems associated with a standard configuration. The modified configuration uses a reflective set-up whereby the star simulator reflects off of a reflective occulting mask and into an evaluation telescope. Since the mask is sized to capture all rays required for the imaging test, it can be mounted directly to a supporting fixture without interfering with the beam. Functionally, the reflective occultation mask reflects light from the star simulator instead of transmitting it, with a highly absorptive carbon nanotube layer simulating the occulter blocking mask. A subscale telescope images the star source and companion dim source that represents a planet. The primary advantage of this is that the occulter can be mounted conventionally instead of using diffractive wires or magnetic levitation.

  12. Miniaturized and green method for determination of chemical oxygen demand using UV-induced oxidation with hydrogen peroxide and single drop microextraction

    International Nuclear Information System (INIS)

    Akhoundzadeh, Jeyran; Chamsaz, Mahmoud; Costas, Marta; Lavilla, Isela; Bendicho, Carlos

    2013-01-01

    We report on a green method for the determination of low levels of chemical oxygen demand. It is based on the combination of (a) UV-induced oxidation with hydrogen peroxide, (b) headspace single-drop microextraction with in-drop precipitation, and (c) micro-turbidimetry. The generation of CO 2 after photolytic oxidation followed by its sequestration onto a microdrop of barium hydroxide gives rise to a precipitate of barium carbonate which is quantified by turbidimetry. UV-light induced oxidation was studied in the absence and presence of H 2 O 2 , ultrasound, and ferrous ion. Determinations of chemical oxygen demand were performed using potassium hydrogen phthalate as a model compound. The optimized method gives a calibration curve that is linear between 3.4 and 20 mg L −1 oxygen. The detection limit was 1.2 mg L −1 of oxygen, and the repeatability (as relative standard deviation) was around 5 %. The method was successfully applied to the determination of chemical oxygen demand in different natural waters and a synthetic wastewater. (author)

  13. High Contrast Internal and External Coronagraph Masks Produced by Various Techniques

    Science.gov (United States)

    Balasubramanian, Kunjithapatha; Wilson, Daniel; White, Victor; Muller, Richard; Dickie, Matthew; Yee, Karl; Ruiz, Ronald; Shaklan, Stuart; Cady, Eric; Kern, Brian; hide

    2013-01-01

    Masks for high contrast internal and external coronagraphic imaging require a variety of masks depending on different architectures to suppress star light. Various fabrication technologies are required to address a wide range of needs including gradient amplitude transmission, tunable phase profiles, ultra-low reflectivity, precise small scale features, and low-chromaticity. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks, and lab-scale external occulter type masks by various techniques including electron beam, ion beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each. Further development is in progress to produce circular masks of various kinds for obscured aperture telescopes.

  14. Development of computer program ENMASK for prediction of residual environmental masking-noise spectra, from any three independent environmental parameters

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Y.-S.; Liebich, R. E.; Chun, K. C.

    2000-03-31

    Residual environmental sound can mask intrusive4 (unwanted) sound. It is a factor that can affect noise impacts and must be considered both in noise-impact studies and in noise-mitigation designs. Models for quantitative prediction of sensation level (audibility) and psychological effects of intrusive noise require an input with 1/3 octave-band spectral resolution of environmental masking noise. However, the majority of published residual environmental masking-noise data are given with either octave-band frequency resolution or only single A-weighted decibel values. A model has been developed that enables estimation of 1/3 octave-band residual environmental masking-noise spectra and relates certain environmental parameters to A-weighted sound level. This model provides a correlation among three environmental conditions: measured residual A-weighted sound-pressure level, proximity to a major roadway, and population density. Cited field-study data were used to compute the most probable 1/3 octave-band sound-pressure spectrum corresponding to any selected one of these three inputs. In turn, such spectra can be used as an input to models for prediction of noise impacts. This paper discusses specific algorithms included in the newly developed computer program ENMASK. In addition, the relative audibility of the environmental masking-noise spectra at different A-weighted sound levels is discussed, which is determined by using the methodology of program ENAUDIBL.

  15. Backward masking, the suffix effect, and preperceptual storage.

    Science.gov (United States)

    Kallman, H J; Massaro, D W

    1983-04-01

    This article considers the use of auditory backward recognition masking (ABRM) and stimulus suffix experiments as indexes of preperceptual auditory storage. In the first part of the article, two ABRM experiments that failed to demonstrate a mask disinhibition effect found previously in stimulus suffix experiments are reported. The failure to demonstrate mask disinhibition is inconsistent with an explanation of ABRM in terms of lateral inhibition. In the second part of the article, evidence is presented to support the conclusion that the suffix effect involves the contributions of later processing stages and does not provide an uncontaminated index of preperceptual storage. In contrast, it is claimed that ABRM experiments provide the most direct index of the temporal course of perceptual recognition. Partial-report tasks and other paradigms are also evaluated in terms of their contributions to an understanding of preperceptual auditory storage. Differences between interruption and integration masking are discussed along with the role of preperceptual auditory storage in speech perception.

  16. Selenium inhibits UV-light-induced skin carcinogenesis in hairless mice

    International Nuclear Information System (INIS)

    Overvad, Kim; Thorling, E.B.; Bjerring, Peter; Ebbesen, Peter

    1985-01-01

    Female hairless inbred hr/hr mice were exposed to UV-B irradiation from Philips TL 40W/13 fluorescent tubes. Fractionated irradiation, given as single daily doses 5 days a week, was gradually increased from 0.04 to 0.4 J/cm 2 over 2 weeks. Irradiation at 0.4 J/cm 2 was continued for 20 weeks. Selenium supplementation given as sodium selenite in the drinking water at 2, 4 and 8 mg/l began 3 weeks before UV-irradiation and continued thereafter. Development of skin tumors was followed by weekly examinations. Statistical analyses revealed significant dose-dependent selenium-mediated protection against UV-light-induced skin cancer. Leukemia developed in 5 of 150 UV-irradiated mice as opposed to none in a group of 60 unirradiated mice. (author)

  17. Silicon waveguides produced by wafer bonding

    DEFF Research Database (Denmark)

    Poulsen, Mette; Jensen, Flemming; Bunk, Oliver

    2005-01-01

    X-ray waveguides are successfully produced employing standard silicon technology of UV photolithography and wafer bonding. Contrary to theoretical expectations for similar systems even 100 mu m broad guides of less than 80 nm height do not collapse and can be used as one dimensional waveguides...

  18. Biodosimetric analysis of medium pressure UV disinfection reactor treating unfiltered surface water

    International Nuclear Information System (INIS)

    Leinan, B.E.; Craik, S.A.; Smith, D.W.; Belosevic, M.

    2002-01-01

    Many small and medium-sized communities use chlorination of surface water as their sole treatment of potable water. Ultraviolet (UV) disinfection may offer these communities a cost effective treatment option for protection against pathogens not readily inactivated by chlorine. The effectiveness of UV reactors for microorganism reduction, however, is sensitive to UV dose delivery, which is in turn influenced by water quality characteristics. The effectiveness of a Calgon Carbon Inc. Sentinel medium-pressure UV reactor for microorganism reduction was determined using biodosimetry with two non-pathogenic indicator organisms - MS2 phage and Bacillus subtilis. Testing was conducted using low turbidity (<0.5 NTU) lake water characterized by relatively high absorbance in the UV range (UVT of approx. 87 to 88% at 254 nm). The efficiency of UV dose delivery in the reactor was determined for various operating conditions by calculating the normalized reductive equivalent irradiance (REI). With a single lamp in operation, the normalized REI measured with B. subtilis increased significantly when the flow rate through the reactor was increased from 380 L/min to 1140 L/min. This increase in reactor efficiency was believed to be due to improved reactor hydrodynamics and axial mixing that accompanied the higher flow rates. In contrast, treatment efficiency based on biodosimetry with MS2 phage was found to decrease with increasing flow rate when a single lamp was in operation. In general, treatment efficiency was greater when more than one adjacent lamp was in operation, suggesting that the influence of flow short-circuiting with single lamp operation. Differences between the outcomes observed with the two indicator microorganisms were not resolved, however, it was concluded that reactor efficiency was sensitive to both water flow rate and the number of adjacent lamps that were in operation. (author)

  19. MODELING SPECTRAL AND TEMPORAL MASKING IN THE HUMAN AUDITORY SYSTEM

    DEFF Research Database (Denmark)

    Dau, Torsten; Jepsen, Morten Løve; Ewert, Stephan D.

    2007-01-01

    An auditory signal processing model is presented that simulates psychoacoustical data from a large variety of experimental conditions related to spectral and temporal masking. The model is based on the modulation filterbank model by Dau et al. [J. Acoust. Soc. Am. 102, 2892-2905 (1997)] but inclu......An auditory signal processing model is presented that simulates psychoacoustical data from a large variety of experimental conditions related to spectral and temporal masking. The model is based on the modulation filterbank model by Dau et al. [J. Acoust. Soc. Am. 102, 2892-2905 (1997...... was tested in conditions of tone-in-noise masking, intensity discrimination, spectral masking with tones and narrowband noises, forward masking with (on- and off-frequency) noise- and pure-tone maskers, and amplitude modulation detection using different noise carrier bandwidths. One of the key properties...

  20. The inhibition of repair in UV irradiated human cells

    International Nuclear Information System (INIS)

    Collins, A.R.S.; Schor, S.L.; Johnson, R.T.

    1977-01-01

    Three different assay procedures are used to determine the effects of hydroxyurea on excision repair in UV-irradiated HeLa cells. At the cytological level, incubation of UV-irradiated metaphase cells with hydroxyurea caused chromosome decondensation. Using a modified alkaline sucrose gradient sedimentation technique involving minimal lysis before centrifugation, a marked retardation was found in the sedimentation of DNA from UV-irradiated cells incubated for a short period with hydroxyurea. The effect of hydroxyurea on the incorporation of [ 3 H]thymidine by UV-irradiated G1 cells was found to depend on the concentration of thymidine present in the medium. The results point to an inhibition of repair DNA synthesis by hydroxyurea (or deoxyadenosine), at the level of the supply of DNA precursors, i.e. in the same way that these agents inhibit semiconservative DNA synthesis. In the presence of these inhibitors, single-strand gaps accumulate in the DNA