WorldWideScience

Sample records for silicon web process

  1. Silicon web process development

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Skutch, M. E.; Driggers, J. M.; Hopkins, R. H.

    1981-01-01

    The silicon web process takes advantage of natural crystallographic stabilizing forces to grow long, thin single crystal ribbons directly from liquid silicon. The ribbon, or web, is formed by the solidification of a liquid film supported by surface tension between two silicon filaments, called dendrites, which border the edges of the growing strip. The ribbon can be propagated indefinitely by replenishing the liquid silicon as it is transformed to crystal. The dendritic web process has several advantages for achieving low cost, high efficiency solar cells. These advantages are discussed.

  2. Silicon Web Process Development. [for solar cell fabrication

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Hopkins, R. H.; Mchugh, J. P.; Hill, F. E.; Heimlich, M. E.; Driggers, J. M.

    1979-01-01

    Silicon dendritic web, ribbon form of silicon and capable of fabrication into solar cells with greater than 15% AMl conversion efficiency, was produced from the melt without die shaping. Improvements were made both in the width of the web ribbons grown and in the techniques to replenish the liquid silicon as it is transformed to web. Through means of improved thermal shielding stress was reduced sufficiently so that web crystals nearly 4.5 cm wide were grown. The development of two subsystems, a silicon feeder and a melt level sensor, necessary to achieve an operational melt replenishment system, is described. A gas flow management technique is discussed and a laser reflection method to sense and control the melt level as silicon is replenished is examined.

  3. Low cost silicon solar array project large area silicon sheet task: Silicon web process development

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Blais, P. D.; Davis, J. R., Jr.

    1977-01-01

    Growth configurations were developed which produced crystals having low residual stress levels. The properties of a 106 mm diameter round crucible were evaluated and it was found that this design had greatly enhanced temperature fluctuations arising from convection in the melt. Thermal modeling efforts were directed to developing finite element models of the 106 mm round crucible and an elongated susceptor/crucible configuration. Also, the thermal model for the heat loss modes from the dendritic web was examined for guidance in reducing the thermal stress in the web. An economic analysis was prepared to evaluate the silicon web process in relation to price goals.

  4. Silicon web process development. [for low cost solar cells

    Science.gov (United States)

    Duncan, C. S.; Hopkins, R. H.; Seidensticker, R. G.; Mchugh, J. P.; Hill, F. E.; Heimlich, M. E.; Driggers, J. M.

    1979-01-01

    Silicon dendritic web, a single crystal ribbon shaped during growth by crystallographic forces and surface tension (rather than dies), is a highly promising base material for efficient low cost solar cells. The form of the product smooth, flexible strips 100 to 200 microns thick, conserves expensive silicon and facilitates automation of crystal growth and the subsequent manufacturing of solar cells. These characteristics, coupled with the highest demonstrated ribbon solar cell efficiency-15.5%-make silicon web a leading candidate to achieve, or better, the 1986 Low Cost Solar Array (LSA) Project cost objective of 50 cents per peak watt of photovoltaic output power. The main objective of the Web Program, technology development to significantly increase web output rate, and to show the feasibility for simultaneous melt replenishment and growth, have largely been accomplished. Recently, web output rates of 23.6 sq cm/min, nearly three times the 8 sq cm/min maximum rate of a year ago, were achieved. Webs 4 cm wide or greater were grown on a number of occassions.

  5. Development of processes for the production of low cost silicon dendritic web for solar cells

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Hopkins, R. H.; Skutch, M. E.; Driggers, J. M.; Hill, F. E.

    1980-01-01

    High area output rates and continuous, automated growth are two key technical requirements for the growth of low-cost silicon ribbons for solar cells. By means of computer-aided furnace design, silicon dendritic web output rates as high as 27 sq cm/min have been achieved, a value in excess of that projected to meet a $0.50 per peak watt solar array manufacturing cost. The feasibility of simultaneous web growth while the melt is replenished with pelletized silicon has also been demonstrated. This step is an important precursor to the development of an automated growth system. Solar cells made on the replenished material were just as efficient as devices fabricated on typical webs grown without replenishment. Moreover, web cells made on a less-refined, pelletized polycrystalline silicon synthesized by the Battelle process yielded efficiencies up to 13% (AM1).

  6. Dislocation dynamics of web type silicon ribbon

    Energy Technology Data Exchange (ETDEWEB)

    Dillon, Jr, O W; Tsai, C T; DeAngelis, R J

    1987-03-01

    Silicon ribbon grown by the dendritic web process passes through a rapidly changing thermal profile in the growth direction. This rapidly changing profile induces stresses which produce changes in the dislocation density in the ribbon. A viscoplastic material response function (Haasen-Sumino model) is used herein to calculate the stresses and the dislocation density at each point in the silicon ribbon. The residual stresses are also calculated.

  7. Silicon scaffolds promoting three-dimensional neuronal web of cytoplasmic processes.

    Science.gov (United States)

    Papadopoulou, Evie L; Samara, Athina; Barberoglou, Marios; Manousaki, Aleka; Pagakis, Stamatis N; Anastasiadou, Ema; Fotakis, Costas; Stratakis, Emmanuel

    2010-06-01

    Primary neurons were grown on structured silicon (Si) substrates, in the absence of chemotropic factors or synthetic extracellular matrix. The Si substrates used for the study comprise hierarchical structures in the micro- and nanolength scales. The substrates were structured via femtosecond laser irradiation of the Si wafer, in a reactive SF(6) environment. Electron microscopy revealed that the neurons formed an elaborate web of cytoplasmic processes in the absence of glial elements. The neuronal cytoplasm autografted the depth of the spikes, and the neurite sprouting took place over the spikes surface. Here we demonstrate how microfabrication of a Si surface provides an excellent platform for multifaceted studies of neuronal specimens.

  8. Large area sheet task. Advanced dendritic web growth development. [silicon films

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Hopkins, R. H.; Meier, D.; Frantti, E.; Schruben, J.

    1981-01-01

    The development of a silicon dendritic web growth machine is discussed. Several refinements to the sensing and control equipment for melt replenishment during web growth are described and several areas for cost reduction in the components of the prototype automated web growth furnace are identified. A circuit designed to eliminate the sensitivity of the detector signal to the intensity of the reflected laser beam used to measure melt level is also described. A variable speed motor for the silicon feeder is discussed which allows pellet feeding to be accomplished at a rate programmed to match exactly the silicon removed by web growth.

  9. Development of high efficiency solar cells on silicon web

    Science.gov (United States)

    Rohatgi, A.; Meier, D. L.; Campbell, R. B.; Schmidt, D. N.; Rai-Choudhury, P.

    1984-01-01

    Web base material is being improved with a goal toward obtaining solar cell efficiencies in excess of 18% (AM1). Carrier loss mechanisms in web silicon was investigated, techniques were developed to reduce carrier recombination in the web, and web cells were fabricated using effective surface passivation. The effect of stress on web cell performance was also investigated.

  10. Process research of non-CZ silicon material

    Science.gov (United States)

    Campbell, R. B.

    1984-01-01

    Advanced processing techniques for non-CZ silicon sheet material that might improve the cost effectiveness of photovoltaic module production were investigated. Specifically, the simultaneous diffusion of liquid boron and liquid phosphorus organometallic precursors into n-type dendritic silicon web was examined. The simultaneous junction formation method for solar cells was compared with the sequential junction formation method. The electrical resistivity of the n-n and p-n junctions was discussed. Further research activities for this program along with a program documentation schedule are given.

  11. Silicon Solar Cell Process Development, Fabrication and Analysis, Phase 1

    Science.gov (United States)

    Yoo, H. I.; Iles, P. A.; Tanner, D. P.

    1979-01-01

    Solar cells from RTR ribbons, EFG (RF and RH) ribbons, dendritic webs, Silso wafers, cast silicon by HEM, silicon on ceramic, and continuous Czochralski ingots were fabricated using a standard process typical of those used currently in the silicon solar cell industry. Back surface field (BSF) processing and other process modifications were included to give preliminary indications of possible improved performance. The parameters measured included open circuit voltage, short circuit current, curve fill factor, and conversion efficiency (all taken under AM0 illumination). Also measured for typical cells were spectral response, dark I-V characteristics, minority carrier diffusion length, and photoresponse by fine light spot scanning. the results were compared to the properties of cells made from conventional single crystalline Czochralski silicon with an emphasis on statistical evaluation. Limited efforts were made to identify growth defects which will influence solar cell performance.

  12. Process research of non-Czochralski silicon material

    Science.gov (United States)

    Campbell, R. B.

    1986-01-01

    Simultaneous diffusion of liquid precursors containing phosphorus and boron into dendritic web silicon to form solar cell structures was investigated. A simultaneous junction formation techniques was developed. It was determined that to produce high quality cells, an annealing cycle (nominal 800 C for 30 min) should follow the diffusion process to anneal quenched-in defects. Two ohm-cm n-base cells were fabricated with efficiencies greater than 15%. A cost analysis indicated that the simultansous diffusion process costs can be as low as 65% of the costs of the sequential diffusion process.

  13. Low cost solar array project cell and module formation research area: Process research of non-CZ silicon material

    Science.gov (United States)

    1981-01-01

    Liquid diffusion masks and liquid applied dopants to replace the CVD Silox masking and gaseous diffusion operations specified for forming junctions in the Westinghouse baseline process sequence for producing solar cells from dendritic web silicon were investigated. The baseline diffusion masking and drive processes were compared with those involving direct liquid applications to the dendritic web silicon strips. Attempts were made to control the number of variables by subjecting dendritic web strips cut from a single web crystal to both types of operations. Data generated reinforced earlier conclusions that efficiency levels at least as high as those achieved with the baseline back junction formation process can be achieved using liquid diffusion masks and liquid dopants. The deliveries of dendritic web sheet material and solar cells specified by the current contract were made as scheduled.

  14. Resistivity and thickness effects in dendritic web silicon solar cells

    Science.gov (United States)

    Meier, D. L.; Hwang, J. M.; Greggi, J.; Campbell, R. B.

    1987-01-01

    The decrease of minority carrier lifetime as resistivity decreases in dendritic-web silicon solar cells is addressed. This variation is shown to be consistent with the presence of defect levels in the bandgap which arise from extended defects in the web material. The extended defects are oxide precipitates (SiOx) and the dislocation cores they decorate. Sensitivity to this background distribution of defect levels increases with doping because the Fermi level moves closer to the majority carrier band edge. For high-resistivity dendritic-web silicon, which has a low concentration of these extended defects, cell efficiencies as high as 16.6 percent (4 sq cm, 40 ohm-cm boron-doped base, AM1.5 global, 100 mW/sq cm, 25 C JPL LAPSS1 measurement) and a corresponding electron lifetime of 38 microsec have been obtained. Thickness effects occur in bifacial cell designs and in designs which use light trapping. In some cases, the dislocation/precipitate defect can be passivated through the full thickness of web cells by hydrogen ion implantation.

  15. Process research on non-CZ silicon material

    Science.gov (United States)

    1982-01-01

    High risk, high payoff research areas associated with he process for producing photovoltaic modules using non-CZ sheet material are investigated. All investigations are being performed using dendritic web silicon, but all processes are directly applicable to other ribbon forms of sheet material. The technical feasibility of forming front and back junctions in non-CZ silicon using liquid dopant techniques was determined. Numerous commercially available liquid phosphorus and boron dopant solutions are investigated. Temperature-time profiles to achieve N(+) and P(+) sheet resistivities of 60 + or - 10 and 40 + or - s10 ohms per square centimeter respectively are established. A study of the optimal method of liquid dopant application is performed. The technical feasibility of forming a liquid applied diffusion mask to replace the more costly chemical vapor deposited SiO2 diffusion mask was also determined.

  16. Development of high-efficiency solar cells on silicon web

    Science.gov (United States)

    Meier, D. L.; Greggi, J.; Okeeffe, T. W.; Rai-Choudhury, P.

    1986-01-01

    Work was performed to improve web base material with a goal of obtaining solar cell efficiencies in excess of 18% (AM1). Efforts in this program are directed toward identifying carrier loss mechanisms in web silicon, eliminating or reducing these mechanisms, designing a high efficiency cell structure with the aid of numerical models, and fabricating high efficiency web solar cells. Fabrication techniques must preserve or enhance carrier lifetime in the bulk of the cell and minimize recombination of carriers at the external surfaces. Three completed cells were viewed by cross-sectional transmission electron microscopy (TEM) in order to investigate further the relation between structural defects and electrical performance of web cells. Consistent with past TEM examinations, the cell with the highest efficiency (15.0%) had no dislocations but did have 11 twin planes.

  17. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  18. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  19. Low cost solar array project. Cell and module formation research area. Process research of non-CZ silicon material

    Science.gov (United States)

    1983-01-01

    Liquid diffusion masks and liquid dopants to replace the more expensive CVD SiO2 mask and gaseous diffusion processes were investigated. Silicon pellets were prepared in the silicon shot tower; and solar cells were fabricated using web grown where the pellets were used as a replenishment material. Verification runs were made using the boron dopant and liquid diffusion mask materials. The average of cells produced in these runs was 13%. The relationship of sheet resistivity, temperature, gas flows, and gas composition for the diffusion of the P-8 liquid phosphorus solution was investigated. Solar cells processed from web grown from Si shot material were evaluated, and results qualified the use of the material produced in the shot tower for web furnace feed stock.

  20. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  1. Silicon processing for photovoltaics II

    CERN Document Server

    Khattak, CP

    2012-01-01

    The processing of semiconductor silicon for manufacturing low cost photovoltaic products has been a field of increasing activity over the past decade and a number of papers have been published in the technical literature. This volume presents comprehensive, in-depth reviews on some of the key technologies developed for processing silicon for photovoltaic applications. It is complementary to Volume 5 in this series and together they provide the only collection of reviews in silicon photovoltaics available.The volume contains papers on: the effect of introducing grain boundaries in silicon; the

  2. Wiping frictional properties of electrospun hydrophobic/hydrophilic polyurethane nanofiber-webs on soda-lime glass and silicon-wafer.

    Science.gov (United States)

    Watanabe, Kei; Wei, Kai; Nakashima, Ryu; Kim, Ick Soo; Enomoto, Yuji

    2013-04-01

    In the present work, we conducted the frictional tests of hydrophobic and hydrophilic polyurethane (PUo and PUi) nanofiber webs against engineering materials; soda-lime glass and silicon wafer. PUi/glass combination, with highest hydrophilicity, showed the highest friction coefficient which decrease with the increase of the applied load. Furthermore, the effects of fluorine coating are also investigated. The friction coefficient of fluorine coated hydrophobic PU nanofiber (PUof) shows great decrease against the silicon wafer. Finally, wiping ability and friction property are investigated when the substrate surface is contaminated. Nano-particle dusts are effectively collected into the pores by wiping with PUo and PUi nanofiber webs both on glass and silicon wafer. The friction coefficient gradually increased with the increase of the applied load.

  3. Process research of non-cz silicon material. Low cost solar array project, cell and module formation research area

    Science.gov (United States)

    1982-01-01

    Liquid diffusion masks and liquid applied dopants to replace the CVD Silox masking and gaseous diffusion operations specified for forming junctions in the Westinghouse baseline process sequence for producing solar cells from dendritic web silicon were investigated.

  4. Plastic deformation of silicon dendritic web ribbons during the growth

    Science.gov (United States)

    Cheng, L. J.; Dumas, K. A.; Su, B. M.; Leipold, M. H.

    1984-01-01

    The distribution of slip dislocations in silicon dendritic web ribbons due to plastic deformation during the cooling phase of the growth was studied. The results show the existence of two distinguishable stress regions across the ribbon formed during the plastic deformation stage, namely, shear stress at the ribbon edges and tensile stress at the middle. In addition, slip dislocations caused by shear stress near the edges appear to originate at the twin plane.

  5. Electrical and Structural Characterization of Web Dendrite Crystals

    Science.gov (United States)

    Schwuttke, G. H.; Koliwad, K.; Dumas, K. A.

    1985-01-01

    Minority carrier lifetime distributions in silicon web dendrites are measured. Emphasis is placed on measuring areal homogeneity of lifetime, show its dependency on structural defects, and its unique change during hot processing. The internal gettering action of defect layers present in web crystals and their relation to minority carrier lifetime distributions is discussed. Minority carrier lifetime maps of web dendrites obtained before and after high temperature heat treatment are compared to similar maps obtained from 100 mm diameter Czochralski silicon wafers. Such maps indicate similar or superior areal homogeneity of minority carrier lifetime in webs.

  6. Impurity doping processes in silicon

    CERN Document Server

    Wang, FFY

    1981-01-01

    This book introduces to non-experts several important processes of impurity doping in silicon and goes on to discuss the methods of determination of the concentration of dopants in silicon. The conventional method used is the discussion process, but, since it has been sufficiently covered in many texts, this work describes the double-diffusion method.

  7. Process of preparing tritiated porous silicon

    Science.gov (United States)

    Tam, Shiu-Wing

    1997-01-01

    A process of preparing tritiated porous silicon in which porous silicon is equilibrated with a gaseous vapor containing HT/T.sub.2 gas in a diluent for a time sufficient for tritium in the gas phase to replace hydrogen present in the pore surfaces of the porous silicon.

  8. Advanced dendritic web growth development

    Science.gov (United States)

    Hopkins, R. H.

    1985-01-01

    A program to develop the technology of the silicon dendritic web ribbon growth process is examined. The effort is being concentrated on the area rate and quality requirements necessary to meet the JPL/DOE goals for terrestrial PV applications. Closed loop web growth system development and stress reduction for high area rate growth is considered.

  9. Process-oriented semantic web search

    CERN Document Server

    Tran, DT

    2011-01-01

    The book is composed of two main parts. The first part is a general study of Semantic Web Search. The second part specifically focuses on the use of semantics throughout the search process, compiling a big picture of Process-oriented Semantic Web Search from different pieces of work that target specific aspects of the process.In particular, this book provides a rigorous account of the concepts and technologies proposed for searching resources and semantic data on the Semantic Web. To collate the various approaches and to better understand what the notion of Semantic Web Search entails, this bo

  10. Silicon Photonics Cloud (SiCloud)

    DEFF Research Database (Denmark)

    DeVore, P. T. S.; Jiang, Y.; Lynch, M.

    2015-01-01

    Silicon Photonics Cloud (SiCloud.org) is the first silicon photonics interactive web tool. Here we report new features of this tool including mode propagation parameters and mode distribution galleries for user specified waveguide dimensions and wavelengths.......Silicon Photonics Cloud (SiCloud.org) is the first silicon photonics interactive web tool. Here we report new features of this tool including mode propagation parameters and mode distribution galleries for user specified waveguide dimensions and wavelengths....

  11. Process-aware web programming with Jolie

    DEFF Research Database (Denmark)

    Montesi, F.

    2016-01-01

    We extend the Jolie programming language to capture the native modelling of process-aware web information systems, i.e., web information systems based upon the execution of business processes. Our main contribution is to offer a unifying approach for the programming of distributed architectures...... on the web, which can capture web servers, stateful process execution, and the composition of services via mediation. We discuss applications of this approach through a series of examples that cover, e.g., static content serving, multiparty sessions, and the evolution of web systems. Finally, we present...... a performance evaluation that includes a comparison of Jolie-based web systems to other frameworks and a measurement of its scalability. © 2016 Elsevier B.V....

  12. Laser process for extended silicon thin film solar cells

    International Nuclear Information System (INIS)

    Hessmann, M.T.; Kunz, T.; Burkert, I.; Gawehns, N.; Schaefer, L.; Frick, T.; Schmidt, M.; Meidel, B.; Auer, R.; Brabec, C.J.

    2011-01-01

    We present a large area thin film base substrate for the epitaxy of crystalline silicon. The concept of epitaxial growth of silicon on large area thin film substrates overcomes the area restrictions of an ingot based monocrystalline silicon process. Further it opens the possibility for a roll to roll process for crystalline silicon production. This concept suggests a technical pathway to overcome the limitations of silicon ingot production in terms of costs, throughput and completely prevents any sawing losses. The core idea behind these thin film substrates is a laser welding process of individual, thin silicon wafers. In this manuscript we investigate the properties of laser welded monocrystalline silicon foils (100) by micro-Raman mapping and spectroscopy. It is shown that the laser beam changes the crystalline structure of float zone grown silicon along the welding seam. This is illustrated by Raman mapping which visualizes compressive stress as well as tensile stress in a range of - 147.5 to 32.5 MPa along the welding area.

  13. Simulation of atomistic processes during silicon oxidation

    OpenAIRE

    Bongiorno, Angelo

    2003-01-01

    Silicon dioxide (SiO2) films grown on silicon monocrystal (Si) substrates form the gate oxides in current Si-based microelectronics devices. The understanding at the atomic scale of both the silicon oxidation process and the properties of the Si(100)-SiO2 interface is of significant importance in state-of-the-art silicon microelectronics manufacturing. These two topics are intimately coupled and are both addressed in this theoretical investigation mainly through first-principles calculations....

  14. Advanced dendritic web growth development and development of single-crystal silicon dendritic ribbon and high-efficiency solar cell program

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Hopkins, R. H.

    1986-01-01

    Efforts to demonstrate that the dendritic web technology is ready for commercial use by the end of 1986 continues. A commercial readiness goal involves improvements to crystal growth furnace throughput to demonstrate an area growth rate of greater than 15 sq cm/min while simultaneously growing 10 meters or more of ribbon under conditions of continuous melt replenishment. Continuous means that the silicon melt is being replenished at the same rate that it is being consumed by ribbon growth so that the melt level remains constant. Efforts continue on computer thermal modeling required to define high speed, low stress, continuous growth configurations; the study of convective effects in the molten silicon and growth furnace cover gas; on furnace component modifications; on web quality assessments; and on experimental growth activities.

  15. Structural modification of silicon during the formation process of porous silicon

    International Nuclear Information System (INIS)

    Martin-Palma, R.J.; Pascual, L.; Landa-Canovas, A.R.; Herrero, P.; Martinez-Duart, J.M.

    2005-01-01

    Direct examination of porous silicon (PS) by the use of high resolution transmission electron microscopy (HRTEM) allowed us to perform a deep insight into the formation mechanisms of this material. In particular, the structure of the PS/Si interface and that of the silicon nanocrystals that compose porous silicon were analyzed in detail. Furthermore, image processing was used to study in detail the structure of PS. The mechanism of PS formation and lattice matching between the PS layer and the Si substrate is analyzed and discussed. Finally, a formation mechanism for PS based on the experimental observations is proposed

  16. Process development for high-efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Gee, J.M.; Basore, P.A.; Buck, M.E.; Ruby, D.S.; Schubert, W.K.; Silva, B.L.; Tingley, J.W.

    1991-12-31

    Fabrication of high-efficiency silicon solar cells in an industrial environment requires a different optimization than in a laboratory environment. Strategies are presented for process development of high-efficiency silicon solar cells, with a goal of simplifying technology transfer into an industrial setting. The strategies emphasize the use of statistical experimental design for process optimization, and the use of baseline processes and cells for process monitoring and quality control. 8 refs.

  17. Broadband Nonlinear Signal Processing in Silicon Nanowires

    DEFF Research Database (Denmark)

    Yvind, Kresten; Pu, Minhao; Hvam, Jørn Märcher

    The fast non-linearity of silicon allows Tbit/s optical signal processing. By choosing suitable dimensions of silicon nanowires their dispersion can be tailored to ensure a high nonlinearity at power levels low enough to avoid significant two-photon abso We have fabricated low insertion...

  18. Web-Based Distributed XML Query Processing

    NARCIS (Netherlands)

    Smiljanic, M.; Feng, L.; Jonker, Willem; Blanken, Henk; Grabs, T.; Schek, H-J.; Schenkel, R.; Weikum, G.

    2003-01-01

    Web-based distributed XML query processing has gained in importance in recent years due to the widespread popularity of XML on the Web. Unlike centralized and tightly coupled distributed systems, Web-based distributed database systems are highly unpredictable and uncontrollable, with a rather

  19. Development of low cost silicon solar cells by reusing the silicon saw dust collected during wafering process

    International Nuclear Information System (INIS)

    Zaidi, Z.I.; Raza, B.; Ahmed, M.; Sheikh, H.; Qazi, I.A.

    2002-01-01

    Silicon material due to its abundance in nature and maximum conversion efficiency has been successfully being used for the fabrication of electronic and photovoltaic devices such as ICs, diodes, transistors and solar cells. The 80% of the semiconductor industry is ruled by silicon material. Single crystal silicon solar cells are in use for both space and terrestrial application, due to the well developed technology and better efficiency than polycrystalline and amorphous silicon solar cells. The current research work is an attempt to reduce the cost of single crystal silicon solar cells by reusing the silicon saw dust obtained during the watering process. During the watering process about 45% Si material is wasted in the form of Si powder dust. Various waste powder silicon samples were analyzed using inductively Coupled Plasma (ICP) technique, for metallic impurities critical for solar grade silicon material. The results were evaluated from impurity and cost point of view. (author)

  20. Ultrafast Nonlinear Signal Processing in Silicon Waveguides

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Mulvad, Hans Christian Hansen; Hu, Hao

    2012-01-01

    We describe recent demonstrations of exploiting highly nonlinear silicon waveguides for ultrafast optical signal processing. We describe wavelength conversion and serial-to-parallel conversion of 640 Gbit/s data signals and 1.28 Tbit/s demultiplexing and all-optical sampling.......We describe recent demonstrations of exploiting highly nonlinear silicon waveguides for ultrafast optical signal processing. We describe wavelength conversion and serial-to-parallel conversion of 640 Gbit/s data signals and 1.28 Tbit/s demultiplexing and all-optical sampling....

  1. Linear signal processing using silicon micro-ring resonators

    DEFF Research Database (Denmark)

    Peucheret, Christophe; Ding, Yunhong; Ou, Haiyan

    2012-01-01

    We review our recent achievements on the use of silicon micro-ring resonators for linear optical signal processing applications, including modulation format conversion, phase-to-intensity modulation conversion and waveform shaping.......We review our recent achievements on the use of silicon micro-ring resonators for linear optical signal processing applications, including modulation format conversion, phase-to-intensity modulation conversion and waveform shaping....

  2. Simple processing of high efficiency silicon solar cells

    International Nuclear Information System (INIS)

    Hamammu, I.M.; Ibrahim, K.

    2006-01-01

    Cost effective photovoltaic devices have been an area research since the development of the first solar cells, as cost is the major factor in their usage. Silicon solar cells have the biggest share in the photovoltaic market, though silicon os not the optimal material for solar cells. This work introduces a simplified approach for high efficiency silicon solar cell processing, by minimizing the processing steps and thereby reducing cost. The suggested procedure might also allow for the usage of lower quality materials compared to the one used today. The main features of the present work fall into: simplifying the diffusion process, edge shunt isolation and using acidic texturing instead of the standard alkaline processing. Solar cells of 17% efficiency have been produced using this procedure. Investigations on the possibility of improving the efficiency and using less quality material are still underway

  3. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.

    2015-06-18

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  4. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.; Sgourou, E. N.; Londos, C. A.; Schwingenschlö gl, Udo

    2015-01-01

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  5. Oxygen measurements in thin ribbon silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hyland, S L; Ast, D G; Baghdadi, A

    1987-03-01

    The oxygen content of thin silicon ribbons grown by the dendritic web technique was measured using a modification of the ASTM method based on Fourier transform infrared spectroscopy. Web silicon was found to have a high oxygen content, ranging from 13 to 19 ppma, calculated from the absorption peak associated with interstitial oxygen and using the new ASTM conversion coefficient. The oxygen concentration changed by about 10% along the growth direction of the ribbon. In some samples, a shoulder was detected on the absorption peak. A similar shoulder in Czochralski grown material has been variously interpreted in the literature as due to a complex of silicon, oxygen, and vacancies, or to a phase of SiO/sub 2/ developed along dislocations in the material. In the case of web silicon, it is not clear which is the correct interpretation.

  6. Flat-plate solar array project process development area: Process research of non-CZ silicon material

    Science.gov (United States)

    Campbell, R. B.

    1986-01-01

    Several different techniques to simultaneously diffuse the front and back junctions in dendritic web silicon were investigated. A successful simultaneous diffusion reduces the cost of the solar cell by reducing the number of processing steps, the amount of capital equipment, and the labor cost. The three techniques studied were: (1) simultaneous diffusion at standard temperatures and times using a tube type diffusion furnace or a belt furnace; (2) diffusion using excimer laser drive-in; and (3) simultaneous diffusion at high temperature and short times using a pulse of high intensity light as the heat source. The use of an excimer laser and high temperature short time diffusion experiment were both more successful than the diffusion at standard temperature and times. The three techniques are described in detail and a cost analysis of the more successful techniques is provided.

  7. STM-excited luminescence of porous and spark-processed silicon

    International Nuclear Information System (INIS)

    Andrienko, I.; Kuznetsov, V.; Yuan, J.; Haneman, D.

    1998-01-01

    Full text: Scanning tunneling microscopy (STM) permits highly local electronic excitation of light emission (LE) from the surface of silicon. Measuring STM LE, one can study simultaneously both the topography and the luminescence properties of areas down to nm dimensions and thus make conclusions about the luminescence mechanism of the material. We have built an STM spectroscopy system which allows measurement of spectra of visible light emitted from areas as small as 13 x 13 nm 2 (porous silicon) and 10 x 10 nm 2 (spark-processed silicon). Porous silicon shows a broad emission band centered at 630 nm, and spark-processed silicon, one at 690 nm. The STM LE spectra of spark-processed silicon obtained for the first time. We have found that visible light is emitted only from areas containing nanometer-scale structures down to around 2 nm in diameter. STM LE occurs under negative bias voltage applied to the tip, i.e. when electrons are injected into the sample. Other workers used p-type silicon for the sample preparations, but it has been found that STM LE can be induced also from n-type silicon. Furthermore, we have shown that STM LE spectra can be resolved using much lover voltages and tunneling currents: -(7-9) V and 25 - 50 nA vs -(25-50) V and 100 nA. To consider different excitation mechanisms, the STM LE measurements are compared with photoluminescence and electroluminescence spectra of similar samples. We suggest that excitation of individual quantum confinement structures has been observed

  8. Web-based Surveys: Changing the Survey Process

    OpenAIRE

    Gunn, Holly

    2002-01-01

    Web-based surveys are having a profound influence on the survey process. Unlike other types of surveys, Web page design skills and computer programming expertise play a significant role in the design of Web-based surveys. Survey respondents face new and different challenges in completing a Web-based survey. This paper examines the different types of Web-based surveys, the advantages and challenges of using Web-based surveys, the design of Web-based surveys, and the issues of validity, error, ...

  9. Process for forming a porous silicon member in a crystalline silicon member

    Science.gov (United States)

    Northrup, M. Allen; Yu, Conrad M.; Raley, Norman F.

    1999-01-01

    Fabrication and use of porous silicon structures to increase surface area of heated reaction chambers, electrophoresis devices, and thermopneumatic sensor-actuators, chemical preconcentrates, and filtering or control flow devices. In particular, such high surface area or specific pore size porous silicon structures will be useful in significantly augmenting the adsorption, vaporization, desorption, condensation and flow of liquids and gasses in applications that use such processes on a miniature scale. Examples that will benefit from a high surface area, porous silicon structure include sample preconcentrators that are designed to adsorb and subsequently desorb specific chemical species from a sample background; chemical reaction chambers with enhanced surface reaction rates; and sensor-actuator chamber devices with increased pressure for thermopneumatic actuation of integrated membranes. Examples that benefit from specific pore sized porous silicon are chemical/biological filters and thermally-activated flow devices with active or adjacent surfaces such as electrodes or heaters.

  10. Process Development in the Preparation and Characterization of Silicon Alkoxide From Rice Husk

    International Nuclear Information System (INIS)

    Khin San Win; Toe Shein; Nyunt Wynn

    2011-12-01

    The preparation and characterization of silicon alkoxide (silicon isopropoxide) from rice husk char has been studied. In the investigation, four kinds of Myanmar paddies were chemically assayed. Analyses showed the silicon contend varies from 73-92% . Based on the silicon content, the process development in the production of silicon isopropoxide was carried out. In the process development, silicon isopropoxide with a yield of 44.21% was achieved by the direct reaction of isopropanol in situ by silicon tetrachloride, which was directly produced by the chlorination of rice husk char at the high temperature range of 900-1100 C. The novelity of the process was that, silicon isopropoxide was achieved in situ and not by using the old process, where generally isopropanol was reacted with silicon tetrachloride. The physiochemical properties of silicon isopropoxide was confirmed by conventional and modern techniques. In the investigation, the starting materials, silica in the reaction products were characterized, identified and confirmed by modren techniques. Silicon isopropoxide can be a sources of pore silica whereby silicon of 97-99% of purity can be achieved.

  11. Nonlinear Silicon Photonic Signal Processing Devices for Future Optical Networks

    Directory of Open Access Journals (Sweden)

    Cosimo Lacava

    2017-01-01

    Full Text Available In this paper, we present a review on silicon-based nonlinear devices for all optical nonlinear processing of complex telecommunication signals. We discuss some recent developments achieved by our research group, through extensive collaborations with academic partners across Europe, on optical signal processing using silicon-germanium and amorphous silicon based waveguides as well as novel materials such as silicon rich silicon nitride and tantalum pentoxide. We review the performance of four wave mixing wavelength conversion applied on complex signals such as Differential Phase Shift Keying (DPSK, Quadrature Phase Shift Keying (QPSK, 16-Quadrature Amplitude Modulation (QAM and 64-QAM that dramatically enhance the telecom signal spectral efficiency, paving the way to next generation terabit all-optical networks.

  12. Effect of low-energy hydrogen ion implantation on dendritic web silicon solar cells

    Science.gov (United States)

    Rohatgi, A.; Meier, D. L.; Rai-Choudhury, P.; Fonash, S. J.; Singh, R.

    1986-01-01

    The effect of a low-energy (0.4 keV), short-time (2-min), heavy-dose (10 to the 18th/sq cm) hydrogen ion implant on dendritic web silicon solar cells and material was investigated. Such an implant was observed to improve the cell open-circuit voltage and short-circuit current appreciably for a number of cells. In spite of the low implant energy, measurements of internal quantum efficiency indicate that it is the base of the cell, rather than the emitter, which benefits from the hydrogen implant. This is supported by the observation that the measured minority-carrier diffusion length in the base did not change when the emitter was removed. In some cases, a threefold increase of the base diffusion length was observed after implantation. The effects of the hydrogen implantation were not changed by a thermal stress test at 250 C for 111 h in nitrogen. It is speculated that hydrogen enters the bulk by traveling along dislocations, as proposed recently for edge-defined film-fed growth silicon ribbon.

  13. The processing and potential applications of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Syyuan Shieh.

    1992-07-01

    Stability of a cylindrical pore under the influence of surface energy is important for porous silicon (PS) processing in the integrated circuit industry. Once the zig-zag cylindrical pores of porous silicon or oxidized porous silicon (OPS) are unstable and breakup into rows of isolated spherical pores, oxidation of PS and densification/nitridation of OPS become difficult. Swing to difficulty transport of reactant gas (O{sub 2}, NH{sub 3}) or the trapped gas (for densification of OPS). A first order analysis of the stability of a cylindrical pore or cylinder is considered first. Growth of small sinusoidal perturbations by viscous flow or evaporation/condensation result in dependence of perturbation growth rate on perturbation wavelength. Rapid thermal oxidation (RTO) of porous silicon is proposed as an alternative for the tedious two-step 300 and 800C oxidation process. Transmission electron microscopy, energy dispersive spectroscopy ESCA are used for quality control. Also, rapid thermal nitridation of oxidized porous silicon in ammonia is proposed to enhance OPS resistance to HF solution. Pores breakup of OPS results in a trapped gas problem during densification. Wet helium is proposed as OPS densification ambient gas to shorten densification time. Finally, PS is proposed to be an extrinsic gettering center in silicon wafers. The suppression of oxidation-induced stacking faults is used to demonstrate the gettering ability. Possible mechanism is discussed.

  14. The processing and potential applications of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Shieh, Syyuan [Univ. of California, Berkeley, CA (United States)

    1992-07-01

    Stability of a cylindrical pore under the influence of surface energy is important for porous silicon (PS) processing in the integrated circuit industry. Once the zig-zag cylindrical pores of porous silicon or oxidized porous silicon (OPS) are unstable and breakup into rows of isolated spherical pores, oxidation of PS and densification/nitridation of OPS become difficult. Swing to difficulty transport of reactant gas (O2, NH3) or the trapped gas (for densification of OPS). A first order analysis of the stability of a cylindrical pore or cylinder is considered first. Growth of small sinusoidal perturbations by viscous flow or evaporation/condensation result in dependence of perturbation growth rate on perturbation wavelength. Rapid thermal oxidation (RTO) of porous silicon is proposed as an alternative for the tedious two-step 300 and 800C oxidation process. Transmission electron microscopy, energy dispersive spectroscopy ESCA are used for quality control. Also, rapid thermal nitridation of oxidized porous silicon in ammonia is proposed to enhance OPS resistance to HF solution. Pores breakup of OPS results in a trapped gas problem during densification. Wet helium is proposed as OPS densification ambient gas to shorten densification time. Finally, PS is proposed to be an extrinsic gettering center in silicon wafers. The suppression of oxidation-induced stacking faults is used to demonstrate the gettering ability. Possible mechanism is discussed.

  15. Silicon nanowires for ultra-fast and ultrabroadband optical signal processing

    DEFF Research Database (Denmark)

    Ji, Hua; Hu, Hao; Pu, Minhao

    2015-01-01

    In this paper, we present recent research on silicon nanowires for ultra-fast and ultra-broadband optical signal processing at DTU Fotonik. The advantages and limitations of using silicon nanowires for optical signal processing are revealed through experimental demonstrations of various optical...

  16. Silicon Nanowires for All-Optical Signal Processing in Optical Communication

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Ji, Hua

    2012-01-01

    Silicon (Si), the second most abundant element on earth, has dominated in microelectronics for many decades. It can also be used for photonic devices due to its transparency in the range of optical telecom wavelengths which will enable a platform for a monolithic integration of optics...... and microelectronics. Silicon photonic nanowire waveguides fabricated on silicon-on-insulator (SOI) substrates are crucial elements in nano-photonic integrated circuits. The strong light confinement in nanowires induced by high index contrast SOI material enhances the nonlinear effects in the silicon nanowire core...... such as four-wave mixing (FWM) which is an imperative process for optical signal processing. Since the current mature silicon fabrication technology enables a precise dimension control on nanowires, dispersion engineering can be performed by tailoring nanowire dimensions to realize an efficient nonlinear...

  17. Porous siliconformation and etching process for use in silicon micromachining

    Science.gov (United States)

    Guilinger, Terry R.; Kelly, Michael J.; Martin, Jr., Samuel B.; Stevenson, Joel O.; Tsao, Sylvia S.

    1991-01-01

    A reproducible process for uniformly etching silicon from a series of micromechanical structures used in electrical devices and the like includes providing a micromechanical structure having a silicon layer with defined areas for removal thereon and an electrochemical cell containing an aqueous hydrofluoric acid electrolyte. The micromechanical structure is submerged in the electrochemical cell and the defined areas of the silicon layer thereon are anodically biased by passing a current through the electrochemical cell for a time period sufficient to cause the defined areas of the silicon layer to become porous. The formation of the depth of the porous silicon is regulated by controlling the amount of current passing through the electrochemical cell. The micromechanical structure is then removed from the electrochemical cell and submerged in a hydroxide solution to remove the porous silicon. The process is subsequently repeated for each of the series of micromechanical structures to achieve a reproducibility better than 0.3%.

  18. All-Optical Signal Processing using Silicon Devices

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Pu, Minhao; Ding, Yunhong

    2014-01-01

    This paper presents an overview of recent wo rk on the use of silicon waveguides for processing optical data signals. We will describe ultra-fast, ultra-broadband, polarisation-insensitive and phase-sensitive applications including processing of spectrally-efficient data formats and optical phase...

  19. Optoelectronic properties of Black-Silicon generated through inductively coupled plasma (ICP) processing for crystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hirsch, Jens, E-mail: J.Hirsch@emw.hs-anhalt.de [Anhalt University of Applied Sciences, Faculty EMW, Bernburger Str. 55, DE-06366 Köthen (Germany); Fraunhofer Center for Silicon Photovoltaics CSP, Otto-Eißfeldt-Str. 12, DE-06120 Halle (Saale) (Germany); Gaudig, Maria; Bernhard, Norbert [Anhalt University of Applied Sciences, Faculty EMW, Bernburger Str. 55, DE-06366 Köthen (Germany); Lausch, Dominik [Fraunhofer Center for Silicon Photovoltaics CSP, Otto-Eißfeldt-Str. 12, DE-06120 Halle (Saale) (Germany)

    2016-06-30

    Highlights: • Fabrication of black silicon through inductively coupled plasma (ICP) processing. • Suppressed formation a self-bias and therefore a reduced ion bombardment of the silicon sample. • Reduction of the average hemispherical reflection between 300 and 1120 nm up to 8% within 5 min ICP process time. • Reflection is almost independent of the angle of incidence up to 60°. • 2.5 ms effective lifetime at 10{sup 15} cm{sup −3} MCD after ALD Al{sub 2}O{sub 3} surface passivation. - Abstract: The optoelectronic properties of maskless inductively coupled plasma (ICP) generated black silicon through SF{sub 6} and O{sub 2} are analyzed by using reflection measurements, scanning electron microscopy (SEM) and quasi steady state photoconductivity (QSSPC). The results are discussed and compared to capacitively coupled plasma (CCP) and industrial standard wet chemical textures. The ICP process forms parabolic like surface structures in a scale of 500 nm. This surface structure reduces the average hemispherical reflection between 300 and 1120 nm up to 8%. Additionally, the ICP texture shows a weak increase of the hemispherical reflection under tilted angles of incidence up to 60°. Furthermore, we report that the ICP process is independent of the crystal orientation and the surface roughness. This allows the texturing of monocrystalline, multicrystalline and kerf-less wafers using the same parameter set. The ICP generation of black silicon does not apply a self-bias on the silicon sample. Therefore, the silicon sample is exposed to a reduced ion bombardment, which reduces the plasma induced surface damage. This leads to an enhancement of the effective charge carrier lifetime up to 2.5 ms at 10{sup 15} cm{sup −3} minority carrier density (MCD) after an atomic layer deposition (ALD) with Al{sub 2}O{sub 3}. Since excellent etch results were obtained already after 4 min process time, we conclude that the ICP generation of black silicon is a promising technique

  20. Process for making silicon

    Science.gov (United States)

    Levin, Harry (Inventor)

    1987-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  1. Materials issues in silicon integrated circuit processing

    International Nuclear Information System (INIS)

    Wittmer, M.; Stimmell, J.; Strathman, M.

    1986-01-01

    The symposium on ''Materials Issues in Integrated Circuit Processing'' sought to bring together all of the materials issued pertinent to modern integrated circuit processing. The inherent properties of the materials are becoming an important concern in integrated circuit manufacturing and accordingly research in materials science is vital for the successful implementation of modern integrated circuit technology. The session on Silicon Materials Science revealed the advanced stage of knowledge which topics such as point defects, intrinsic and extrinsic gettering and diffusion kinetics have achieved. Adaption of this knowledge to specific integrated circuit processing technologies is beginning to be addressed. The session on Epitaxy included invited papers on epitaxial insulators and IR detectors. Heteroepitaxy on silicon is receiving great attention and the results presented in this session suggest that 3-d integrated structures are an increasingly realistic possibility. Progress in low temperature silicon epitaxy and epitaxy of thin films with abrupt interfaces was also reported. Diffusion and Ion Implantation were well presented. Regrowth of implant-damaged layers and the nature of the defects which remain after regrowth were discussed in no less than seven papers. Substantial progress was also reported in the understanding of amorphising boron implants and the use of gallium implants for the formation of shallow p/sup +/ -layers

  2. Ultra-Fast Optical Signal Processing in Nonlinear Silicon Waveguides

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Galili, Michael; Pu, Minhao

    2011-01-01

    We describe recent demonstrations of exploiting highly nonlinear silicon nanowires for processing Tbit/s optical data signals. We perform demultiplexing and optical waveform sampling of 1.28 Tbit/s and wavelength conversion of 640 Gbit/s data signals.......We describe recent demonstrations of exploiting highly nonlinear silicon nanowires for processing Tbit/s optical data signals. We perform demultiplexing and optical waveform sampling of 1.28 Tbit/s and wavelength conversion of 640 Gbit/s data signals....

  3. Silicon Photonics for Signal Processing of Tbit/s Serial Data Signals

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Ji, Hua; Galili, Michael

    2012-01-01

    In this paper, we describe our recent work on signal processing of terabit per second optical serial data signals using pure silicon waveguides. We employ nonlinear optical signal processing in nanoengineered silicon waveguides to perform demultiplexing and optical waveform sampling of 1.28-Tbit/...

  4. Energy and exergy analysis of the silicon production process

    International Nuclear Information System (INIS)

    Takla, M.; Kamfjord, N.E.; Tveit, Halvard; Kjelstrup, S.

    2013-01-01

    We used energy and exergy analysis to evaluate two industrial and one ideal (theoretical) production process for silicon. The industrial processes were considered in the absence and presence of power production from waste heat in the off-gas. The theoretical process, with pure reactants and no side-reactions, was used to provide a more realistic upper limit of performance for the others. The energy analysis documented the large thermal energy source in the off-gas system, while the exergy analysis documented the potential for efficiency improvement. We found an exergetic efficiency equal to 0.33 ± 0.02 for the process without power production. The value increased to 0.41 ± 0.03 when waste heat was utilized. For the ideal process, we found an exergetic efficiency of 0.51. Utilization of thermal exergy in an off-gas of 800 °C increased this exergetic efficiency to 0.71. Exergy destructed due to combustion of by-product gases and exergy lost with the furnace off-gas were the largest contributors to the thermodynamic inefficiency of all processes. - Highlights: • The exergetic efficiency for an industrial silicon production process when silicon is the only product was estimated to 0.33. • With additional power production from thermal energy in the off-gas we estimated the exergetic efficiency to 0.41. • The theoretical silicon production process is established as the reference case. • Exergy lost with the off-gas and exergy destructed due to combustion account for roughly 75% of the total losses. • With utilization of the thermal exergy in the off-gas at a temperature of 800 °C the exergetic efficiency was 0.71

  5. Evaluation of selected chemical processes for production of low-cost silicon

    Science.gov (United States)

    Blocher, J. M., Jr.; Browning, M. F.; Wilson, W. J.; Carmichael, D. C.

    1976-01-01

    Plant construction costs and manufacturing costs were estimated for the production of solar-grade silicon by the reduction of silicon tetrachloride in a fluidized bed of seed particles, and several modifications of the iodide process using either thermal decomposition on heated filaments (rods) or hydrogen reduction in a fluidized bed of seed particles. Energy consumption data for the zinc reduction process and each of the iodide process options are given and all appear to be acceptable from the standpoint of energy pay back. Information is presented on the experimental zinc reduction of SiCl4 and electrolytic recovery of zinc from ZnCl2. All of the experimental work performed thus far has supported the initial assumption as to technical feasibility of producing semiconductor silicon by the zinc reduction or iodide processes proposed. The results of a more thorough thermodynamic evaluation of the iodination of silicon oxide/carbon mixtures are presented which explain apparent inconsistencies in an earlier cursory examination of the system.

  6. Ad-Hoc Business Processes in Web Services

    NARCIS (Netherlands)

    Wombacher, Andreas; Mahleko, Bendick

    Web service technologies promise to facilitate collaboration among business partners by helping potential business partners find one another and integrate their business processes to do business. Daunting challenges however exist before the full potential of Web services can be realized. Indexing

  7. The status of silicon ribbon growth technology for high-efficiency silicon solar cells

    Science.gov (United States)

    Ciszek, T. F.

    1985-01-01

    More than a dozen methods have been applied to the growth of silicon ribbons, beginning as early as 1963. The ribbon geometry has been particularly intriguing for photovoltaic applications, because it might provide large area, damage free, nearly continuous substrates without the material loss or cost of ingot wafering. In general, the efficiency of silicon ribbon solar cells has been lower than that of ingot cells. The status of some ribbon growth techniques that have achieved laboratory efficiencies greater than 13.5% are reviewed, i.e., edge-defined, film-fed growth (EFG), edge-supported pulling (ESP), ribbon against a drop (RAD), and dendritic web growth (web).

  8. Scipion web tools: Easy to use cryo-EM image processing over the web.

    Science.gov (United States)

    Conesa Mingo, Pablo; Gutierrez, José; Quintana, Adrián; de la Rosa Trevín, José Miguel; Zaldívar-Peraza, Airén; Cuenca Alba, Jesús; Kazemi, Mohsen; Vargas, Javier; Del Cano, Laura; Segura, Joan; Sorzano, Carlos Oscar S; Carazo, Jose María

    2018-01-01

    Macromolecular structural determination by Electron Microscopy under cryogenic conditions is revolutionizing the field of structural biology, interesting a large community of potential users. Still, the path from raw images to density maps is complex, and sophisticated image processing suites are required in this process, often demanding the installation and understanding of different software packages. Here, we present Scipion Web Tools, a web-based set of tools/workflows derived from the Scipion image processing framework, specially tailored to nonexpert users in need of very precise answers at several key stages of the structural elucidation process. © 2017 The Protein Society.

  9. Client-Side Event Processing for Personalized Web Advertisement

    Science.gov (United States)

    Stühmer, Roland; Anicic, Darko; Sen, Sinan; Ma, Jun; Schmidt, Kay-Uwe; Stojanovic, Nenad

    The market for Web advertisement is continuously growing and correspondingly, the number of approaches that can be used for realizing Web advertisement are increasing. However, current approaches fail to generate very personalized ads for a current Web user that is visiting a particular Web content. They mainly try to develop a profile based on the content of that Web page or on a long-term user's profile, by not taking into account current user's preferences. We argue that by discovering a user's interest from his current Web behavior we can support the process of ad generation, especially the relevance of an ad for the user. In this paper we present the conceptual architecture and implementation of such an approach. The approach is based on the extraction of simple events from the user interaction with a Web page and their combination in order to discover the user's interests. We use semantic technologies in order to build such an interpretation out of many simple events. We present results from preliminary evaluation studies. The main contribution of the paper is a very efficient, semantic-based client-side architecture for generating and combining Web events. The architecture ensures the agility of the whole advertisement system, by complexly processing events on the client. In general, this work contributes to the realization of new, event-driven applications for the (Semantic) Web.

  10. Amorphous silicon passivation for 23.3% laser processed back contact solar cells

    Science.gov (United States)

    Carstens, Kai; Dahlinger, Morris; Hoffmann, Erik; Zapf-Gottwick, Renate; Werner, Jürgen H.

    2017-08-01

    This paper presents amorphous silicon deposited at temperatures below 200 °C, leading to an excellent passivation layer for boron doped emitter and phosphorus doped back surface field areas in interdigitated back contact solar cells. A higher deposition temperature degrades the passivation of the boron emitter by an increased hydrogen effusion due to lower silicon hydrogen bond energy, proved by hydrogen effusion measurements. The high boron surface doping in crystalline silicon causes a band bending in the amorphous silicon. Under these conditions, at the interface, the intentionally undoped amorphous silicon becomes p-type conducting, with the consequence of an increased dangling bond defect density. For bulk amorphous silicon this effect is described by the defect pool model. We demonstrate, that the defect pool model is also applicable to the interface between amorphous and crystalline silicon. Our simulation shows the shift of the Fermi energy towards the valence band edge to be more pronounced for high temperature deposited amorphous silicon having a small bandgap. Application of optimized amorphous silicon as passivation layer for the boron doped emitter and phosphorus doped back surface field on the rear side of laser processed back contact solar cells, fabricated using four laser processing steps, yields an efficiency of 23.3%.

  11. Linear all-optical signal processing using silicon micro-ring resonators

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ou, Haiyan; Xu, Jing

    2016-01-01

    Silicon micro-ring resonators (MRRs) are compact and versatile devices whose periodic frequency response can be exploited for a wide range of applications. In this paper, we review our recent work on linear all-optical signal processing applications using silicon MRRs as passive filters. We focus...

  12. Low cost solar array project: Cell and module formation research area. Process research of non-CZ silicon material

    Science.gov (United States)

    1983-01-01

    Meniscus coates tests, back junction formation using a new boron containing liquid, tests of various SiO2 and boron containing liquids, pelletized silicon for replenishment during web growth, and ion implantation compatibility/feasibility study are discussed.

  13. Dominant rate process of silicon surface etching by hydrogen chloride gas

    International Nuclear Information System (INIS)

    Habuka, Hitoshi; Suzuki, Takahiro; Yamamoto, Sunao; Nakamura, Akio; Takeuchi, Takashi; Aihara, Masahiko

    2005-01-01

    Silicon surface etching and its dominant rate process are studied using hydrogen chloride gas in a wide concentration range of 1-100% in ambient hydrogen at atmospheric pressure in a temperature range of 1023-1423 K, linked with the numerical calculation accounting for the transport phenomena and the surface chemical reaction in the entire reactor. The etch rate, the gaseous products and the surface morphology are experimentally evaluated. The dominant rate equation accounting for the first-order successive reactions at silicon surface by hydrogen chloride gas is shown to be valid. The activation energy of the dominant surface process is evaluated to be 1.5 x 10 5 J mol - 1 . The silicon deposition by the gaseous by-product, trichlorosilane, is shown to have a negligible influence on the silicon etch rate

  14. Phase-sensitive optical processing in silicon waveguides

    DEFF Research Database (Denmark)

    Petermann, Klaus; Gajda, A.; Dziallas, Claudia

    2015-01-01

    Parametric optical signal processing is reviewed for silicon nano-rib-waveguides with a reverse-biased pin-junction. Phase-sensitive parametric amplification with a phase-sensitive extinction of more than 20 dB has been utilized for the regeneration of DPSK signals...

  15. Ultra-high-speed Optical Signal Processing using Silicon Photonics

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Ji, Hua; Jensen, Asger Sellerup

    with a photonic layer on top to interconnect them. For such systems, silicon is an attractive candidate enabling both electronic and photonic control. For some network scenarios, it may be beneficial to use optical on-chip packet switching, and for high data-density environments one may take advantage...... of the ultra-fast nonlinear response of silicon photonic waveguides. These chips offer ultra-broadband wavelength operation, ultra-high timing resolution and ultra-fast response, and when used appropriately offer energy-efficient switching. In this presentation we review some all-optical functionalities based...... on silicon photonics. In particular we use nano-engineered silicon waveguides (nanowires) [1] enabling efficient phasematched four-wave mixing (FWM), cross-phase modulation (XPM) or self-phase modulation (SPM) for ultra-high-speed optical signal processing of ultra-high bit rate serial data signals. We show...

  16. Silicon materials task of the Low Cost Solar Array Project: Effect of impurities and processing on silicon solar cells

    Science.gov (United States)

    Hopkins, R. H.; Davis, J. R.; Rohatgi, A.; Hanes, M. H.; Rai-Choudhury, P.; Mollenkopf, H. C.

    1982-01-01

    The effects of impurities and processing on the characteristics of silicon and terrestrial silicon solar cells were defined in order to develop cost benefit relationships for the use of cheaper, less pure solar grades of silicon. The amount of concentrations of commonly encountered impurities that can be tolerated in typical p or n base solar cells was established, then a preliminary analytical model from which the cell performance could be projected depending on the kinds and amounts of contaminants in the silicon base material was developed. The impurity data base was expanded to include construction materials, and the impurity performace model was refined to account for additional effects such as base resistivity, grain boundary interactions, thermal processing, synergic behavior, and nonuniform impurity distributions. A preliminary assessment of long term (aging) behavior of impurities was also undertaken.

  17. Achievement Report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Development of silicon mass-production manufacturing technology for solar cells; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    In order to manufacture silicon for solar cells, development is intended on a technology to manufacture silicon (SOG-Si) for solar cells by means of metallurgical methods using metallic silicon with purity generally available as an interim starting material. The silicon is required of p-type electric conductivity characteristics with specific resistance of 0.5 to 1.5 ohm per cm, to be sufficient even with 6-7N as compared to silicon for semiconductors (11-N), and to be low in cost. While the NEDO fluid bed process and the metallurgical NEDO direct reduction process have been developed based on the technology to manufacture silicon for semiconductors, the basic policy was established to develop a new manufacturing method using commercially available high-purity metallic silicon as an interim starting material, with an objective to achieve cost as low as capable of responding to small-quantity phase production for proliferation purpose. Removal of boron and phosphor has been the main issue in the development, whereas SOG-Si was manufactured in a laboratory scale by combining with the conventional component technologies in fiscal 1991 and 1992. The scale was expanded to 20 kg since fiscal 1993, and a five year plan starting fiscal 1996 was decided to develop the technology for industrial scale. Fiscal 1997 has promoted the development by using the 20-kg scale device, and introduced facilities to develop technology for mass-production scale. (NEDO)

  18. Effect of Processing Parameters on Thickness of Columnar Structured Silicon Wafers Directly Grown from Silicon Melts

    Directory of Open Access Journals (Sweden)

    Jin-Seok Lee

    2012-01-01

    Full Text Available In order to obtain optimum growth conditions for desired thickness and more effective silicon feedstock usage, effects of processing parameters such as preheated substrate temperatures, time intervals, moving velocity of substrates, and Ar gas blowing rates on silicon ribbon thickness were investigated in the horizontal growth process. Most of the parameters strongly affected in the control of ribbon thickness with columnar grain structure depended on the solidification rate. The thickness of the silicon ribbon decreased with an increasing substrate temperature, decreasing time interval, and increasing moving velocity of the substrate. However, the blowing of Ar gas onto a liquid layer existing on the surface of solidified ribbon contributed to achieving smooth surface roughness but did not closely affect the change of ribbon thickness in the case of a blowing rate of ≥0.65 Nm3/h because the thickness of the solidified layer was already determined by the exit height of the reservoir.

  19. Automatic Control of Silicon Melt Level

    Science.gov (United States)

    Duncan, C. S.; Stickel, W. B.

    1982-01-01

    A new circuit, when combined with melt-replenishment system and melt level sensor, offers continuous closed-loop automatic control of melt-level during web growth. Installed on silicon-web furnace, circuit controls melt-level to within 0.1 mm for as long as 8 hours. Circuit affords greater area growth rate and higher web quality, automatic melt-level control also allows semiautomatic growth of web over long periods which can greatly reduce costs.

  20. Key Processes of Silicon-On-Glass MEMS Fabrication Technology for Gyroscope Application.

    Science.gov (United States)

    Ma, Zhibo; Wang, Yinan; Shen, Qiang; Zhang, Han; Guo, Xuetao

    2018-04-17

    MEMS fabrication that is based on the silicon-on-glass (SOG) process requires many steps, including patterning, anodic bonding, deep reactive ion etching (DRIE), and chemical mechanical polishing (CMP). The effects of the process parameters of CMP and DRIE are investigated in this study. The process parameters of CMP, such as abrasive size, load pressure, and pH value of SF1 solution are examined to optimize the total thickness variation in the structure and the surface quality. The ratio of etching and passivation cycle time and the process pressure are also adjusted to achieve satisfactory performance during DRIE. The process is optimized to avoid neither the notching nor lag effects on the fabricated silicon structures. For demonstrating the capability of the modified CMP and DRIE processes, a z-axis micro gyroscope is fabricated that is based on the SOG process. Initial test results show that the average surface roughness of silicon is below 1.13 nm and the thickness of the silicon is measured to be 50 μm. All of the structures are well defined without the footing effect by the use of the modified DRIE process. The initial performance test results of the resonant frequency for the drive and sense modes are 4.048 and 4.076 kHz, respectively. The demands for this kind of SOG MEMS device can be fulfilled using the optimized process.

  1. Silicon Nano fabrication by Atomic Force Microscopy-Based Mechanical Processing

    International Nuclear Information System (INIS)

    Miyake, Sh.; Wang, M.; Kim, J.

    2014-01-01

    This paper reviews silicon nano fabrication processes using atomic force microscopy (AFM). In particular, it summarizes recent results obtained in our research group regarding AFM-based silicon nano fabrication through mechanochemical local oxidation by diamond tip sliding, as well as mechanical, electrical, and electromechanical processing using an electrically conductive diamond tip. Microscopic three-dimensional manufacturing mainly relies on etching, deposition, and lithography. Therefore, a special emphasis was placed on nano mechanical processes, mechanochemical reaction by potassium hydroxide solution etching, and mechanical and electrical approaches. Several important surface characterization techniques consisting of scanning tunneling microscopy and related techniques, such as scanning probe microscopy and AFM, were also discussed.

  2. Multi-Step Deep Reactive Ion Etching Fabrication Process for Silicon-Based Terahertz Components

    Science.gov (United States)

    Jung-Kubiak, Cecile (Inventor); Reck, Theodore (Inventor); Chattopadhyay, Goutam (Inventor); Perez, Jose Vicente Siles (Inventor); Lin, Robert H. (Inventor); Mehdi, Imran (Inventor); Lee, Choonsup (Inventor); Cooper, Ken B. (Inventor); Peralta, Alejandro (Inventor)

    2016-01-01

    A multi-step silicon etching process has been developed to fabricate silicon-based terahertz (THz) waveguide components. This technique provides precise dimensional control across multiple etch depths with batch processing capabilities. Nonlinear and passive components such as mixers and multipliers waveguides, hybrids, OMTs and twists have been fabricated and integrated into a small silicon package. This fabrication technique enables a wafer-stacking architecture to provide ultra-compact multi-pixel receiver front-ends in the THz range.

  3. Mathematical model of silicon smelting process basing on pelletized charge from technogenic raw materials

    Science.gov (United States)

    Nemchinova, N. V.; Tyutrin, A. A.; Salov, V. M.

    2018-03-01

    The silicon production process in the electric arc reduction furnaces (EAF) is studied using pelletized charge as an additive to the standard on the basis of the generated mathematical model. The results obtained due to the model will contribute to the analysis of the charge components behavior during melting with the achievement of optimum final parameters of the silicon production process. The authors proposed using technogenic waste as a raw material for the silicon production in a pelletized form using liquid glass and aluminum production dust from the electrostatic precipitators as a binder. The method of mathematical modeling with the help of the ‘Selector’ software package was used as a basis for the theoretical study. A model was simulated with the imitation of four furnace temperature zones and a crystalline silicon phase (25 °C). The main advantage of the created model is the ability to analyze the behavior of all burden materials (including pelletized charge) in the carbothermic process. The behavior analysis is based on the thermodynamic probability data of the burden materials interactions in the carbothermic process. The model accounts for 17 elements entering the furnace with raw materials, electrodes and air. The silicon melt, obtained by the modeling, contained 91.73 % wt. of the target product. The simulation results showed that in the use of the proposed combined charge, the recovery of silicon reached 69.248 %, which is in good agreement with practical data. The results of the crystalline silicon chemical composition modeling are compared with the real silicon samples of chemical analysis data, which showed the results of convergence. The efficiency of the mathematical modeling methods in the studying of the carbothermal silicon obtaining process with complex interphase transformations and the formation of numerous intermediate compounds using a pelletized charge as an additive to the traditional one is shown.

  4. Microcrystalline silicon deposition: Process stability and process control

    International Nuclear Information System (INIS)

    Donker, M.N. van den; Kilper, T.; Grunsky, D.; Rech, B.; Houben, L.; Kessels, W.M.M.; Sanden, M.C.M. van de

    2007-01-01

    Applying in situ process diagnostics, we identified several process drifts occurring in the parallel plate plasma deposition of microcrystalline silicon (μc-Si:H). These process drifts are powder formation (visible from diminishing dc-bias and changing spatial emission profile on a time scale of 10 0 s), transient SiH 4 depletion (visible from a decreasing SiH emission intensity on a time scale of 10 2 s), plasma heating (visible from an increasing substrate temperature on a time scale of 10 3 s) and a still puzzling long-term drift (visible from a decreasing SiH emission intensity on a time scale of 10 4 s). The effect of these drifts on the crystalline volume fraction in the deposited films is investigated by selected area electron diffraction and depth-profiled Raman spectroscopy. An example shows how the transient depletion and long-term drift can be prevented by suitable process control. Solar cells deposited using this process control show enhanced performance. Options for process control of plasma heating and powder formation are discussed

  5. Process Support for Cooperative Work on the World Wide Web

    NARCIS (Netherlands)

    Sikkel, Nicolaas; Neumann, Olaf; Sachweh, Sabine

    The World Wide Web is becoming a dominating factor in information technology. Consequently, computer supported cooperative work on the Web has recently drawn a lot of attention. Process Support for Cooperative Work (PSCW) is a Web based system supporting both structured and unstructured forms of

  6. Hydrogen passivation of silicon sheet solar cells

    International Nuclear Information System (INIS)

    Tsuo, Y.S.; Milstein, J.B.

    1984-01-01

    Significant improvements in the efficiencies of dendritic web and edge-supported-pulling silicon sheet solar cells have been obtained after hydrogen ion beam passivation for a period of ten minutes or less. We have studied the effects of the hydrogen ion beam treatment with respect to silicon material damage, silicon sputter rate, introduction of impurities, and changes in reflectance. The silicon sputter rate for constant ion beam flux of 0.60 +- 0.05 mA/cm 2 exhibits a maximum at approximately 1400-eV ion beam energy

  7. Dynamic optimisation of an industrial web process

    Directory of Open Access Journals (Sweden)

    M Soufian

    2008-09-01

    Full Text Available An industrial web process has been studied and it is shown that theunderlying physics of such processes governs by the Navier-Stokes partialdifferential equations with moving boundary conditions, which in turn have tobe determined by the solution of the thermodynamics equations. Thedevelopment of a two-dimensional continuous-discrete model structurebased on this study is presented. Other models are constructed based onthis model for better identification and optimisation purposes. Theparameters of the proposed models are then estimated using real dataobtained from the identification experiments with the process plant. Varioussimulation tests for validation are accompanied with the design, developmentand real-time industrial implementation of an optimal controller for dynamicoptimisation of this web process. It is shown that in comparison with thetraditional controller, the new controller resulted in a better performance, animprovement in film quality and saving in raw materials. This demonstrates theefficiency and validation of the developed models.

  8. A Web Observatory for the Machine Processability of Structured Data on the Web

    NARCIS (Netherlands)

    Beek, W.; Groth, P.; Schlobach, S.; Hoekstra, R.

    2014-01-01

    General human intelligence is needed in order to process Linked Open Data (LOD). On the Semantic Web (SW), content is intended to be machine-processable as well. But the extent to which a machine is able to navigate, access, and process the SW has not been extensively researched. We present LOD

  9. Analysis of heating effect on the process of high deposition rate microcrystalline silicon

    International Nuclear Information System (INIS)

    Xiao-Dan, Zhang; He, Zhang; Chang-Chun, Wei; Jian, Sun; Guo-Fu, Hou; Shao-Zhen, Xiong; Xin-Hua, Geng; Ying, Zhao

    2010-01-01

    A possible heating effect on the process of high deposition rate microcrystalline silicon has been studied. It includes the discharge time-accumulating heating effect, discharge power, inter-electrode distance, and total gas flow rate induced heating effect. It is found that the heating effects mentioned above are in some ways quite similar to and in other ways very different from each other. However, all of them will directly or indirectly cause the increase of the substrate surface temperature during the process of depositing microcrystalline silicon thin films, which will affect the properties of the materials with increasing time. This phenomenon is very serious for the high deposition rate of microcrystalline silicon thin films because of the high input power and the relatively small inter-electrode distance needed. Through analysis of the heating effects occurring in the process of depositing microcrystalline silicon, it is proposed that the discharge power and the heating temperature should be as low as possible, and the total gas flow rate and the inter-electrode distance should be suitable so that device-grade high quality deposition rate microcrystalline silicon thin films can be fabricated

  10. SIP: A Web-Based Astronomical Image Processing Program

    Science.gov (United States)

    Simonetti, J. H.

    1999-12-01

    I have written an astronomical image processing and analysis program designed to run over the internet in a Java-compatible web browser. The program, Sky Image Processor (SIP), is accessible at the SIP webpage (http://www.phys.vt.edu/SIP). Since nothing is installed on the user's machine, there is no need to download upgrades; the latest version of the program is always instantly available. Furthermore, the Java programming language is designed to work on any computer platform (any machine and operating system). The program could be used with students in web-based instruction or in a computer laboratory setting; it may also be of use in some research or outreach applications. While SIP is similar to other image processing programs, it is unique in some important respects. For example, SIP can load images from the user's machine or from the Web. An instructor can put images on a web server for students to load and analyze on their own personal computer. Or, the instructor can inform the students of images to load from any other web server. Furthermore, since SIP was written with students in mind, the philosophy is to present the user with the most basic tools necessary to process and analyze astronomical images. Images can be combined (by addition, subtraction, multiplication, or division), multiplied by a constant, smoothed, cropped, flipped, rotated, and so on. Statistics can be gathered for pixels within a box drawn by the user. Basic tools are available for gathering data from an image which can be used for performing simple differential photometry, or astrometry. Therefore, students can learn how astronomical image processing works. Since SIP is not part of a commercial CCD camera package, the program is written to handle the most common denominator image file, the FITS format.

  11. Study of the processes of carbonization and oxidation of porous silicon by Raman and IR spectroscopy

    International Nuclear Information System (INIS)

    Vasin, A. V.; Okholin, P. N.; Verovsky, I. N.; Nazarov, A. N.; Lysenko, V. S.; Kholostov, K. I.; Bondarenko, V. P.; Ishikawa, Y.

    2011-01-01

    Porous silicon layers were produced by electrochemical etching of single-crystal silicon wafers with the resistivity 10 Ω cm in the aqueous-alcohol solution of hydrofluoric acid. Raman spectroscopy and infrared absorption spectroscopy are used to study the processes of interaction of porous silicon with undiluted acetylene at low temperatures and the processes of oxidation of carbonized porous silicon by water vapors. It is established that, even at the temperature 550°C, the silicon-carbon bonds are formed at the pore surface and the graphite-like carbon condensate emerges. It is shown that the carbon condensate inhibits oxidation of porous silicon by water vapors and contributes to quenching of white photoluminescence in the oxidized carbonized porous silicon nanocomposite layer.

  12. Report on achievements in fiscal 1999. Development of energy usage rationalizing silicon manufacturing process (Development of manufacturing technology for mass production of silicon for solar cells); 1999 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Discussions were given on manufacture of raw material silicon for solar cells with regard to boron removal, solidification, finishing and refining of metallic impurities, refining of unutilized silicon scraps, and making them into wafers and solar cells after refining. This paper summarizes the achievements in fiscal 1999. With regard to purity deterioration due to contamination by boron containing silica powder generated during the boron removal in the manufacturing process, the facilities were modified resulting in the reduction thereof to 0.04 ppmw or less. Regarding the repetitive use of boron removing crucibles, the experiment identified the possibility of using them for more than three times. In trial fabrication of samples by using the solidification refining and cast integrated process, ingots of 550 mm square and about 300 mm high were obtained, which were sliced into 10-cm square materials for use as wafers. Measurement of the conversion efficiency has resulted in 13% or more which is almost equivalent in the center and edges of the ingot. It was revealed that solar cell wafers may be fabricated by using this process, which can use either the p-type low-resistance silicon scraps or the metallic silicon as the starting material. (NEDO)

  13. Kinetic Modeling of a Silicon Refining Process in a Moist Hydrogen Atmosphere

    Science.gov (United States)

    Chen, Zhiyuan; Morita, Kazuki

    2018-06-01

    We developed a kinetic model that considers both silicon loss and boron removal in a metallurgical grade silicon refining process. This model was based on the hypotheses of reversible reactions. The reaction rate coefficient kept the same form but error of terminal boron concentration could be introduced when relating irreversible reactions. Experimental data from published studies were used to develop a model that fit the existing data. At 1500 °C, our kinetic analysis suggested that refining silicon in a moist hydrogen atmosphere generates several primary volatile species, including SiO, SiH, HBO, and HBO2. Using the experimental data and the kinetic analysis of volatile species, we developed a model that predicts a linear relationship between the reaction rate coefficient k and both the quadratic function of p(H2O) and the square root of p(H2). Moreover, the model predicted the partial pressure values for the predominant volatile species and the prediction was confirmed by the thermodynamic calculations, indicating the reliability of the model. We believe this model provides a foundation for designing a silicon refining process with a fast boron removal rate and low silicon loss.

  14. Silicon-Carbide Power MOSFET Performance in High Efficiency Boost Power Processing Unit for Extreme Environments

    Science.gov (United States)

    Ikpe, Stanley A.; Lauenstein, Jean-Marie; Carr, Gregory A.; Hunter, Don; Ludwig, Lawrence L.; Wood, William; Del Castillo, Linda Y.; Fitzpatrick, Fred; Chen, Yuan

    2016-01-01

    Silicon-Carbide device technology has generated much interest in recent years. With superior thermal performance, power ratings and potential switching frequencies over its Silicon counterpart, Silicon-Carbide offers a greater possibility for high powered switching applications in extreme environment. In particular, Silicon-Carbide Metal-Oxide- Semiconductor Field-Effect Transistors' (MOSFETs) maturing process technology has produced a plethora of commercially available power dense, low on-state resistance devices capable of switching at high frequencies. A novel hard-switched power processing unit (PPU) is implemented utilizing Silicon-Carbide power devices. Accelerated life data is captured and assessed in conjunction with a damage accumulation model of gate oxide and drain-source junction lifetime to evaluate potential system performance at high temperature environments.

  15. Multivariate data analysis of process control data from neutron transmutation doping of silicon

    DEFF Research Database (Denmark)

    Heydorn, K.; Hegaard, N.

    1994-01-01

    Final resistivities obtained by neutron transmutation doping (NTD) of silicon can be measured only after an annealing process has been carried out at the manufacturer's plant. The reactor centre carrying out the neutron doping process by irradiation under selected conditions must control the proc......Final resistivities obtained by neutron transmutation doping (NTD) of silicon can be measured only after an annealing process has been carried out at the manufacturer's plant. The reactor centre carrying out the neutron doping process by irradiation under selected conditions must control...

  16. Low energy production processes in manufacturing of silicon solar cells

    Science.gov (United States)

    Kirkpatrick, A. R.

    1976-01-01

    Ion implantation and pulsed energy techniques are being combined for fabrication of silicon solar cells totally under vacuum and at room temperature. Simplified sequences allow very short processing times with small process energy consumption. Economic projections for fully automated production are excellent.

  17. Silicon wafers for integrated circuit process

    OpenAIRE

    Leroy , B.

    1986-01-01

    Silicon as a substrate material will continue to dominate the market of integrated circuits for many years. We first review how crystal pulling procedures impact the quality of silicon. We then investigate how thermal treatments affect the behaviour of oxygen and carbon, and how, as a result, the quality of silicon wafers evolves. Gettering techniques are then presented. We conclude by detailing the requirements that wafers must satisfy at the incoming inspection.

  18. Non-fossil reduction materials in the silicon process - properties and behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Myrhaug, Edin Henrik

    2003-07-01

    The purpose of this work has been to clarify the effect of using biocarbon as a reduction material in the silicon process. It was decided to compare the biocarbon with fossil carbon and find possible differences both on process performance and eventually on product quality. The elements in the raw materials added to the silicon process goes into three different products: silicon metal, silica dust and into open air. Based on analysis of raw materials and of produced silicon metal and microsilica extensive material balances have been established. One important result from these are the distribution factors that indicate how much of the trace elements that goes into each medium. Another result is that the boiling point of an element or a compound gives a good indication of were it ends. A high boiling point indicates that the element ends up in the silicon metal, while a low boiling point indicates that the element goes with off-gas into air. With an intermediate boiling point, the element goes into the silica dust. The SiO-reactivity of the reduction materials are commonly acknowledged to affect strongly the productivity and consumption figures of the silicon process. Based on data from thermogravimetric experiments with chemical reaction between carbonaceous spheres and SiO-gas, kinetic parameters have been estimated from the shrinking core model for some selected reduction materials of various sizes and spanning a wide range of SiO-reactivity figures. This model describes the degree of conversion versus time for a single sphere where the chemical reaction progresses in a topochemical manner from the outer surface of the solid towards the centre forming a porous product layer around an unreacted shrinking core. This behaviour is for the selected reduction materials to a large extent supported by an investigation of cross section pictures of fully and 50% converted spheres obtained with a microprobe. The estimated kinetic parameters obtained from the

  19. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  20. Composition of silicon fibrous nanostructures synthesized using ultrafast laser pulses under ambient conditions

    Directory of Open Access Journals (Sweden)

    Sivakumar M.

    2015-01-01

    Full Text Available In this study the composition of nanostructures generated owing to ablation of crystalline silicon using high repletion rate femtosecond laser under ambient condition is investigated. The web-like silicon fibrous nanostructures are formed in and around the laser irradiated area. Electron Microscopy investigation revealed that the nanostructures are made of nanoparticles of size about 40 nm. In addition Micro-Raman analysis shows that the nanofibrous structures comprises a mixture of amorphous and polycrystalline silicon. X-ray photoelectron spectroscopy analysis reveals the oxidized and un-oxidized elemental states of silicon in the nanostructures. Moreover web-like fibrous nanostructures are generated due to condensation of super saturated vapour and subsequent nucleus growth in the laser induced plasma plume.

  1. Molecular Surveillance of Viral Processes Using Silicon Nitride Membranes

    Directory of Open Access Journals (Sweden)

    Deborah F. Kelly

    2013-03-01

    Full Text Available Here we present new applications for silicon nitride (SiN membranes to evaluate biological processes. We determined that 50-nanometer thin films of SiN produced from silicon wafers were sufficiently durable to bind active rotavirus assemblies. A direct comparison of SiN microchips with conventional carbon support films indicated that SiN performs equivalent to the traditional substrate to prepare samples for Electron Microscopy (EM imaging. Likewise, SiN films coated with Ni-NTA affinity layers concentrated rotavirus particles similarly to affinity-coated carbon films. However, affinity-coated SiN membranes outperformed glow-discharged conventional carbon films 5-fold as indicated by the number of viral particles quantified in EM images. In addition, we were able to recapitulate viral uncoating and transcription mechanisms directed onto the microchip surfaces. EM images of these processes revealed the production of RNA transcripts emerging from active rotavirus complexes. These results were confirmed by the functional incorporation of radiolabeled nucleotides into the nascent RNA transcripts. Collectively, we demonstrate new uses for SiN membranes to perform molecular surveillance on life processes in real-time.

  2. Characterization of the silicon/hydrofluoric acid interface: electrochemical processes under weak potential disturbance

    International Nuclear Information System (INIS)

    Bertagna, Valerie

    1996-01-01

    Within the frame of the increase of the density of integrated circuits, of simplification of cleaning processes and of improvement of control of surface reactions (for a better control of the elimination of defects and contamination risks), this research thesis first gives a large overview of previous works in the fields of silicon electrochemistry in hydrofluoric environment, of silicon chemical condition after treatment by a diluted hydrofluoric acid, of metallic contamination of silicon during cleaning with a diluted hydrofluoric acid, and of theoretical models of interpretation. Then, the author reports the development of a new electrochemical cell, and the detailed study of mono-crystalline silicon in a diluted hydrofluoric environment (electrochemical investigation, modelling of charge transfer at the interface, studies by atomic force microscopy, contamination of silicon by copper)

  3. Flat-plate solar array project process development area process research of non-CZ silicon material

    Science.gov (United States)

    1985-01-01

    Three sets of samples were laser processed and then cell processed. The laser processing was carried out on P-type and N-type web at laser power levels from 0.5 joule/sq cm to 2.5 joule/sq cm. Six different liquid dopants were tested (3 phosphorus dopants, 2 boron dopants, 1 aluminum dopant). The laser processed web strips were fabricated into solar cells immediately after laser processing and after various annealing cycles. Spreading resistance measurements made on a number of these samples indicate that the N(+)P (phosphorus doped) junction is approx. 0.2 micrometers deep and suitable for solar cells. However, the P(+)N (or P(+)P) junction is very shallow ( 0.1 micrometers) with a low surface concentration and resulting high resistance. Due to this effect, the fabricated cells are of low efficiency. The maximum efficiency attained was 9.6% on P-type web after a 700 C anneal. The main reason for the low efficiency was a high series resistance in the cell due to a high resistance back contact.

  4. Process Research on Polycrystalline Silicon Material (PROPSM)

    Science.gov (United States)

    Culik, J. S.; Wrigley, C. Y.

    1985-01-01

    Results of hydrogen-passivated polycrysalline silicon solar cell research are summarized. The short-circuit current of solar cells fabricated from large-grain cast polycrystalline silicon is nearly equivalent to that of single-crystal cells, which indicates long bulk minority-carrier diffusion length. Treatments with molecular hydrogen showed no effect on large-grain cast polycrystalline silicon solar cells.

  5. Effect of silicon solar cell processing parameters and crystallinity on mechanical strength

    Energy Technology Data Exchange (ETDEWEB)

    Popovich, V.A.; Yunus, A.; Janssen, M.; Richardson, I.M. [Delft University of Technology, Department of Materials Science and Engineering, Delft (Netherlands); Bennett, I.J. [Energy Research Centre of the Netherlands, Solar Energy, PV Module Technology, Petten (Netherlands)

    2011-01-15

    Silicon wafer thickness reduction without increasing the wafer strength leads to a high breakage rate during subsequent handling and processing steps. Cracking of solar cells has become one of the major sources of solar module failure and rejection. Hence, it is important to evaluate the mechanical strength of solar cells and influencing factors. The purpose of this work is to understand the fracture behavior of silicon solar cells and to provide information regarding the bending strength of the cells. Triple junctions, grain size and grain boundaries are considered to investigate the effect of crystallinity features on silicon wafer strength. Significant changes in fracture strength are found as a result of metallization morphology and crystallinity of silicon solar cells. It is observed that aluminum paste type influences the strength of the solar cells. (author)

  6. Experimental Demonstration of Phase Sensitive Parametric Processes in a Nano-Engineered Silicon Waveguide

    DEFF Research Database (Denmark)

    Kang, Ning; Fadil, Ahmed; Pu, Minhao

    2013-01-01

    We demonstrate experimentally phase-sensitive processes in nano-engineered silicon waveguides for the first time. Furthermore, we highlight paths towards the optimization of the phase-sensitive extinction ratio under the impact of two-photon and free-carrier absorption.......We demonstrate experimentally phase-sensitive processes in nano-engineered silicon waveguides for the first time. Furthermore, we highlight paths towards the optimization of the phase-sensitive extinction ratio under the impact of two-photon and free-carrier absorption....

  7. Additive advantage in characteristics of MIMCAPs on flexible silicon (100) fabric with release-first process

    KAUST Repository

    Ghoneim, Mohamed T.

    2013-11-20

    We report the inherent increase in capacitance per unit planar area of state-of-the art high-κ integrated metal/insulator/metal capacitors (MIMCAPs) fabricated on flexible silicon fabric with release-first process. We methodically study and show that our approach to transform bulk silicon (100) into a flexible fabric adds an inherent advantage of enabling higher integration density dynamic random access memory (DRAM) on the same chip area. Our approach is to release an ultra-thin silicon (100) fabric (25 μm thick) from the bulk silicon wafer, then build MIMCAPs using sputtered aluminium electrodes and successive atomic layer depositions (ALD) without break-ing the vacuum of a high-κ aluminium oxide sandwiched between two tantalum nitride layers. This result shows that we can obtain flexible electronics on silicon without sacrificing the high density integration aspects and also utilize the non-planar geometry associated with fabrication process to obtain a higher integration density compared to bulk silicon integration due to an increased normalized capacitance per unit planar area. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. From BPMN process models to BPEL web services

    NARCIS (Netherlands)

    Ouyang, C.; Aalst, van der W.M.P.; Dumas, M.; Hofstede, ter A.H.M.; Feig, E.; Kumar, A.

    2006-01-01

    The Business Process Modelling Notation (BPMN) is a graph-oriented language in which control and action nodes can be connected almost arbitrarily. It is supported by various modelling tools but so far no systems can directly execute BPMN models. The Business Process Execution Language for Web

  9. SDI-based business processes: A territorial analysis web information system in Spain

    Science.gov (United States)

    Béjar, Rubén; Latre, Miguel Á.; Lopez-Pellicer, Francisco J.; Nogueras-Iso, Javier; Zarazaga-Soria, F. J.; Muro-Medrano, Pedro R.

    2012-09-01

    Spatial Data Infrastructures (SDIs) provide access to geospatial data and operations through interoperable Web services. These data and operations can be chained to set up specialized geospatial business processes, and these processes can give support to different applications. End users can benefit from these applications, while experts can integrate the Web services in their own business processes and developments. This paper presents an SDI-based territorial analysis Web information system for Spain, which gives access to land cover, topography and elevation data, as well as to a number of interoperable geospatial operations by means of a Web Processing Service (WPS). Several examples illustrate how different territorial analysis business processes are supported. The system has been established by the Spanish National SDI (Infraestructura de Datos Espaciales de España, IDEE) both as an experimental platform for geoscientists and geoinformation system developers, and as a mechanism to contribute to the Spanish citizens knowledge about their territory.

  10. Thermal system design and modeling of meniscus controlled silicon growth process for solar applications

    Science.gov (United States)

    Wang, Chenlei

    The direct conversion of solar radiation to electricity by photovoltaics has a number of significant advantages as an electricity generator. That is, solar photovoltaic conversion systems tap an inexhaustible resource which is free of charge and available anywhere in the world. Roofing tile photovoltaic generation, for example, saves excess thermal heat and preserves the local heat balance. This means that a considerable reduction of thermal pollution in densely populated city areas can be attained. A semiconductor can only convert photons with the energy of the band gap with good efficiency. It is known that silicon is not at the maximum efficiency but relatively close to it. There are several main parts for the photovoltaic materials, which include, single- and poly-crystalline silicon, ribbon silicon, crystalline thin-film silicon, amorphous silicon, copper indium diselenide and related compounds, cadmium telluride, et al. In this dissertation, we focus on melt growth of the single- and poly-crystalline silicon manufactured by Czochralski (Cz) crystal growth process, and ribbon silicon produced by the edge-defined film-fed growth (EFG) process. These two methods are the most commonly used techniques for growing photovoltaic semiconductors. For each crystal growth process, we introduce the growth mechanism, growth system design, general application, and progress in the numerical simulation. Simulation results are shown for both Czochralski and EFG systems including temperature distribution of the growth system, velocity field inside the silicon melt and electromagnetic field for the EFG growth system. Magnetic field is applied on Cz system to reduce the melt convection inside crucible and this has been simulated in our numerical model. Parametric studies are performed through numerical and analytical models to investigate the relationship between heater power levels and solidification interface movement and shape. An inverse problem control scheme is developed to

  11. Processing biological literature with customizable Web services supporting interoperable formats.

    Science.gov (United States)

    Rak, Rafal; Batista-Navarro, Riza Theresa; Carter, Jacob; Rowley, Andrew; Ananiadou, Sophia

    2014-01-01

    Web services have become a popular means of interconnecting solutions for processing a body of scientific literature. This has fuelled research on high-level data exchange formats suitable for a given domain and ensuring the interoperability of Web services. In this article, we focus on the biological domain and consider four interoperability formats, BioC, BioNLP, XMI and RDF, that represent domain-specific and generic representations and include well-established as well as emerging specifications. We use the formats in the context of customizable Web services created in our Web-based, text-mining workbench Argo that features an ever-growing library of elementary analytics and capabilities to build and deploy Web services straight from a convenient graphical user interface. We demonstrate a 2-fold customization of Web services: by building task-specific processing pipelines from a repository of available analytics, and by configuring services to accept and produce a combination of input and output data interchange formats. We provide qualitative evaluation of the formats as well as quantitative evaluation of automatic analytics. The latter was carried out as part of our participation in the fourth edition of the BioCreative challenge. Our analytics built into Web services for recognizing biochemical concepts in BioC collections achieved the highest combined scores out of 10 participating teams. Database URL: http://argo.nactem.ac.uk. © The Author(s) 2014. Published by Oxford University Press.

  12. Process for producing silicon nitride based articles of high fracture toughness and strength

    Science.gov (United States)

    Huckabee, M.; Buljan, S.T.; Neil, J.T.

    1991-09-10

    A process for producing a silicon nitride-based article of improved fracture toughness and strength is disclosed. The process involves densifying to at least 98% of theoretical density a mixture including (a) a bimodal silicon nitride powder blend consisting essentially of about 10-30% by weight of a first silicon nitride powder of an average particle size of about 0.2 [mu]m and a surface area of about 8-12 m[sup 2]/g, and about 70-90% by weight of a second silicon nitride powder of an average particle size of about 0.4-0.6 [mu]m and a surface area of about 2-4 m[sup 2]/g, (b) about 10-50 percent by volume, based on the volume of the densified article, of refractory whiskers or fibers having an aspect ratio of about 3-150 and having an equivalent diameter selected to produce in the densified article an equivalent diameter ratio of the whiskers or fibers to grains of silicon nitride of greater than 1.0, and (c) an effective amount of a suitable oxide densification aid. Optionally, the mixture may be blended with a binder and injection molded to form a green body, which then may be densified by, for example, hot isostatic pressing.

  13. Process model for carbothermic production of silicon metal

    Energy Technology Data Exchange (ETDEWEB)

    Andresen, B.

    1995-09-12

    This thesis discusses an advanced dynamical two-dimensional cylinder symmetric model for the high temperature part of the carbothermic silicon metal process, and its computer encoding. The situation close to that which is believed to exist around one of three electrodes in full-scale industrial furnaces is modelled. This area comprises a gas filled cavity surrounding the lower tip of the electrode, the metal pool underneath and the lower parts of the materials above. The most important phenomena included are: Heterogeneous chemical reactions taking place in the high-temperature zone (above 1860 {sup o}C), Evaporation and condensation of silicon, Transport of materials by dripping, Turbulent or laminar fluid flow, DC electric arcs, Heat transport by convection, conduction and radiation. The results from the calculations, such as production rates, gas- and temperature distributions, furnace- and particle geometries, fluid flow fields etc, are presented graphically. In its present state the model is a prototype. The process is very complex, and the calculations are time consuming. The governing equations are coded into a Fortran 77 computer code applying the commercial 3D code FLUENT as a basis. 64 refs., 110 figs., 11 tabs.

  14. Federated query processing for the semantic web

    CERN Document Server

    Buil-Aranda, C

    2014-01-01

    During the last years, the amount of RDF data has increased exponentially over the Web, exposed via SPARQL endpoints. These SPARQL endpoints allow users to direct SPARQL queries to the RDF data. Federated SPARQL query processing allows to query several of these RDF databases as if they were a single one, integrating the results from all of them. This is a key concept in the Web of Data and it is also a hot topic in the community. Besides of that, the W3C SPARQL-WG has standardized it in the new Recommendation SPARQL 1.1.This book provides a formalisation of the W3C proposed recommendation. Thi

  15. Transient processes induced by heavy projectiles in silicon

    International Nuclear Information System (INIS)

    Lazanu, Ionel; Lazanu, Sorina

    2010-01-01

    The thermal spike model developed for the electronic stopping power regime is extended to consider both ionization and nuclear energy loss processes of the projectile as electronic and atomic heat distinct sources. The time and space dependencies of the lattice and electron temperatures near the projectile trajectory are calculated and discussed for different ions in silicon, at room and cryogenic temperatures, taking into account the peculiarities of electron-phonon interaction in both domains. The model developed contributes to the understanding of transient microscopic processes immediately after the projectile interaction in the target.

  16. Interactivity, Information Processing, and Learning on the World Wide Web.

    Science.gov (United States)

    Tremayne, Mark; Dunwoody, Sharon

    2001-01-01

    Examines the role of interactivity in the presentation of science news on the World Wide Web. Proposes and tests a model of interactive information processing that suggests that characteristics of users and Web sites influence interactivity, which influences knowledge acquisition. Describes use of a think-aloud method to study participants' mental…

  17. The New Web-Based Hera Data Processing System at the HEASARC

    Science.gov (United States)

    Pence, W.

    2011-01-01

    The HEASARC at NASA/GSFC has provide an on-line astronomical data processing system called Hera for several years. Hera provides a complete data processing environment, including installed software packages, local data storage, and the CPU resources needed to process the user's data. The original design of Hera, however, has 2 requirements that has limited it's usefulness for some users, namely, that 1) the user must download and install a small helper program on their own computer before using Hera, and 2) Hera requires that several computer ports/sockets be allowed to communicate through any local firewalls on the users machine. Both of these restrictions can be problematic for some users, therefore we are now migrating Hera into a purely Web based environment which only requires a standard Web browser. The first release of Web Hera is now publicly available at http://heasarc.gsfc.nasa.gov/webheara/. It currently provides a standard graphical interface for running hundreds of different data processing programs that are available in the HEASARC's ftools software package. Over the next year we to add more features to Web Hera, including an interactive command line interface, and more display and line capabilities.

  18. Evolutionary process development towards next generation crystalline silicon solar cells : a semiconductor process toolbox application

    Directory of Open Access Journals (Sweden)

    Tous L.

    2012-08-01

    Full Text Available Bulk crystalline Silicon solar cells are covering more than 85% of the world’s roof top module installation in 2010. With a growth rate of over 30% in the last 10 years this technology remains the working horse of solar cell industry. The full Aluminum back-side field (Al BSF technology has been developed in the 90’s and provides a production learning curve on module price of constant 20% in average. The main reason for the decrease of module prices with increasing production capacity is due to the effect of up scaling industrial production. For further decreasing of the price per wattpeak silicon consumption has to be reduced and efficiency has to be improved. In this paper we describe a successive efficiency improving process development starting from the existing full Al BSF cell concept. We propose an evolutionary development includes all parts of the solar cell process: optical enhancement (texturing, polishing, anti-reflection coating, junction formation and contacting. Novel processes are benchmarked on industrial like baseline flows using high-efficiency cell concepts like i-PERC (Passivated Emitter and Rear Cell. While the full Al BSF crystalline silicon solar cell technology provides efficiencies of up to 18% (on cz-Si in production, we are achieving up to 19.4% conversion efficiency for industrial fabricated, large area solar cells with copper based front side metallization and local Al BSF applying the semiconductor toolbox.

  19. LSSA large area silicon sheet task continuous Czochralski process development

    Science.gov (United States)

    Rea, S. N.

    1978-01-01

    A Czochralski crystal growing furnace was converted to a continuous growth facility by installation of a premelter to provide molten silicon flow into the primary crucible. The basic furnace is operational and several trial crystals were grown in the batch mode. Numerous premelter configurations were tested both in laboratory-scale equipment as well as in the actual furnace. The best arrangement tested to date is a vertical, cylindrical graphite heater containing small fused silicon test tube liner in which the incoming silicon is melted and flows into the primary crucible. Economic modeling of the continuous Czochralski process indicates that for 10 cm diameter crystal, 100 kg furnace runs of four or five crystals each are near-optimal. Costs tend to asymptote at the 100 kg level so little additional cost improvement occurs at larger runs. For these conditions, crystal cost in equivalent wafer area of around $20/sq m exclusive of polysilicon and slicing was obtained.

  20. Low cost solar array project production process and equipment task. A Module Experimental Process System Development Unit (MEPSDU)

    Science.gov (United States)

    1981-01-01

    Technical readiness for the production of photovoltaic modules using single crystal silicon dendritic web sheet material is demonstrated by: (1) selection, design and implementation of solar cell and photovoltaic module process sequence in a Module Experimental Process System Development Unit; (2) demonstration runs; (3) passing of acceptance and qualification tests; and (4) achievement of a cost effective module.

  1. A high volume cost efficient production macrostructuring process. [for silicon solar cell surface treatment

    Science.gov (United States)

    Chitre, S. R.

    1978-01-01

    The paper presents an experimentally developed surface macro-structuring process suitable for high volume production of silicon solar cells. The process lends itself easily to automation for high throughput to meet low-cost solar array goals. The tetrahedron structure observed is 0.5 - 12 micron high. The surface has minimal pitting with virtually no or very few undeveloped areas across the surface. This process has been developed for (100) oriented as cut silicon. Chemi-etched, hydrophobic and lapped surfaces were successfully texturized. A cost analysis as per Samics is presented.

  2. Surface morphology evolution in silicon during ion beam processing; TOPICAL

    International Nuclear Information System (INIS)

    Bedrossian P; Caturla, M; Diaz de la Rubia, T; Johnson, M

    1999-01-01

    The Semiconductor Industry Association (SIA) projects that the semiconductor chips used in personal computers and scientific workstations will reach five times the speed and ten times the memory capacity of the current pentium-class processor by the year 2007. However, 1 GHz on-chip clock speeds and 64 Gbits/Chip DRAM technology will not come easy and without a price. Such technologies will require scaling the minimum feature size of CMOS devices (the transistors in the silicon chip) down to below 100nm from the current 180 to 250 nm. This requirement has profound implications for device manufacturing. Existing processing techniques must increasingly be understood quantitatively and modeled with unprecedented precision. Indeed, revolutionary advances in the development of physics-based process simulation tools will be required to achieve the goals for cost efficient manufacturing, and to satisfy the needs of the defense industrial base. These advances will necessitate a fundamental improvement in our basic understanding of microstructure evolution during processing. In order to cut development time and costs, the semiconductor industry makes extensive use of simple models of dopant implantation, and of phenomenological models of defect annealing and diffusion. However, the production of a single device often requires more than 200 processing steps, and the cumulative effects of the various steps are far too complex to be treated with these models. The lack of accurate process modeling simulators is proving to be a serious impediment to the development of next generation devices. New atomic-level models are required to describe the point defect distributions produced by the implantation process, and the defect and dopant diffusion resulting from rapid thermal annealing steps. In this LDRD project, we investigated the migration kinetics of defects and dopants in silicon both experimentally and theoretically to provide a fundamental database for use in the development

  3. The New Web-Based Hera Data Processing System at the HEASARC

    Science.gov (United States)

    Pence, W.; Chai, P.

    2012-09-01

    The HEASARC at NASA/GSFC has hosted an on-line astronomical data processing system called Hera for several years. Hera provides a complete data processing environment, including installed software packages, local data storage, and the CPU resources needed to support astronomical research by external users. The original design of Hera was based on a ‘client/server’ model which required that the user a) download and install a small helper program on their own computer before using Hera, and b) ensure that several non-standard computer ports/sockets be open for communication through any local firewalls on the user's machine. Hera has now been redesigned to eliminate these restrictions by operating within a purely Web-based environment which is accessed via a standard Web browser. Web-Hera is available at http://heasarc.gsfc.nasa.gov/webHera.

  4. Process Mediation, Execution Monitoring and Recovery for Semantic Web Services

    Czech Academy of Sciences Publication Activity Database

    Sycara, K.; Vaculín, Roman

    2008-01-01

    Roč. 31, č. 3 (2008), s. 14-18 ISSN N R&D Projects: GA ČR(CZ) GD201/05/H014; GA MŠk ME08095 Institutional research plan: CEZ:AV0Z10300504 Keywords : process mediation of semantic web services * semantic web services * OWL-S Subject RIV: IN - Informatics, Computer Science

  5. Doping of silicon by carbon during laser ablation process

    Science.gov (United States)

    Raciukaitis, G.; Brikas, M.; Kazlauskiene, V.; Miskinis, J.

    2007-04-01

    Effect of laser ablation on properties of remaining material was investigated in silicon. It was established that laser cutting of wafers in air induced doping of silicon by carbon. The effect was found to be more distinct by the use of higher laser power or UV radiation. Carbon ions created bonds with silicon in the depth of silicon. Formation of the silicon carbide type bonds was confirmed by SIMS, XPS and AES measurements. Modeling of the carbon diffusion was performed to clarify its depth profile in silicon. Photo-chemical reactions of such type changed the structure of material and could be a reason for the reduced quality of machining. A controlled atmosphere was applied to prevent carbonization of silicon during laser cutting.

  6. Doping of silicon by carbon during laser ablation process

    International Nuclear Information System (INIS)

    Raciukaitis, G; Brikas, M; Kazlauskiene, V; Miskinis, J

    2007-01-01

    Effect of laser ablation on properties of remaining material was investigated in silicon. It was established that laser cutting of wafers in air induced doping of silicon by carbon. The effect was found to be more distinct by the use of higher laser power or UV radiation. Carbon ions created bonds with silicon in the depth of silicon. Formation of the silicon carbide type bonds was confirmed by SIMS, XPS and AES measurements. Modeling of the carbon diffusion was performed to clarify its depth profile in silicon. Photo-chemical reactions of such type changed the structure of material and could be a reason for the reduced quality of machining. A controlled atmosphere was applied to prevent carbonization of silicon during laser cutting

  7. Development of processes for the production of solar grade silicon from halides and alkali metals, phase 1 and phase 2

    Science.gov (United States)

    Dickson, C. R.; Gould, R. K.; Felder, W.

    1981-01-01

    High temperature reactions of silicon halides with alkali metals for the production of solar grade silicon are described. Product separation and collection processes were evaluated, measure heat release parameters for scaling purposes and effects of reactants and/or products on materials of reactor construction were determined, and preliminary engineering and economic analysis of a scaled up process were made. The feasibility of the basic process to make and collect silicon was demonstrated. The jet impaction/separation process was demonstrated to be a purification process. The rate at which gas phase species from silicon particle precursors, the time required for silane decomposition to produce particles, and the competing rate of growth of silicon seed particles injected into a decomposing silane environment were determined. The extent of silane decomposition as a function of residence time, temperature, and pressure was measured by infrared absorption spectroscopy. A simplistic model is presented to explain the growth of silicon in a decomposing silane enviroment.

  8. Report on achievements in fiscal 1998. Development of silicon manufacturing process to rationalize energy usage (Development of mass production technology for solar-grade silicon); 1998 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    In the proliferation stage of solar cells, a technology is required to manufacture low-cost SOG-Si that can handle small quantity production. Development is being made on a manufacturing technology using high purity metallic silicon (99.5%) as the raw material. Considering that the subject impurities are P, B and metallic impurities (Fe, Ti and Al), a manufacturing method consisting of the following processes is being developed: metallic silicon/phosphorus removal, solidification and rough refining/boron removal, solidification and fine refining. Discussions are being advanced on phosphorus removal by using a large electron beam fusion equipment, and at the same time, the discussions are supported by fabricating and installing a large equipment intended of removing boron and the metallic impurities. Boron is removed by oxidizing it with steam. Therefore, the basic mechanism of the equipment is to spray argon plasma added with steam onto the molten silicon surface. In boron removal, diffusion of boron onto the reaction interface in the primary reaction determines the rate. A boron removal rate for B/10 to 0.1 ppm of 45 kg/h as maximum was achieved. The derived silicon has met the requirement. (NEDO)

  9. Development of processes for the production of solar grade silicon from halides and alkali metals

    Science.gov (United States)

    Dickson, C. R.; Gould, R. K.

    1980-01-01

    High temperature reactions of silicon halides with alkali metals for the production of solar grade silicon in volume at low cost were studied. Experiments were performed to evaluate product separation and collection processes, measure heat release parameters for scaling purposes, determine the effects of reactants and/or products on materials of reactor construction, and make preliminary engineering and economic analyses of a scaled-up process.

  10. Web thickness determines the therapeutic effect of endoscopic keel placement on anterior glottic web.

    Science.gov (United States)

    Chen, Jian; Shi, Fang; Chen, Min; Yang, Yue; Cheng, Lei; Wu, Haitao

    2017-10-01

    This work is a retrospective analysis to investigate the critical risk factor for the therapeutic effect of endoscopic keel placement on anterior glottic web. Altogether, 36 patients with anterior glottic web undergoing endoscopic lysis and silicone keel placement were enrolled. Their voice qualities were evaluated using the voice handicap index-10 (VHI-10) questionnaire, and improved significantly 3 months after surgery (21.53 ± 3.89 vs 9.81 ± 6.68, P web recurrence during the at least 1-year follow-up. Therefore, patients were classified according to the Cohen classification or web thickness, and the recurrence rates were compared. The distribution of recurrence rates for Cohen type 1 ~ 4 were 28.6, 16.7, 33.3, and 40%, respectively. The difference was not statistically significant (P = 0.461). When classified by web thickness, only 2 of 27 (7.41%) thin type cases relapsed whereas 8 of 9 (88.9%) cases in the thick group reformed webs (P web thickness rather than the Cohen grades. Endoscopic lysis and keel placement is only effective for cases with thin glottic webs. Patients with thick webs should be treated by other means.

  11. Scaling of black silicon processing time by high repetition rate femtosecond lasers

    Directory of Open Access Journals (Sweden)

    Nava Giorgio

    2013-11-01

    Full Text Available Surface texturing of silicon substrates is performed by femtosecond laser irradiation at high repetition rates. Various fabrication parameters are optimized in order to achieve very high absorptance in the visible region from the micro-structured silicon wafer as compared to the unstructured one. A 70-fold reduction of the processing time is demonstrated by increasing the laser repetition rate from 1 kHz to 200 kHz. Further scaling up to 1 MHz can be foreseen.

  12. Large-scale membrane transfer process: its application to single-crystal-silicon continuous membrane deformable mirror

    International Nuclear Information System (INIS)

    Wu, Tong; Sasaki, Takashi; Hane, Kazuhiro; Akiyama, Masayuki

    2013-01-01

    This paper describes a large-scale membrane transfer process developed for the construction of large-scale membrane devices via the transfer of continuous single-crystal-silicon membranes from one substrate to another. This technique is applied for fabricating a large stroke deformable mirror. A bimorph spring array is used to generate a large air gap between the mirror membrane and the electrode. A 1.9 mm × 1.9 mm × 2 µm single-crystal-silicon membrane is successfully transferred to the electrode substrate by Au–Si eutectic bonding and the subsequent all-dry release process. This process provides an effective approach for transferring a free-standing large continuous single-crystal-silicon to a flexible suspension spring array with a large air gap. (paper)

  13. A security modeling approach for web-service-based business processes

    DEFF Research Database (Denmark)

    Jensen, Meiko; Feja, Sven

    2009-01-01

    a transformation that automatically derives WS-SecurityPolicy-conformant security policies from the process model, which in conjunction with the generated WS-BPEL processes and WSDL documents provides the ability to deploy and run the complete security-enhanced process based on Web Service technology.......The rising need for security in SOA applications requires better support for management of non-functional properties in web-based business processes. Here, the model-driven approach may provide valuable benefits in terms of maintainability and deployment. Apart from modeling the pure functionality...... of a process, the consideration of security properties at the level of a process model is a promising approach. In this work-in-progress paper we present an extension to the ARIS SOA Architect that is capable of modeling security requirements as a separate security model view. Further we provide...

  14. Eighth Workshop on Crystalline Silicon Solar Cell Materials and Processes; Summary Discussion Sessions

    International Nuclear Information System (INIS)

    Sopori, B.; Swanson, D.; Sinton, R.; Stavola, M.; Tan, T.

    1998-01-01

    This report is a summary of the panel discussions included with the Eighth Workshop on Crystalline Silicon Solar Cell Materials and Processes. The theme of the workshop was ''Supporting the Transition to World Class Manufacturing.'' This workshop provided a forum for an informal exchange of information between researchers in the photovoltaic and nonphotovoltaic fields on various aspects of impurities and defects in silicon, their dynamics during device processing, and their application in defect engineering. This interaction helped establish a knowledge base that can be used for improving device-fabrication processes to enhance solar-cell performance and reduce cell costs. It also provided an excellent opportunity for researchers from industry and universities to recognize mutual needs for future joint research

  15. Laboratory evaluation of hot metal de siliconizing process in ladle; Avaliacao laboratorial do processo de dessiliciacao do gusa na panela

    Energy Technology Data Exchange (ETDEWEB)

    Passos, Sergio R.M.; Furtado, Henrique S.; Bentes, Miguel A.G.; Almeida, Pedro S. de [Companhia Siderurgica Nacional, Volta Redonda, RJ (Brazil). Centro de Pesquisas

    1996-12-31

    The attractiveness of hot metal de siliconizing in ladle, relative to the process in blast furnace runner, is the previous knowledge of silicon content of hot metal, without the constraints of slag removing by skimmer met in torpedo car, and the better efficiency in low range silicon content, making easier the process controllability. Meanwhile, the main question about this technology is the extent of the resulfurization of hot metal that may occur due to process be performed after the desulfurization. This work simulates de de siliconizing process in ladle by experiments in induction furnace to compare the efficiencies of various de siliconizing agents available at CSN iron and steel making plant, and to evaluate the resulfurization intensity able to occur during the process, as well as, unexpected increasing of refractory wear. (author) 4 refs., 8 figs., 6 tabs.

  16. Development of a process for high capacity arc heater production of silicon for solar arrays

    Science.gov (United States)

    Meyer, T. N.

    1980-01-01

    A high temperature silicon production process using existing electric arc heater technology is discussed. Silicon tetrachloride and a reductant, liquid sodium, were injected into an arc heated mixture of hydrogen and argon. Under these high temperature conditions, a very rapid reaction occurred, yielding silicon and gaseous sodium chloride. Techniques for high temperature separation and collection of the molten silicon were developed. The desired degree of separation was not achieved. The electrical, control and instrumentation, cooling water, gas, SiCl4, and sodium systems are discussed. The plasma reactor, silicon collection, effluent disposal, the gas burnoff stack, and decontamination and safety are also discussed. Procedure manuals, shakedown testing, data acquisition and analysis, product characterization, disassembly and decontamination, and component evaluation are reviewed.

  17. Characteristics of fracture during the approach process and wear mechanism of a silicon AFM tip

    International Nuclear Information System (INIS)

    Chung, Koo-Hyun; Lee, Yong-Ha; Kim, Dae-Eun

    2005-01-01

    The wear of an atomic force microscope (AFM) tip is one of the crucial issues in AFM as well as in other probe-based applications. In this work, wear tests under extremely low normal load using an AFM were conducted. Also, in order to understand the nature of silicon tip wear, the wear characteristics of crystal silicon and amorphous silicon oxide layer were investigated by a high-resolution transmission electron microscope (HRTEM). It was found that fracture of the tip readily occurred due to impact during the approach process. Experimental results showed that the impact should be below 0.1 nN s to avoid significant fracture of the tip. Also, it was observed that wear of the amorphous layer, formed at the end of the tip, occurred at the initial stage of the silicon tip damage process. Based on Archard's wear law, the wear coefficient of the amorphous layer was in the range of 0.009-0.014. As for the wear characteristics of the silicon tip, it was shown that wear occurred gradually under light normal load and the wear rate decreased with increase in the sliding distance. As for the wear mechanism of the silicon tip, oxidation wear was identified to be the most significant. It was shown that the degree of oxidation was higher under high normal load and in a nitrogen environment, oxidation of the silicon tip was reduced

  18. Process for making silicon from halosilanes and halosilicons

    Science.gov (United States)

    Levin, Harry (Inventor)

    1988-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  19. Ultra-high speed all-optical signal processing using silicon waveguides and a carbon nanotubes based mode-locked laser

    DEFF Research Database (Denmark)

    Ji, Hua

    This thesis concerns the use of nano-engineered silicon waveguides for ultra-high speed optical serial data signal processing. The fundamental nonlinear properties of nano-engineered silicon waveguides are characterized. Utilizing the nonlinear effect in nano-engineered silicon waveguides for dem...

  20. Doping of silicon with carbon during laser ablation process

    Science.gov (United States)

    Račiukaitis, G.; Brikas, M.; Kazlauskienė, V.; Miškinis, J.

    2006-12-01

    The effect of laser ablation on properties of remaining material in silicon was investigated. It was found that laser cutting of wafers in the air induced the doping of silicon with carbon. The effect was more distinct when using higher laser power or UV radiation. Carbon ions created bonds with silicon atoms in the depth of the material. Formation of the silicon carbide type bonds was confirmed by SIMS, XPS and AES measurements. Modeling of the carbon diffusion to clarify its depth profile in silicon was performed. Photochemical reactions of such type changed the structure of material and could be the reason of the reduced machining quality. The controlled atmosphere was applied to prevent carbonization of silicon during laser cutting.

  1. Nanocomposited coatings produced by laser-assisted process to prevent silicone hydogels from protein fouling and bacterial contamination

    International Nuclear Information System (INIS)

    Huang, Guobang; Chen, Yi; Zhang, Jin

    2016-01-01

    Graphical abstract: Nanocomposited-coating was deposited on silicone hydrogel by using the matrix-assisted pulsed laser evaporation (MAPLE) process. The ZnO–PEG nanocomposited coating reduces over 50% protein absorption on silicone hydrogel, and can inhibit the bacterial growth efficiently. - Highlights: • We developed a nanocomposited coating to prevent silicone hydrogel from biofouling. • Matrix-assisted pulsed laser evaporation can deposit inorganic–organic nanomaterials. • The designed nanocomposited coating reduces protein absorption by over 50%. • The designed nanocomposited coating shows significant antimicrobial efficiency. - Abstract: Zinc oxide (ZnO) nanoparticles incorporating with polyethylene glycol (PEG) were deposited together on the surface of silicone hydrogel through matrix-assisted pulsed laser evaporation (MAPLE). In this process, frozen nanocomposites (ZnO–PEG) in isopropanol were irradiated under a pulsed Nd:YAG laser at 532 nm for 1 h. Our results indicate that the MAPLE process is able to maintain the chemical backbone of polymer and prevent the nanocomposite coating from contamination. The ZnO–PEG nanocomposited coating reduces over 50% protein absorption on silicone hydrogel. The cytotoxicity study shows that the ZnO–PEG nanocomposites deposited on silicone hydrogels do not impose the toxic effect on mouse NIH/3T3 cells. In addition, MAPLE-deposited ZnO–PEG nanocomposites can inhibit the bacterial growth significantly.

  2. Simulation of the proton implantation process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Faccinelli, Martin; Hadley, Peter [Graz University of Technology, Institute of Solid State Physics (Austria); Jelinek, Moriz; Wuebben, Thomas [Infineon Technologies Austria AG, Villach (Austria); Laven, Johannes G.; Schulze, Hans-Joachim [Infineon Technologies AG, Neubiberg (Germany)

    2016-12-15

    Proton implantation is one of many processes used to ad-just the electronic and mechanical properties of silicon. Though the process has been extensively studied, it is still not clear which exact defects are formed and what their concentration profiles are. In this article, a simulation method is presented, which provides a better understanding of the implantation process. The simulation takes into account the diffusion of mobile point defects and their reactions to defect complexes, as well as the dissociation of defect complexes. Concentration profiles for a set of defect complexes after an implantation at 400 keV and a dose of 5 x 10{sup 14} H{sup +}cm{sup -2} are presented. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Production of electronic grade lunar silicon by disproportionation of silicon difluoride

    Science.gov (United States)

    Agosto, William N.

    1993-01-01

    Waldron has proposed to extract lunar silicon by sodium reduction of sodium fluorosilicate derived from reacting sodium fluoride with lunar silicon tetrafluoride. Silicon tetrafluoride is obtained by the action of hydrofluoric acid on lunar silicates. While these reactions are well understood, the resulting lunar silicon is not likely to meet electronic specifications of 5 nines purity. Dale and Margrave have shown that silicon difluoride can be obtained by the action of silicon tetrafluoride on elemental silicon at elevated temperatures (1100-1200 C) and low pressures (1-2 torr). The resulting silicon difluoride will then spontaneously disproportionate into hyperpure silicon and silicon tetrafluoride in vacuum at approximately 400 C. On its own merits, silicon difluoride polymerizes into a tough waxy solid in the temperature range from liquid nitrogen to about 100 C. It is the silicon analog of teflon. Silicon difluoride ignites in moist air but is stable under lunar surface conditions and may prove to be a valuable industrial material that is largely lunar derived for lunar surface applications. The most effective driver for lunar industrialization may be the prospects for industrial space solar power systems in orbit or on the moon that are built with lunar materials. Such systems would require large quantities of electronic grade silicon or compound semiconductors for photovoltaics and electronic controls. Since silicon is the most abundant semimetal in the silicate portion of any solar system rock (approximately 20 wt percent), lunar silicon production is bound to be an important process in such a solar power project. The lunar silicon extraction process is discussed.

  4. Microstructure and wear behavior of friction stir processed cast hypereutectic aluminum silicon

    Directory of Open Access Journals (Sweden)

    Ahmad Rosli

    2017-01-01

    Full Text Available Hypereutectic as-cast Al-18Si-Cu-Ni alloy was subjected to friction stir processing (FSP. The resultant effect of FSP on the alloy was evaluated by microstructure analysis and wear tests (dry sliding. A significant microstructural modification and enhancement in wear behavior of Al-18Si-Cu-Ni alloy was recorded after friction stir processing. Wear resistance improvement was related to considerable modification in size, morphology and distribution of silicon particles, and hardness improvement. It was found that lower tool rotation speed was more effective to refine silicon particles and in turn increase wear resistance. Minimum Si particle mean area of about 47.8 µm2, and wear rate of 0.0155 mg/m was achieved.

  5. E-Government Goes Semantic Web: How Administrations Can Transform Their Information Processes

    Science.gov (United States)

    Klischewski, Ralf; Ukena, Stefan

    E-government applications and services are built mainly on access to, retrieval of, integration of, and delivery of relevant information to citizens, businesses, and administrative users. In order to perform such information processing automatically through the Semantic Web,1 machine-readable2 enhancements of web resources are needed, based on the understanding of the content and context of the information in focus. While these enhancements are far from trivial to produce, administrations in their role of information and service providers so far find little guidance on how to migrate their web resources and enable a new quality of information processing; even research is still seeking best practices. Therefore, the underlying research question of this chapter is: what are the appropriate approaches which guide administrations in transforming their information processes toward the Semantic Web? In search for answers, this chapter analyzes the challenges and possible solutions from the perspective of administrations: (a) the reconstruction of the information processing in the e-government in terms of how semantic technologies must be employed to support information provision and consumption through the Semantic Web; (b) the required contribution to the transformation is compared to the capabilities and expectations of administrations; and (c) available experience with the steps of transformation are reviewed and discussed as to what extent they can be expected to successfully drive the e-government to the Semantic Web. This research builds on studying the case of Schleswig-Holstein, Germany, where semantic technologies have been used within the frame of the Access-eGov3 project in order to semantically enhance electronic service interfaces with the aim of providing a new way of accessing and combining e-government services.

  6. Post-processing of Deep Web Information Extraction Based on Domain Ontology

    Directory of Open Access Journals (Sweden)

    PENG, T.

    2013-11-01

    Full Text Available Many methods are utilized to extract and process query results in deep Web, which rely on the different structures of Web pages and various designing modes of databases. However, some semantic meanings and relations are ignored. So, in this paper, we present an approach for post-processing deep Web query results based on domain ontology which can utilize the semantic meanings and relations. A block identification model (BIM based on node similarity is defined to extract data blocks that are relevant to specific domain after reducing noisy nodes. Feature vector of domain books is obtained by result set extraction model (RSEM based on vector space model (VSM. RSEM, in combination with BIM, builds the domain ontology on books which can not only remove the limit of Web page structures when extracting data information, but also make use of semantic meanings of domain ontology. After extracting basic information of Web pages, a ranking algorithm is adopted to offer an ordered list of data records to users. Experimental results show that BIM and RSEM extract data blocks and build domain ontology accurately. In addition, relevant data records and basic information are extracted and ranked. The performances precision and recall show that our proposed method is feasible and efficient.

  7. AIRSAR Automated Web-based Data Processing and Distribution System

    Science.gov (United States)

    Chu, Anhua; vanZyl, Jakob; Kim, Yunjin; Lou, Yunling; Imel, David; Tung, Wayne; Chapman, Bruce; Durden, Stephen

    2005-01-01

    In this paper, we present an integrated, end-to-end synthetic aperture radar (SAR) processing system that accepts data processing requests, submits processing jobs, performs quality analysis, delivers and archives processed data. This fully automated SAR processing system utilizes database and internet/intranet web technologies to allow external users to browse and submit data processing requests and receive processed data. It is a cost-effective way to manage a robust SAR processing and archival system. The integration of these functions has reduced operator errors and increased processor throughput dramatically.

  8. Towards Web-based representation and processing of health information

    DEFF Research Database (Denmark)

    Gao, S.; Mioc, Darka; Yi, X.L.

    2009-01-01

    facilitated the online processing, mapping and sharing of health information, with the use of HERXML and Open Geospatial Consortium (OGC) services. It brought a new solution in better health data representation and initial exploration of the Web-based processing of health information. Conclusion: The designed......Background: There is great concern within health surveillance, on how to grapple with environmental degradation, rapid urbanization, population mobility and growth. The Internet has emerged as an efficient way to share health information, enabling users to access and understand data....... For the representation of health information through Web-mapping applications, there still lacks a standard format to accommodate all fixed (such as location) and variable (such as age, gender, health outcome, etc) indicators in the representation of health information. Furthermore, net-centric computing has not been...

  9. Enriching the Web Processing Service

    Science.gov (United States)

    Wosniok, Christoph; Bensmann, Felix; Wössner, Roman; Kohlus, Jörn; Roosmann, Rainer; Heidmann, Carsten; Lehfeldt, Rainer

    2014-05-01

    The OGC Web Processing Service (WPS) provides a standard for implementing geospatial processes in service-oriented networks. In its current version 1.0.0 it allocates the operations GetCapabilities, DescribeProcess and Execute, which can be used to offer custom processes based on single or multiple sub-processes. A large range of ready to use fine granular, fundamental geospatial processes have been developed by the GIS-community in the past. However, modern use cases or whole workflow processes demand specifications of lifecycle management and service orchestration. Orchestrating smaller sub-processes is a task towards interoperability; a comprehensive documentation by using appropriate metadata is also required. Though different approaches were tested in the past, developing complex WPS applications still requires programming skills, knowledge about software libraries in use and a lot of effort for integration. Our toolset RichWPS aims at providing a better overall experience by setting up two major components. The RichWPS ModelBuilder enables the graphics-aided design of workflow processes based on existing local and distributed processes and geospatial services. Once tested by the RichWPS Server, a composition can be deployed for production use on the RichWPS Server. The ModelBuilder obtains necessary processes and services from a directory service, the RichWPS semantic proxy. It manages the lifecycle and is able to visualize results and debugging-information. One aim will be to generate reproducible results; the workflow should be documented by metadata that can be integrated in Spatial Data Infrastructures. The RichWPS Server provides a set of interfaces to the ModelBuilder for, among others, testing composed workflow sequences, estimating their performance and to publish them as common processes. Therefore the server is oriented towards the upcoming WPS 2.0 standard and its ability to transactionally deploy and undeploy processes making use of a WPS

  10. Optimization of oxidation processes to improve crystalline silicon solar cell emitters

    Directory of Open Access Journals (Sweden)

    L. Shen

    2014-02-01

    Full Text Available Control of the oxidation process is one key issue in producing high-quality emitters for crystalline silicon solar cells. In this paper, the oxidation parameters of pre-oxidation time, oxygen concentration during pre-oxidation and pre-deposition and drive-in time were optimized by using orthogonal experiments. By analyzing experimental measurements of short-circuit current, open circuit voltage, series resistance and solar cell efficiency in solar cells with different sheet resistances which were produced by using different diffusion processes, we inferred that an emitter with a sheet resistance of approximately 70 Ω/□ performed best under the existing standard solar cell process. Further investigations were conducted on emitters with sheet resistances of approximately 70 Ω/□ that were obtained from different preparation processes. The results indicate that emitters with surface phosphorus concentrations between 4.96 × 1020 cm−3 and 7.78 × 1020 cm−3 and with junction depths between 0.46 μm and 0.55 μm possessed the best quality. With no extra processing, the final preparation of the crystalline silicon solar cell efficiency can reach 18.41%, which is an increase of 0.4%abs compared to conventional emitters with 50 Ω/□ sheet resistance.

  11. Plasma processing of microcrystalline silicon films : filling in the gaps

    NARCIS (Netherlands)

    Bronneberg, A.C.

    2012-01-01

    Hydrogenated microcrystalline silicon (µc-Si:H) is a mixed-phase material consisting of crystalline silicon grains, hydrogenated amorphous silicon (a-Si:H) tissue, and voids. Microcrystalline silicon is extensively used as absorber layer in thin-film tandem solar cells, combining the advantages of a

  12. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    International Nuclear Information System (INIS)

    Jung, Y. J.; Kim, W. K.; Jung, J. H.

    2014-01-01

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  13. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Y. J.; Kim, W. K.; Jung, J. H. [Yeungnam University, Gyeongsan (Korea, Republic of)

    2014-08-15

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  14. Flexible Thermoelectric Generators on Silicon Fabric

    KAUST Repository

    Sevilla, Galo T.

    2012-11-01

    In this work, the development of a Thermoelectric Generator on Flexible Silicon Fabric is explored to extend silicon electronics for flexible platforms. Low cost, easily deployable plastic based flexible electronics are of great interest for smart textile, wearable electronics and many other exciting applications. However, low thermal budget processing and fundamentally limited electron mobility hinders its potential to be competitive with well established and highly developed silicon technology. The use of silicon in flexible electronics involve expensive and abrasive materials and processes. In this work, high performance flexible thermoelectric energy harvesters are demonstrated from low cost bulk silicon (100) wafers. The fabrication of the micro- harvesters was done using existing silicon processes on silicon (100) and then peeled them off from the original substrate leaving it for reuse. Peeled off silicon has 3.6% thickness of bulk silicon reducing the thermal loss significantly and generating nearly 30% more output power than unpeeled harvesters. The demonstrated generic batch processing shows a pragmatic way of peeling off a whole silicon circuitry after conventional fabrication on bulk silicon wafers for extremely deformable high performance integrated electronics. In summary, by using a novel, low cost process, this work has successfully integrated existing and highly developed fabrication techniques to introduce a flexible energy harvester for sustainable applications.

  15. Enhancing shelf life of minimally processed multiplier onion using silicone membrane.

    Science.gov (United States)

    Naik, Ravindra; Ambrose, Dawn C P; Raghavan, G S Vijaya; Annamalai, S J K

    2014-12-01

    The aim of storage of minimal processed product is to increase the shelf life and thereby extend the period of availability of minimally processed produce. The silicone membrane makes use of the ability of polymer to permit selective passage of gases at different rates according to their physical and chemical properties. Here, the product stored maintains its own atmosphere by the combined effects of respiration process of the commodity and the diffusion rate through the membrane. A study was undertaken to enhance the shelf life of minimally processed multiplier onion with silicone membrane. The respiration activity was recorded at a temperature of 30 ± 2 °C (RH = 60 %) and 5 ± 1 °C (RH = 90 %). The respiration was found to be 23.4, 15.6, 10 mg CO2kg(-1)h(-1) at 5 ± 1 °C and 140, 110, 60 mg CO2kg(-1) h(-1) at 30 ± 2° for the peeled, sliced and diced multiplier onion, respectively. The respiration rate for the fresh multiplier onion was recorded to be 5, 10 mg CO2kg(-1) h(-1) at 5 ± 1 °C and 30 ± 1 ° C, respectively. Based on the shelf life studies and on the sensory evaluation, it was found that only the peeled multiplier onion could be stored. The sliced and diced multiplier onion did not have the required shelf life. The shelf life of the multiplier onion in the peel form could be increased from 4-5 days to 14 days by using the combined effect of silicone membrane (6 cm(2)/kg) and low temperature (5 ± 1 °C).

  16. High Input Voltage, Silicon Carbide Power Processing Unit Performance Demonstration

    Science.gov (United States)

    Bozak, Karin E.; Pinero, Luis R.; Scheidegger, Robert J.; Aulisio, Michael V.; Gonzalez, Marcelo C.; Birchenough, Arthur G.

    2015-01-01

    A silicon carbide brassboard power processing unit has been developed by the NASA Glenn Research Center in Cleveland, Ohio. The power processing unit operates from two sources: a nominal 300 Volt high voltage input bus and a nominal 28 Volt low voltage input bus. The design of the power processing unit includes four low voltage, low power auxiliary supplies, and two parallel 7.5 kilowatt (kW) discharge power supplies that are capable of providing up to 15 kilowatts of total power at 300 to 500 Volts (V) to the thruster. Additionally, the unit contains a housekeeping supply, high voltage input filter, low voltage input filter, and master control board, such that the complete brassboard unit is capable of operating a 12.5 kilowatt Hall effect thruster. The performance of the unit was characterized under both ambient and thermal vacuum test conditions, and the results demonstrate exceptional performance with full power efficiencies exceeding 97%. The unit was also tested with a 12.5kW Hall effect thruster to verify compatibility and output filter specifications. With space-qualified silicon carbide or similar high voltage, high efficiency power devices, this would provide a design solution to address the need for high power electric propulsion systems.

  17. The Process Mediation Framework for Semantic Web Services

    Czech Academy of Sciences Publication Activity Database

    Vaculín, Roman; Neruda, Roman

    2009-01-01

    Roč. 3, č. 1 (2009), s. 27-58 ISSN 1746-1375 R&D Projects: GA MŠk ME08095; GA AV ČR 1ET100300517 Institutional research plan: CEZ:AV0Z10300504 Keywords : process mediation * OWL-S * semantic web services * adapter synthesis Subject RIV: IN - Informatics, Computer Science

  18. Achievement report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Investigation and research on analyzing practical application of a technology to manufacture solar cell silicon raw materials; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchi silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    This paper describes the achievement in fiscal 1997 of analyzing practical application of a technology to manufacture solar cell silicon raw materials. Silicon consumption for solar cells in fiscal 1997 has increased to 2000-ton level, and the supply has been very tight. For drastic improvement in the demand and supply situation, development of SOG-Si manufacturing technology and its early practical application are desired. The development of the NEDO mass-production technology using melting and refining has completed constructing the process facilities in fiscal 1998, and will enter the stage of operational research. However, insufficiency in the basic data about behavior of impurities is inhibiting the development. In the substrate manufacturing technology, discussions have shown progress on use of diversifying silicons outside the standard by using the electromagnetic casting process. For slicing and processing the substrates, development of a high-performance slicing equipment and automatic rough rinsing machine is under way. Properties required on silicon raw materials vary considerably widely because of difference in cell making systems and conditions, which is attributable to unknown impurity behavior. When 1GW production is assumed, the cell module manufacturing cost is calculated as 137 yen/W, for which low-cost mass production for its realization, slicing productivity enhancement, and cost reduction are required. The paper also describes site surveys in overseas countries. (NEDO)

  19. A process for supporting risk-aware web authentication mechanism choice

    International Nuclear Information System (INIS)

    Renaud, Karen

    2007-01-01

    Web authentication is often treated as a one-size-fits-all problem with ubiquitous use of the password. Indeed, authentication is seldom tailored to the needs of either the site or the target users. This paper does an in-depth analysis of all the vulnerabilities of authentication mechanisms, and proposes a structured and simple process which, if followed, will enable developers to choose a web authentication mechanism so that it matches the needs of their particular site

  20. Gelcasting of SiC/Si for preparation of silicon nitride bonded silicon carbide

    International Nuclear Information System (INIS)

    Xie, Z.P.; Tsinghua University, Beijing,; Cheng, Y.B.; Lu, J.W.; Huang, Y.

    2000-01-01

    In the present paper, gelcasting of aqueous slurry with coarse silicon carbide(1mm) and fine silicon particles was investigated to fabricate silicon nitride bonded silicon carbide materials. Through the examination of influence of different polyelectrolytes on the Zeta potential and viscosity of silicon and silicon carbide suspensions, a stable SiC/Si suspension with 60 vol% solid loading could be prepared by using polyelectrolyte of D3005 and sodium alginate. Gelation of this suspension can complete in 10-30 min at 60-80 deg C after cast into mold. After demolded, the wet green body can be dried directly in furnace and the green strength will develop during drying. Complex shape parts with near net size were prepared by the process. Effects of the debindering process on nitridation and density of silicon nitride bonded silicon carbide were also examined. Copyright (2000) The Australian Ceramic Society

  1. Definition of Quality Criteria of the Technological Process of Narrow Web UV-Printing

    Directory of Open Access Journals (Sweden)

    Volodymyr Shybanov

    2013-11-01

    Full Text Available The application of Narrow Web UV-flexographic printing has several advantages compared with offset printing. In particular, they are the lack of the operation of water-ink balance setting in the technological process, the ability to print on a wide range of materials and so on. Though the imprint quality is clearly based on standards in offset printing, there are no clearly indicated requirements for Narrow Web UV flexographic printing. The absence of such requirements on quality parameters of the technological process of Narrow Web UV-Printing predetermined conducting its analysis with the help of expert surveys.

  2. Transformational silicon electronics

    KAUST Repository

    Rojas, Jhonathan Prieto

    2014-02-25

    In today\\'s traditional electronics such as in computers or in mobile phones, billions of high-performance, ultra-low-power devices are neatly integrated in extremely compact areas on rigid and brittle but low-cost bulk monocrystalline silicon (100) wafers. Ninety percent of global electronics are made up of silicon. Therefore, we have developed a generic low-cost regenerative batch fabrication process to transform such wafers full of devices into thin (5 μm), mechanically flexible, optically semitransparent silicon fabric with devices, then recycling the remaining wafer to generate multiple silicon fabric with chips and devices, ensuring low-cost and optimal utilization of the whole substrate. We show monocrystalline, amorphous, and polycrystalline silicon and silicon dioxide fabric, all from low-cost bulk silicon (100) wafers with the semiconductor industry\\'s most advanced high-κ/metal gate stack based high-performance, ultra-low-power capacitors, field effect transistors, energy harvesters, and storage to emphasize the effectiveness and versatility of this process to transform traditional electronics into flexible and semitransparent ones for multipurpose applications. © 2014 American Chemical Society.

  3. Geochemistry of silicon isotopes

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Tiping; Li, Yanhe; Gao, Jianfei; Hu, Bin [Chinese Academy of Geological Science, Beijing (China). Inst. of Mineral Resources; Jiang, Shaoyong [China Univ. of Geosciences, Wuhan (China).

    2018-04-01

    Silicon is one of the most abundant elements in the Earth and silicon isotope geochemistry is important in identifying the silicon source for various geological bodies and in studying the behavior of silicon in different geological processes. This book starts with an introduction on the development of silicon isotope geochemistry. Various analytical methods are described and compared with each other in detail. The mechanisms of silicon isotope fractionation are discussed, and silicon isotope distributions in various extraterrestrial and terrestrial reservoirs are updated. Besides, the applications of silicon isotopes in several important fields are presented.

  4. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  5. Influence of Crucible Thermal Conductivity on Crystal Growth in an Industrial Directional Solidification Process for Silicon Ingots

    Directory of Open Access Journals (Sweden)

    Zaoyang Li

    2016-01-01

    Full Text Available We carried out transient global simulations of heating, melting, growing, annealing, and cooling stages for an industrial directional solidification (DS process for silicon ingots. The crucible thermal conductivity is varied in a reasonable range to investigate its influence on the global heat transfer and silicon crystal growth. It is found that the crucible plays an important role in heat transfer, and therefore its thermal conductivity can influence the crystal growth significantly in the entire DS process. Increasing the crucible thermal conductivity can shorten the time for melting of silicon feedstock and growing of silicon crystal significantly, and therefore large thermal conductivity is helpful in saving both production time and power energy. However, the high temperature gradient in the silicon ingots and the locally concave melt-crystal interface shape for large crucible thermal conductivity indicate that high thermal stress and dislocation propagation are likely to occur during both growing and annealing stages. Based on the numerical simulations, some discussions on designing and choosing the crucible thermal conductivity are presented.

  6. Improving the Aircraft Design Process Using Web-based Modeling and Simulation

    Science.gov (United States)

    Reed, John A.; Follen, Gregory J.; Afjeh, Abdollah A.

    2003-01-01

    Designing and developing new aircraft systems is time-consuming and expensive. Computational simulation is a promising means for reducing design cycle times, but requires a flexible software environment capable of integrating advanced multidisciplinary and muitifidelity analysis methods, dynamically managing data across heterogeneous computing platforms, and distributing computationally complex tasks. Web-based simulation, with its emphasis on collaborative composition of simulation models, distributed heterogeneous execution, and dynamic multimedia documentation, has the potential to meet these requirements. This paper outlines the current aircraft design process, highlighting its problems and complexities, and presents our vision of an aircraft design process using Web-based modeling and simulation.

  7. Light emitting structures porous silicon-silicon substrate

    International Nuclear Information System (INIS)

    Monastyrskii, L.S.; Olenych, I.B.; Panasjuk, M.R.; Savchyn, V.P.

    1999-01-01

    The research of spectroscopic properties of porous silicon has been done. Complex of photoluminescence, electroluminescence, cathodoluminescence, thermostimulated depolarisation current analyte methods have been applied to study of geterostructures and free layers of porous silicon. Light emitting processes had tendency to decrease. The character of decay for all kinds of luminescence were different

  8. The silicon sensor for the compact muon solenoid tracker. Control of the fabrication process

    International Nuclear Information System (INIS)

    Manolescu, Florentina; Mihul, Alexandru; Macchiolo, Anna

    2005-01-01

    The Compact Muon Solenoid (CMS) is one of the experiments at the Large Hadron Collider (LHC) under construction at CERN. The inner tracking system of this experiment consists of the world largest Silicon Strip Tracker (SST). In total, 24,244 silicon sensors are implemented covering an area of 206 m 2 . To construct this large system and to ensure its functionality for the full lifetime of ten years under the hard LHC condition, a detailed quality assurance program has been developed. This paper describes the strategy of the Process Qualification Control to monitor the stability of the fabrication process throughout the production phase and the results obtained are shown. (authors)

  9. 13th Workshop on Crystalline Silicon Solar Cell Materials and Processes: Extended Abstracts and Papers

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.; Rand, J.; Saitoh, T.; Sinton, R.; Stavola, M.; Swanson, D.; Tan, T.; Weber, E.; Werner, J.; Al-Jassim, M.

    2003-08-01

    The 13th Workshop will provide a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. It will offer an excellent opportunity for researchers in private industry and at universities to prioritize mutual needs for future collaborative research. The workshop is intended to address the fundamental aspects of impurities and defects in silicon: their properties, the dynamics during device processing, and their application for developing low-cost processes for manufacturing high-efficiency silicon solar cells. A combination of oral, poster, and discussion sessions will review recent advances in crystal growth, new cell structures, new processes and process characterization techniques, and cell fabrication approaches suitable for future manufacturing demands.

  10. 77 FR 38033 - Notice of Establishment of a Commodity Import Approval Process Web Site

    Science.gov (United States)

    2012-06-26

    ... post the draft risk assessment on the Web site for 30 days to give stakeholders an opportunity to... Process Web Site AGENCY: Animal and Plant Health Inspection Service, USDA. ACTION: Notice. SUMMARY: We are announcing the creation of a new Plant Protection and Quarantine Web site that will provide stakeholders with...

  11. Study of nano-metric silicon carbide powder sintering. Application to fibers processing

    International Nuclear Information System (INIS)

    Malinge, A.

    2011-01-01

    Silicon carbide ceramic matrix composites (SiCf/SiCm) are of interest for high temperature applications in aerospace or nuclear components for their relatively high thermal conductivity and low activation under neutron irradiation. While most of silicon carbide fibers are obtained through the pyrolysis of a poly-carbo-silane precursor, sintering of silicon carbide nano-powders seems to be a promising route to explore. For this reason, pressureless sintering of SiC has been studied. Following the identification of appropriate sintering aids for the densification, optimization of the microstructure has been achieved through (i) the analysis of the influence of operating parameters and (ii) the control of the SiC β a SiC α phase transition. Green fibers have been obtained by two different processes involving the extrusion of SiC powder dispersion in polymer solution or the coagulation of a water-soluble polymer containing ceramic particles. Sintering of these green fibers led to fibers of around fifty microns in diameter. (author) [fr

  12. Process Research On Polycrystalline Silicon Material (PROPSM). [flat plate solar array project

    Science.gov (United States)

    Culik, J. S.

    1983-01-01

    The performance-limiting mechanisms in large-grain (greater than 1 to 2 mm in diameter) polycrystalline silicon solar cells were investigated by fabricating a matrix of 4 sq cm solar cells of various thickness from 10 cm x 10 cm polycrystalline silicon wafers of several bulk resistivities. Analysis of the illuminated I-V characteristics of these cells suggests that bulk recombination is the dominant factor limiting the short-circuit current. The average open-circuit voltage of the polycrystalline solar cells is 30 to 70 mV lower than that of co-processed single-crystal cells; the fill-factor is comparable. Both open-circuit voltage and fill-factor of the polycrystalline cells have substantial scatter that is not related to either thickness or resistivity. This implies that these characteristics are sensitive to an additional mechanism that is probably spatial in nature. A damage-gettering heat-treatment improved the minority-carrier diffusion length in low lifetime polycrystalline silicon, however, extended high temperature heat-treatment degraded the lifetime.

  13. Development of a Process for a High Capacity Arc Heater Production of Silicon for Solar Arrays

    Science.gov (United States)

    Reed, W. H.

    1979-01-01

    A program was established to develop a high temperature silicon production process using existing electric arc heater technology. Silicon tetrachloride and a reductant (sodium) are injected into an arc heated mixture of hydrogen and argon. Under these high temperature conditions, a very rapid reaction is expected to occur and proceed essentially to completion, yielding silicon and gaseous sodium chloride. Techniques for high temperature separation and collection were developed. Included in this report are: test system preparation; testing; injection techniques; kinetics; reaction demonstration; conclusions; and the project status.

  14. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder

    Science.gov (United States)

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-01

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ˜5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of ‘chaplet-like’ structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ˜5 to ˜3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  15. Simple processing of back-contacted silicon heterojunction solar cells using selective-area crystalline growth

    KAUST Repository

    Tomasi, Andrea; Paviet-Salomon, Bertrand; Jeangros, Quentin; Haschke, Jan; Christmann, Gabriel; Barraud, Loris; Descoeudres, Antoine; Seif, Johannes Peter; Nicolay, Sylvain; Despeisse, Matthieu; De Wolf, Stefaan; Ballif, Christophe

    2017-01-01

    For crystalline-silicon solar cells, voltages close to the theoretical limit are nowadays readily achievable when using passivating contacts. Conversely, maximal current generation requires the integration of the electron and hole contacts at the back of the solar cell to liberate its front from any shadowing loss. Recently, the world-record efficiency for crystalline-silicon single-junction solar cells was achieved by merging these two approaches in a single device; however, the complexity of fabricating this class of devices raises concerns about their commercial potential. Here we show a contacting method that substantially simplifies the architecture and fabrication of back-contacted silicon solar cells. We exploit the surface-dependent growth of silicon thin films, deposited by plasma processes, to eliminate the patterning of one of the doped carrier-collecting layers. Then, using only one alignment step for electrode definition, we fabricate a proof-of-concept 9-cm2 tunnel-interdigitated back-contact solar cell with a certified conversion efficiency >22.5%.

  16. Simple processing of back-contacted silicon heterojunction solar cells using selective-area crystalline growth

    KAUST Repository

    Tomasi, Andrea

    2017-04-24

    For crystalline-silicon solar cells, voltages close to the theoretical limit are nowadays readily achievable when using passivating contacts. Conversely, maximal current generation requires the integration of the electron and hole contacts at the back of the solar cell to liberate its front from any shadowing loss. Recently, the world-record efficiency for crystalline-silicon single-junction solar cells was achieved by merging these two approaches in a single device; however, the complexity of fabricating this class of devices raises concerns about their commercial potential. Here we show a contacting method that substantially simplifies the architecture and fabrication of back-contacted silicon solar cells. We exploit the surface-dependent growth of silicon thin films, deposited by plasma processes, to eliminate the patterning of one of the doped carrier-collecting layers. Then, using only one alignment step for electrode definition, we fabricate a proof-of-concept 9-cm2 tunnel-interdigitated back-contact solar cell with a certified conversion efficiency >22.5%.

  17. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa

    2013-05-30

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  18. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto; Sevilla, Galo T.

    2013-01-01

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  19. High Efficiency, Low Cost Solar Cells Manufactured Using 'Silicon Ink' on Thin Crystalline Silicon Wafers

    Energy Technology Data Exchange (ETDEWEB)

    Antoniadis, H.

    2011-03-01

    Reported are the development and demonstration of a 17% efficient 25mm x 25mm crystalline Silicon solar cell and a 16% efficient 125mm x 125mm crystalline Silicon solar cell, both produced by Ink-jet printing Silicon Ink on a thin crystalline Silicon wafer. To achieve these objectives, processing approaches were developed to print the Silicon Ink in a predetermined pattern to form a high efficiency selective emitter, remove the solvents in the Silicon Ink and fuse the deposited particle Silicon films. Additionally, standard solar cell manufacturing equipment with slightly modified processes were used to complete the fabrication of the Silicon Ink high efficiency solar cells. Also reported are the development and demonstration of a 18.5% efficient 125mm x 125mm monocrystalline Silicon cell, and a 17% efficient 125mm x 125mm multicrystalline Silicon cell, by utilizing high throughput Ink-jet and screen printing technologies. To achieve these objectives, Innovalight developed new high throughput processing tools to print and fuse both p and n type particle Silicon Inks in a predetermined pat-tern applied either on the front or the back of the cell. Additionally, a customized Ink-jet and screen printing systems, coupled with customized substrate handling solution, customized printing algorithms, and a customized ink drying process, in combination with a purchased turn-key line, were used to complete the high efficiency solar cells. This development work delivered a process capable of high volume producing 18.5% efficient crystalline Silicon solar cells and enabled the Innovalight to commercialize its technology by the summer of 2010.

  20. Development in fiscal 1998 of silicon manufacturing process to rationalize energy usage. Surveys and researches on analysis of practical application of technology to manufacture silicon raw materials for solar cells; 1998 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchi silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    With an objective to develop a mass production technology to manufacture silicon raw materials for solar cells, and assist its practical application, surveys and analyses were performed on trends in development of the related technologies, the problems therein , market trends and industrial trends thereof. This paper summarizes the achievements in fiscal 1998. The worldwide production amount of solar cells in 1998 is estimated to have achieved 150 MW, and the silicon consumption reached the level of 2,300 tons. In spite of the economic recession environment, there was no change in the expansion trend. In developing an SOG-Si mass production and manufacturing technology, construction of pilot plants for each process has been completed, and entered into the operation research phase. In developing a technology to manufacture high quality poly-crystalline silicon substrates, fabrication has been completed on the on-line ingot cutting equipment and the plasma heating equipment, and the stage is now in operation research of continuous electromagnetic casting process. The conversion efficiency of the poly-crystalline silicon solar cells is 14 to 16% at the mass production level, whose enhancement requires indispensably the improvement in quality of the substrate. Discussions are required on the ingot manufacturing conditions in coordination with improvement in the cell manufacturing technology. (NEDO)

  1. Integrating UIMA annotators in a web-based text processing framework.

    Science.gov (United States)

    Chen, Xiang; Arnold, Corey W

    2013-01-01

    The Unstructured Information Management Architecture (UIMA) [1] framework is a growing platform for natural language processing (NLP) applications. However, such applications may be difficult for non-technical users deploy. This project presents a web-based framework that wraps UIMA-based annotator systems into a graphical user interface for researchers and clinicians, and a web service for developers. An annotator that extracts data elements from lung cancer radiology reports is presented to illustrate the use of the system. Annotation results from the web system can be exported to multiple formats for users to utilize in other aspects of their research and workflow. This project demonstrates the benefits of a lay-user interface for complex NLP applications. Efforts such as this can lead to increased interest and support for NLP work in the clinical domain.

  2. Silicon-micromachined microchannel plates

    CERN Document Server

    Beetz, C P; Steinbeck, J; Lemieux, B; Winn, D R

    2000-01-01

    Microchannel plates (MCP) fabricated from standard silicon wafer substrates using a novel silicon micromachining process, together with standard silicon photolithographic process steps, are described. The resulting SiMCP microchannels have dimensions of approx 0.5 to approx 25 mu m, with aspect ratios up to 300, and have the dimensional precision and absence of interstitial defects characteristic of photolithographic processing, compatible with positional matching to silicon electronics readouts. The open channel areal fraction and detection efficiency may exceed 90% on plates up to 300 mm in diameter. The resulting silicon substrates can be converted entirely to amorphous quartz (qMCP). The strip resistance and secondary emission are developed by controlled depositions of thin films, at temperatures up to 1200 deg. C, also compatible with high-temperature brazing, and can be essentially hydrogen, water and radionuclide-free. Novel secondary emitters and cesiated photocathodes can be high-temperature deposite...

  3. DEVELOPING WEB MAPPING APPLICATION USING ARCGIS SERVER WEB APPLICATION DEVELOPMEN FRAMEWORK (ADF FOR GEOSPATIAL DATA GENERATED DURING REHABILITATION AND RECONSTRUCTION PROCESS OF POST-TSUNAMI 2004 DISASTER IN ACEH

    Directory of Open Access Journals (Sweden)

    Nizamuddin Nizamuddin

    2014-04-01

    Full Text Available ESRI ArcGIS Server is equipped with ArcGIS Server Web Application Development Framework (ADF and ArcGIS Web Controls integration for Visual Studio.NET. Both the ArcGIS Server Manager for .NET and ArcGIS Web Controls can be easily utilized for developing the ASP.NET based ESRI Web mapping application. In  this study we implemented both tools for developing the ASP.NET based ESRI Web mapping application for geospatial data generated dring rehabilitation and reconstruction process of post-tsunami 2004 disaster in Aceh province. Rehabilitation and reconstruction process has produced a tremendous amount of geospatial data. This method was chosen in this study because in the process of developing  a web mapping application, one can easily and quickly create Mapping Services of huge geospatial data and also develop Web mapping application without writing any code. However, when utilizing Visual Studio.NET 2008, one needs to have some coding ability.

  4. Design and fabrication process of silicon micro-calorimeters on simple SOI technology for X-ray spectral imaging

    International Nuclear Information System (INIS)

    Aliane, A.; Agnese, P.; Pigot, C.; Sauvageot, J.-L.; Moro, F. de; Ribot, H.; Gasse, A.; Szeflinski, V.; Gobil, Y.

    2008-01-01

    Several successful development programs have been conducted on infra-red bolometer arrays at the 'Commissariat a l'Energie Atomique' (CEA-LETI Grenoble) in collaboration with the CEA-SAp (Saclay); taking advantage of this background, we are now developing an X-ray spectro-imaging camera for next generation space astronomy missions, using silicon only technology. We have developed monolithic silicon micro-calorimeters based on implanted thermistors in an improved array that could be used for future space missions. The 8x8 array consists of a grid of 64 suspended pixels fabricated on a silicon on insulator (SOI) wafer. Each pixel of this detector array is made of a tantalum (Ta) absorber, which is bound by means of indium bump hybridization, to a silicon thermistor. The absorber array is bound to the thermistor array in a collective process. The fabrication process of our detector involves a combination of standard technologies and silicon bulk micro-machining techniques, based on deposition, photolithography and plasma etching steps. Finally, we present the results of measurements performed on these four primary building blocks that are required to create a detector array up to 32x32 pixels in size

  5. Effects of processing and dopant on radiation damage removal in silicon solar cells

    Science.gov (United States)

    Weinberg, I.; Brandhorst, H. W., Jr.; Swartz, C. K.; Mehta, S.

    1982-01-01

    Gallium and boron doped silicon solar cells, processed by ion-implantation followed by either laser or furnace anneal were irradiated by 1 MeV electrons and their post-irradiation recovery by thermal annealing determined. During the post-irradiation anneal, gallium-doped cells prepared by both processes recovered more rapidly and exhibited none of the severe reverse annealing observed for similarly processed 2 ohm-cm boron doped cells. Ion-implanted furnace annealed 0.1 ohm-cm boron doped cells exhibited the lowest post-irradiation annealing temperatures (200 C) after irradiation to 5 x 10 to the 13th e(-)/sq cm. The drastically lowered recovery temperature is attributed to the reduced oxygen and carbon content of the 0.1 ohm-cm cells. Analysis based on defect properties and annealing kinetics indicates that further reduction in annealing temperature should be attainable with further reduction in the silicon's carbon and/or divacancy content after irradiation.

  6. Comparison of laser chemical processing and lasermicrojet for structuring and cutting silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Hopman, Sybille; Fell, Andreas; Mayer, Kuno; Mesec, Matthias; Rodofili, Andreas; Kray, Daniel [Fraunhofer Institute for Solar Energy Systems ISE, Freiburg (Germany)

    2009-06-15

    This paper deals with the development of a new cutting method for thin silicon solar wafers with liquid-jet-guided lasers (lasermicrojet {sup registered}, LMJ, and laser chemical processing, LCP). Several laser systems with different wavelengths were tested to find the optimum laser system and processing parameters in terms of efficient material removal and deep laser cutting. Water and potassium hydroxide were used as carrier liquids to enhance laser ablation. The ablation efficiency was defined as a target parameter and experimentally determined by performing single laser grooves. It is demonstrated that the ablation process of LMJ is mainly affected by silicon melting and then removing by the liquid-jet momentum for single laser grooves. Best result for deep laser grooves is achieved if evaporation dominates the ablation process. Better surface quality referred to laser-induced crystalline damage is presented for a cut wafer with LMJ in comparison to a standard multiwire slurry saw. This shows a great potential of wafering with liquid-jet-guided lasers although no optimal liquid media was used. (orig.)

  7. Improving Logistics Processes in Industry Using Web Technologies

    Science.gov (United States)

    Jánošík, Ján; Tanuška, Pavol; Václavová, Andrea

    2016-12-01

    The aim of this paper is to propose the concept of a system that takes advantage of web technologies and integrates them into the management process and management of internal stocks which may relate to external applications and creates the conditions to transform a Computerized Control of Warehouse Stock (CCWS) in the company. The importance of implementing CCWS is in the elimination of the claims caused by the human factor, as well as to allow the processing of information for analytical purposes and their subsequent use to improve internal processes. Using CCWS in the company would also facilitate better use of the potential tools Business Intelligence and Data Mining.

  8. Silicon-micromachined microchannel plates

    International Nuclear Information System (INIS)

    Beetz, Charles P.; Boerstler, Robert; Steinbeck, John; Lemieux, Bryan; Winn, David R.

    2000-01-01

    Microchannel plates (MCP) fabricated from standard silicon wafer substrates using a novel silicon micromachining process, together with standard silicon photolithographic process steps, are described. The resulting SiMCP microchannels have dimensions of ∼0.5 to ∼25 μm, with aspect ratios up to 300, and have the dimensional precision and absence of interstitial defects characteristic of photolithographic processing, compatible with positional matching to silicon electronics readouts. The open channel areal fraction and detection efficiency may exceed 90% on plates up to 300 mm in diameter. The resulting silicon substrates can be converted entirely to amorphous quartz (qMCP). The strip resistance and secondary emission are developed by controlled depositions of thin films, at temperatures up to 1200 deg. C, also compatible with high-temperature brazing, and can be essentially hydrogen, water and radionuclide-free. Novel secondary emitters and cesiated photocathodes can be high-temperature deposited or nucleated in the channels or the first strike surface. Results on resistivity, secondary emission and gain are presented

  9. Fabricating solar cells with silicon nanoparticles

    Science.gov (United States)

    Loscutoff, Paul; Molesa, Steve; Kim, Taeseok

    2014-09-02

    A laser contact process is employed to form contact holes to emitters of a solar cell. Doped silicon nanoparticles are formed over a substrate of the solar cell. The surface of individual or clusters of silicon nanoparticles is coated with a nanoparticle passivation film. Contact holes to emitters of the solar cell are formed by impinging a laser beam on the passivated silicon nanoparticles. For example, the laser contact process may be a laser ablation process. In that case, the emitters may be formed by diffusing dopants from the silicon nanoparticles prior to forming the contact holes to the emitters. As another example, the laser contact process may be a laser melting process whereby portions of the silicon nanoparticles are melted to form the emitters and contact holes to the emitters.

  10. Production of technical silicon and silicon carbide from rice-husk

    Directory of Open Access Journals (Sweden)

    A. Z. Issagulov

    2014-10-01

    Full Text Available In the article there are studied physical and chemical properties of silicon-carbonic raw material – rice-husk, thermophysical characteristics of the process of rice-husk pyrolysis in nonreactive and oxidizing environment; structure and phase composition of products of the rice-husk pyrolysis in interval of temperatures 150 – 850 °С and high temperature pyrolysis in interval of temperatures 900 – 1 500 °С. There are defined the silicon-carbon production conditions, which meet the requirements applicable to charging materials at production of technical silicon and silicon carbide.

  11. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  12. An amorphous silicon photodiode with 2 THz gain-bandwidth product based on cycling excitation process

    Science.gov (United States)

    Yan, Lujiang; Yu, Yugang; Zhang, Alex Ce; Hall, David; Niaz, Iftikhar Ahmad; Raihan Miah, Mohammad Abu; Liu, Yu-Hsin; Lo, Yu-Hwa

    2017-09-01

    Since impact ionization was observed in semiconductors over half a century ago, avalanche photodiodes (APDs) using impact ionization in a fashion of chain reaction have been the most sensitive semiconductor photodetectors. However, APDs have relatively high excess noise, a limited gain-bandwidth product, and high operation voltage, presenting a need for alternative signal amplification mechanisms of superior properties. As an amplification mechanism, the cycling excitation process (CEP) was recently reported in a silicon p-n junction with subtle control and balance of the impurity levels and profiles. Realizing that CEP effect depends on Auger excitation involving localized states, we made the counter intuitive hypothesis that disordered materials, such as amorphous silicon, with their abundant localized states, can produce strong CEP effects with high gain and speed at low noise, despite their extremely low mobility and large number of defects. Here, we demonstrate an amorphous silicon low noise photodiode with gain-bandwidth product of over 2 THz, based on a very simple structure. This work will impact a wide range of applications involving optical detection because amorphous silicon, as the primary gain medium, is a low-cost, easy-to-process material that can be formed on many kinds of rigid or flexible substrates.

  13. Pattern-based translation of BPMN process models to BPEL web services

    NARCIS (Netherlands)

    Ouyang, C.; Dumas, M.; Hofstede, ter A.H.M.; Aalst, van der W.M.P.

    2008-01-01

    The business process modeling notation (BPMN) is a graph-oriented language primarily targeted at domain analysts and supported by many modeling tools. The business process execution language for Web services (BPEL) on the other hand is a mainly block-structured language targeted at software

  14. Silicon analog components device design, process integration, characterization, and reliability

    CERN Document Server

    El-Kareh, Badih

    2015-01-01

    This book covers modern analog components, their characteristics, and interactions with process parameters. It serves as a comprehensive guide, addressing both the theoretical and practical aspects of modern silicon devices and the relationship between their electrical properties and processing conditions. Based on the authors’ extensive experience in the development of analog devices, this book is intended for engineers and scientists in semiconductor research, development and manufacturing. The problems at the end of each chapter and the numerous charts, figures and tables also make it appropriate for use as a text in graduate and advanced undergraduate courses in electrical engineering and materials science.

  15. Silicon photonics fundamentals and devices

    CERN Document Server

    Deen, M Jamal

    2012-01-01

    The creation of affordable high speed optical communications using standard semiconductor manufacturing technology is a principal aim of silicon photonics research. This would involve replacing copper connections with optical fibres or waveguides, and electrons with photons. With applications such as telecommunications and information processing, light detection, spectroscopy, holography and robotics, silicon photonics has the potential to revolutionise electronic-only systems. Providing an overview of the physics, technology and device operation of photonic devices using exclusively silicon and related alloys, the book includes: * Basic Properties of Silicon * Quantum Wells, Wires, Dots and Superlattices * Absorption Processes in Semiconductors * Light Emitters in Silicon * Photodetectors , Photodiodes and Phototransistors * Raman Lasers including Raman Scattering * Guided Lightwaves * Planar Waveguide Devices * Fabrication Techniques and Material Systems Silicon Photonics: Fundamentals and Devices outlines ...

  16. Damage-free laser patterning of silicon nitride on textured crystalline silicon using an amorphous silicon etch mask for Ni/Cu plated silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Bailly, Mark S., E-mail: mbailly@asu.edu; Karas, Joseph; Jain, Harsh; Dauksher, William J.; Bowden, Stuart

    2016-08-01

    We investigate the optimization of laser ablation with a femtosecond laser for direct and indirect removal of SiN{sub x} on alkaline textured c-Si. Our proposed resist-free indirect removal process uses an a-Si:H etch mask and is demonstrated to have a drastically improved surface quality of the laser processed areas when compared to our direct removal process. Scanning electron microscope images of ablated sites show the existence of substantial surface defects for the standard direct removal process, and the reduction of those defects with our proposed process. Opening of SiN{sub x} and SiO{sub x} passivating layers with laser ablation is a promising alternative to the standard screen print and fire process for making contact to Si solar cells. The potential for small contacts from laser openings of dielectrics coupled with the selective deposition of metal from light induced plating allows for high-aspect-ratio metal contacts for front grid metallization. The minimization of defects generated in this process would serve to enhance the performance of the device and provides the motivation for our work. - Highlights: • Direct laser removal of silicon nitride (SiN{sub x}) damages textured silicon. • Direct laser removal of amorphous silicon (a-Si) does not damage textured silicon. • a-Si can be used as a laser patterned etch mask for SiN{sub x}. • Chemically patterned SiN{sub x} sites allow for Ni/Cu plating.

  17. Visualization of nanosecond laser-induced dewetting, ablation and crystallization processes in thin silicon films

    Science.gov (United States)

    Qi, Dongfeng; Zhang, Zifeng; Yu, Xiaohan; Zhang, Yawen

    2018-06-01

    In the present work, nanosecond pulsed laser crystallization, dewetting and ablation of thin amorphous silicon films are investigated by time-resolved imaging. Laser pulses of 532 nm wavelength and 7 ns temporal width are irradiated on silicon film. Below the dewetting threshold, crystallization process happens after 400 ns laser irradiation in the spot central region. With the increasing of laser fluence, it is observed that the dewetting process does not conclude until 300 ns after the laser irradiation, forming droplet-like particles in the spot central region. At higher laser intensities, ablative material removal occurs in the spot center. Cylindrical rims are formed in the peripheral dewetting zone due to solidification of transported matter at about 500 ns following the laser pulse exposure.

  18. Design rules for RCA self-aligned silicon-gate CMOS/SOS process

    Science.gov (United States)

    1977-01-01

    The CMOS/SOS design rules prepared by the RCA Solid State Technology Center (SSTC) are described. These rules specify the spacing and width requirements for each of the six design levels, the seventh level being used to define openings in the passivation level. An associated report, entitled Silicon-Gate CMOS/SOS Processing, provides further insight into the usage of these rules.

  19. Evolution of silicon sensor technology in particle physics

    CERN Document Server

    Hartmann, Frank

    2017-01-01

    This informative monograph describes the technological evolution of silicon detectors and their impact on high energy particle physics. The author here marshals his own first-hand experience in the development and also the realization of the DELPHI, CDF II and the CMS tracking detector. The basic principles of small strip- and pixel-detectors are presented and also the final large-scale applications. The Evolution of Silicon Detector Technology acquaints readers with the manifold challenges involving the design of sensors and pushing this technology to the limits. The expert will find critical information that is so far only available in various slide presentation scattered over the world wide web. This practical introduction of silicon sensor technology and its day to day life in the lab also offers many examples to illustrate problems and their solutions over several detector generations. The new edition gives a detailed overview of the silicon sensor technology used at the LHC, from basic principles to act...

  20. Improving Logistics Processes in Industry Using Web Technologies

    Directory of Open Access Journals (Sweden)

    Jánošík Ján

    2016-12-01

    Full Text Available The aim of this paper is to propose the concept of a system that takes advantage of web technologies and integrates them into the management process and management of internal stocks which may relate to external applications and creates the conditions to transform a Computerized Control of Warehouse Stock (CCWS in the company. The importance of implementing CCWS is in the elimination of the claims caused by the human factor, as well as to allow the processing of information for analytical purposes and their subsequent use to improve internal processes. Using CCWS in the company would also facilitate better use of the potential tools Business Intelligence and Data Mining.

  1. Fluorescence and thermoluminescence in silicon oxide films rich in silicon

    International Nuclear Information System (INIS)

    Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.

    2009-10-01

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  2. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.

    2010-06-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  3. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.; Peters, Craig; Brongersma, Mark; Cui, Yi; McGehee, Mike

    2010-01-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  4. Enhancing the Teaching of Digital Processing of Remote Sensing Image Course through Geospatial Web Processing Services

    Science.gov (United States)

    di, L.; Deng, M.

    2010-12-01

    Remote sensing (RS) is an essential method to collect data for Earth science research. Huge amount of remote sensing data, most of them in the image form, have been acquired. Almost all geography departments in the world offer courses in digital processing of remote sensing images. Such courses place emphasis on how to digitally process large amount of multi-source images for solving real world problems. However, due to the diversity and complexity of RS images and the shortcomings of current data and processing infrastructure, obstacles for effectively teaching such courses still remain. The major obstacles include 1) difficulties in finding, accessing, integrating and using massive RS images by students and educators, and 2) inadequate processing functions and computing facilities for students to freely explore the massive data. Recent development in geospatial Web processing service systems, which make massive data, computing powers, and processing capabilities to average Internet users anywhere in the world, promises the removal of the obstacles. The GeoBrain system developed by CSISS is an example of such systems. All functions available in GRASS Open Source GIS have been implemented as Web services in GeoBrain. Petabytes of remote sensing images in NASA data centers, the USGS Landsat data archive, and NOAA CLASS are accessible transparently and processable through GeoBrain. The GeoBrain system is operated on a high performance cluster server with large disk storage and fast Internet connection. All GeoBrain capabilities can be accessed by any Internet-connected Web browser. Dozens of universities have used GeoBrain as an ideal platform to support data-intensive remote sensing education. This presentation gives a specific example of using GeoBrain geoprocessing services to enhance the teaching of GGS 588, Digital Remote Sensing taught at the Department of Geography and Geoinformation Science, George Mason University. The course uses the textbook "Introductory

  5. 1366 Project Silicon: Reclaiming US Silicon PV Leadership

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Adam [1366 Technologies, Bedford, MA (United States)

    2016-02-16

    1366 Technologies’ Project Silicon addresses two of the major goals of the DOE’s PV Manufacturing Initiative Part 2 program: 1) How to reclaim a strong silicon PV manufacturing presence and; 2) How to lower the levelized cost of electricity (“LCOE”) for solar to $0.05-$0.07/kWh, enabling wide-scale U.S. market adoption. To achieve these two goals, US companies must commercialize disruptive, high-value technologies that are capable of rapid scaling, defensible from foreign competition, and suited for US manufacturing. These are the aims of 1366 Technologies Direct Wafer ™ process. The research conducted during Project Silicon led to the first industrial scaling of 1366’s Direct Wafer™ process – an innovative, US-friendly (efficient, low-labor content) manufacturing process that destroys the main cost barrier limiting silicon PV cost-reductions: the 35-year-old grand challenge of making quality wafers (40% of the cost of modules) without the cost and waste of sawing. The SunPath program made it possible for 1366 Technologies to build its demonstration factory, a key and critical step in the Company’s evolution. The demonstration factory allowed 1366 to build every step of the process flow at production size, eliminating potential risk and ensuring the success of the Company’s subsequent scaling for a 1 GW factory to be constructed in Western New York in 2016 and 2017. Moreover, the commercial viability of the Direct Wafer process and its resulting wafers were established as 1366 formed key strategic partnerships, gained entry into the $8B/year multi-Si wafer market, and installed modules featuring Direct Wafer products – the veritable proving grounds for the technology. The program also contributed to the development of three Generation 3 Direct Wafer furnaces. These furnaces are the platform for copying intelligently and preparing our supply chain – large-scale expansion will not require a bigger machine but more machines. SunPath filled the

  6. Studies on the reactive melt infiltration of silicon and silicon-molybdenum alloys in porous carbon

    Science.gov (United States)

    Singh, M.; Behrendt, D. R.

    1992-01-01

    Investigations on the reactive melt infiltration of silicon and silicon-1.7 and 3.2 at percent molybdenum alloys into porous carbon preforms have been carried out by process modeling, differential thermal analysis (DTA) and melt infiltration experiments. These results indicate that the initial pore volume fraction of the porous carbon preform is a critical parameter in determining the final composition of the raction-formed silicon carbide and other residual phases. The pore size of the carbon preform is very detrimental to the exotherm temperatures due to liquid silicon-carbon reactions encountered during the reactive melt infiltration process. A possible mechanism for the liquid silicon-porous (glassy) carbon reaction has been proposed. The composition and microstructure of the reaction-formed silicon carbide has been discussed in terms of carbon preform microstructures, infiltration materials, and temperatures.

  7. Comparison of silicon pin diode detector fabrication processes using ion implantation and thermal doping

    International Nuclear Information System (INIS)

    Zhou, C.Z.; Warburton, W.K.

    1996-01-01

    Two processes for the fabrication of silicon p-i-n diode radiation detectors are described and compared. Both processes are compatible with conventional integrated-circuit fabrication techniques and yield very low leakage currents. Devices made from the process using boron thermal doping have about a factor of 2 lower leakage current than those using boron ion implantation. However, the boron thermal doping process requires additional process steps to remove boron skins. (orig.)

  8. Research of high speed data readout and pre-processing system based on xTCA for silicon pixel detector

    International Nuclear Information System (INIS)

    Zhao Jingzhou; Lin Haichuan; Guo Fang; Liu Zhen'an; Xu Hao; Gong Wenxuan; Liu Zhao

    2012-01-01

    As the development of the detector, Silicon pixel detectors have been widely used in high energy physics experiments. It needs data processing system with high speed, high bandwidth and high availability to read data from silicon pixel detectors which generate more large data. The same question occurs on Belle II Pixel Detector which is a new style silicon pixel detector used in SuperKEKB accelerator with high luminance. The paper describes the research of High speed data readout and pre-processing system based on xTCA for silicon pixel detector. The system consists of High Performance Computer Node (HPCN) based on xTCA and ATCA frame. The HPCN consists of 4XFPs based on AMC, 1 AMC Carrier ATCA Board (ACAB) and 1 Rear Transmission Module. It characterized by 5 high performance FPGAs, 16 fiber links based on RocketIO, 5 Gbit Ethernet ports and DDR2 with capacity up to 18GB. In a ATCA frame, 14 HPCNs make up a system using the high speed backplane to achieve the function of data pre-processing and trigger. This system will be used on the trigger and data acquisition system of Belle II Pixel detector. (authors)

  9. A development process meta-model for Web based expert systems: The Web engineering point of view

    DEFF Research Database (Denmark)

    Dokas, I.M.; Alapetite, Alexandre

    2006-01-01

    raised their complexity. Unfortunately, there is so far no clear answer to the question: How may the methods and experience of Web engineering and expert systems be combined and applied in order todevelop effective and successful Web based expert systems? In an attempt to answer this question...... on Web based expert systems – will be presented. The idea behind the presentation of theaccessibility evaluation and its conclusions is to show to Web based expert system developers, who typically have little Web engineering background, that Web engineering issues must be considered when developing Web......Similar to many legacy computer systems, expert systems can be accessed via the Web, forming a set of Web applications known as Web based expert systems. The tough Web competition, the way people and organizations rely on Web applications and theincreasing user requirements for better services have...

  10. Process control of high rate microcrystalline silicon based solar cell deposition by optical emission spectroscopy

    International Nuclear Information System (INIS)

    Kilper, T.; Donker, M.N. van den; Carius, R.; Rech, B.; Braeuer, G.; Repmann, T.

    2008-01-01

    Silicon thin-film solar cells based on microcrystalline silicon (μc-Si:H) were prepared in a 30 x 30 cm 2 plasma-enhanced chemical vapor deposition reactor using 13.56 or 40.68 MHz plasma excitation frequency. Plasma emission was recorded by optical emission spectroscopy during μc-Si:H absorber layer deposition at deposition rates between 0.5 and 2.5 nm/s. The time course of SiH * and H β emission indicated strong drifts in the process conditions particularly at low total gas flows. By actively controlling the SiH 4 gas flow, the observed process drifts were successfully suppressed resulting in a more homogeneous i-layer crystallinity along the growth direction. In a deposition regime with efficient usage of the process gas, the μc-Si:H solar cell efficiency was enhanced from 7.9 % up to 8.8 % by applying process control

  11. Using declarative workflow languages to develop process-centric web applications

    NARCIS (Netherlands)

    Bernardi, M.L.; Cimitile, M.; Di Lucca, G.A.; Maggi, F.M.

    2012-01-01

    Nowadays, process-centric Web Applications (WAs) are extensively used in contexts where multi-user, coordinated work is required. Recently, Model Driven Engineering (MDE) techniques have been investigated for the development of this kind of applications. However, there are still some open issues.

  12. Characterization of 10 μm thick porous silicon dioxide obtained by complex oxidation process for RF application

    International Nuclear Information System (INIS)

    Park, Jeong-Yong; Lee, Jong-Hyun

    2003-01-01

    This paper proposes a 10 μm thick oxide layer structure, which can be used as a substrate for RF circuits. The structure has been fabricated by anodic reaction and complex oxidation, which is a combined process of low temperature thermal oxidation (500 deg. C, for 1 h at H 2 O/O 2 ) and a rapid thermal oxidation (RTO) process (1050 deg. C, for 1 min). The electrical characteristics of oxidized porous silicon layer (OPSL) were almost the same as those of standard thermal silicon dioxide. The leakage current through the OPSL of 10 μm was about 100-500 pA in the range of 0-50 V. The average value of breakdown field was about 3.9 MV cm -1 . From the X-ray photo-electron spectroscopy (XPS) analysis, surface and internal oxide films of OPSL, prepared by complex process were confirmed to be completely oxidized and also the role of RTO process was important for the densification of porous silicon layer (PSL) oxidized at a lower temperature. For the RF-test of Si substrate with thick silicon dioxide layer, we have fabricated high performance passive devices such as coplanar waveguide (CPW) on OPSL substrate. The insertion loss of CPW on OPSL prepared by complex oxidation process was -0.39 dB at 4 GHz and similar to that of CPW on OPSL prepared by a temperature of 1050 deg. C (1 h at H 2 O/O 2 ). Also the return loss of CPW on OPSL prepared by complex oxidation process was -23 dB at 10 GHz, which is similar to that of CPW on OPSL prepared by high temperature

  13. Laser Process for Selective Emitter Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    G. Poulain

    2012-01-01

    Full Text Available Selective emitter solar cells can provide a significant increase in conversion efficiency. However current approaches need many technological steps and alignment procedures. This paper reports on a preliminary attempt to reduce the number of processing steps and therefore the cost of selective emitter cells. In the developed procedure, a phosphorous glass covered with silicon nitride acts as the doping source. A laser is used to open locally the antireflection coating and at the same time achieve local phosphorus diffusion. In this process the standard chemical etching of the phosphorous glass is avoided. Sheet resistance variation from 100 Ω/sq to 40 Ω/sq is demonstrated with a nanosecond UV laser. Numerical simulation of the laser-matter interaction is discussed to understand the dopant diffusion efficiency. Preliminary solar cells results show a 0.5% improvement compared with a homogeneous emitter structure.

  14. ONTOLOGY BASED MEANINGFUL SEARCH USING SEMANTIC WEB AND NATURAL LANGUAGE PROCESSING TECHNIQUES

    Directory of Open Access Journals (Sweden)

    K. Palaniammal

    2013-10-01

    Full Text Available The semantic web extends the current World Wide Web by adding facilities for the machine understood description of meaning. The ontology based search model is used to enhance efficiency and accuracy of information retrieval. Ontology is the core technology for the semantic web and this mechanism for representing formal and shared domain descriptions. In this paper, we proposed ontology based meaningful search using semantic web and Natural Language Processing (NLP techniques in the educational domain. First we build the educational ontology then we present the semantic search system. The search model consisting three parts which are embedding spell-check, finding synonyms using WordNet API and querying ontology using SPARQL language. The results are both sensitive to spell check and synonymous context. This paper provides more accurate results and the complete details for the selected field in a single page.

  15. Direct Production of Silicones From Sand

    Energy Technology Data Exchange (ETDEWEB)

    Larry N. Lewis; F.J. Schattenmann: J.P. Lemmon

    2001-09-30

    Silicon, in the form of silica and silicates, is the second most abundant element in the earth's crust. However the synthesis of silicones (scheme 1) and almost all organosilicon chemistry is only accessible through elemental silicon. Silicon dioxide (sand or quartz) is converted to chemical-grade elemental silicon in an energy intensive reduction process, a result of the exceptional thermodynamic stability of silica. Then, the silicon is reacted with methyl chloride to give a mixture of methylchlorosilanes catalyzed by cooper containing a variety of tract metals such as tin, zinc etc. The so-called direct process was first discovered at GE in 1940. The methylchlorosilanes are distilled to purify and separate the major reaction components, the most important of which is dimethyldichlorosilane. Polymerization of dimethyldichlorosilane by controlled hydrolysis results in the formation of silicone polymers. Worldwide, the silicones industry produces about 1.3 billion pounds of the basic silicon polymer, polydimethylsiloxane.

  16. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    Science.gov (United States)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  17. Porous silicon carbide (SIC) semiconductor device

    Science.gov (United States)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1996-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  18. Silicon Carbide (SiC) Power Processing Unit (PPU) for Hall Effect Thrusters, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — In this SBIR project, APEI, Inc. is proposing to develop a high efficiency, rad-hard 3.8 kW silicon carbide (SiC) Power Processing Unit (PPU) for Hall Effect...

  19. Design of Web Questionnaires : An Information Processing Perspective for the Effect of Response Categories

    NARCIS (Netherlands)

    Toepoel, V.; Vis, C.M.; Das, J.W.M.; van Soest, A.H.O.

    2006-01-01

    In this study we use an information-processing perspective to explore the impact of response scales on respondents answers in a web survey.This paper has four innovations compared to the existing literature: research is based on a different mode of administration (web), we use an open-ended format

  20. Silicon Carbide (SiC) Power Processing Unit (PPU) for Hall Effect Thrusters, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — In this SBIR project, APEI, Inc. is proposing to develop a high efficiency, rad-hard 3.8 kW silicon carbide (SiC) power supply for the Power Processing Unit (PPU) of...

  1. Description and testing of the Geo Data Portal: Data integration framework and Web processing services for environmental science collaboration

    Science.gov (United States)

    Blodgett, David L.; Booth, Nathaniel L.; Kunicki, Thomas C.; Walker, Jordan I.; Viger, Roland J.

    2011-01-01

    Interest in sharing interdisciplinary environmental modeling results and related data is increasing among scientists. The U.S. Geological Survey Geo Data Portal project enables data sharing by assembling open-standard Web services into an integrated data retrieval and analysis Web application design methodology that streamlines time-consuming and resource-intensive data management tasks. Data-serving Web services allow Web-based processing services to access Internet-available data sources. The Web processing services developed for the project create commonly needed derivatives of data in numerous formats. Coordinate reference system manipulation and spatial statistics calculation components implemented for the Web processing services were confirmed using ArcGIS 9.3.1, a geographic information science software package. Outcomes of the Geo Data Portal project support the rapid development of user interfaces for accessing and manipulating environmental data.

  2. Silicon on insulator self-aligned transistors

    Science.gov (United States)

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  3. Non-Vacuum Processed Polymer Composite Antireflection Coating Films for Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Abdullah Uzum

    2016-08-01

    Full Text Available A non-vacuum processing method for preparing polymer-based ZrO2/TiO2 multilayer structure antireflection coating (ARC films for crystalline silicon solar cells by spin coating is introduced. Initially, ZrO2, TiO2 and surface deactivated-TiO2 (SD-TiO2 based films were examined separately and the effect of photocatalytic properties of TiO2 film on the reflectivity on silicon surface was investigated. Degradation of the reflectance performance with increasing reflectivity of up to 2% in the ultraviolet region was confirmed. No significant change of the reflectance was observed when utilizing SD-TiO2 and ZrO2 films. Average reflectance (between 300 nm–1100 nm of the silicon surface coated with optimized polymer-based ZrO2 single or ZrO2/SD-TiO2 multilayer composite films was decreased down to 6.5% and 5.5%, respectively. Improvement of photocurrent density (Jsc and conversion efficiency (η of fabricated silicon solar cells owing to the ZrO2/SD-TiO2 multilayer ARC could be confirmed. The photovoltaic properties of Jsc, the open-circuit photo voltage (VOC, the fill factor (FF, and the η were 31.42 mA cm−2, 575 mV, 71.5% and 12.91%. Efficiency of the solar cells was improved by the ZrO2-polymer/SD-TiO2 polymer ARC composite layer by a factor of 0.8% with an increase of Jsc (2.07 mA cm−2 compared to those of fabricated without the ARC.

  4. Evaluation of selected chemical processes for production of low-cost silicon phase 2. silicon material task, low-cost silicon solar array project

    Science.gov (United States)

    Blocher, J. M., Jr.; Browning, M. F.; Rose, E. E.; Thompson, W. B.; Schmitt, W. A.; Fippin, J. S.; Kidd, R. W.; Liu, C. Y.; Kerbler, P. S.; Ackley, W. R.

    1978-01-01

    Progress from October 1, 1977, through December 31, 1977, is reported in the design of the 50 MT/year experimental facility for the preparation of high purity silicon by the zinc vapor reduction of silicon tetrachloride in a fluidized bed of seed particles to form a free flowing granular product.

  5. Colloidal characterization of silicon nitride and silicon carbide

    Science.gov (United States)

    Feke, Donald L.

    1986-01-01

    The colloidal behavior of aqueous ceramic slips strongly affects the forming and sintering behavior and the ultimate mechanical strength of the final ceramic product. The colloidal behavior of these materials, which is dominated by electrical interactions between the particles, is complex due to the strong interaction of the solids with the processing fluids. A surface titration methodology, modified to account for this interaction, was developed and used to provide fundamental insights into the interfacial chemistry of these systems. Various powder pretreatment strategies were explored to differentiate between true surface chemistry and artifacts due to exposure history. The colloidal behavior of both silicon nitride and carbide is dominated by silanol groups on the powder surfaces. However, the colloid chemistry of silicon nitride is apparently influenced by an additional amine group. With the proper powder treatments, silicon nitride and carbide powder can be made to appear colloidally equivalent. The impact of these results on processing control will be discussed.

  6. Web mapping system for complex processing and visualization of environmental geospatial datasets

    Science.gov (United States)

    Titov, Alexander; Gordov, Evgeny; Okladnikov, Igor

    2016-04-01

    Environmental geospatial datasets (meteorological observations, modeling and reanalysis results, etc.) are used in numerous research applications. Due to a number of objective reasons such as inherent heterogeneity of environmental datasets, big dataset volume, complexity of data models used, syntactic and semantic differences that complicate creation and use of unified terminology, the development of environmental geodata access, processing and visualization services as well as client applications turns out to be quite a sophisticated task. According to general INSPIRE requirements to data visualization geoportal web applications have to provide such standard functionality as data overview, image navigation, scrolling, scaling and graphical overlay, displaying map legends and corresponding metadata information. It should be noted that modern web mapping systems as integrated geoportal applications are developed based on the SOA and might be considered as complexes of interconnected software tools for working with geospatial data. In the report a complex web mapping system including GIS web client and corresponding OGC services for working with geospatial (NetCDF, PostGIS) dataset archive is presented. There are three basic tiers of the GIS web client in it: 1. Tier of geospatial metadata retrieved from central MySQL repository and represented in JSON format 2. Tier of JavaScript objects implementing methods handling: --- NetCDF metadata --- Task XML object for configuring user calculations, input and output formats --- OGC WMS/WFS cartographical services 3. Graphical user interface (GUI) tier representing JavaScript objects realizing web application business logic Metadata tier consists of a number of JSON objects containing technical information describing geospatial datasets (such as spatio-temporal resolution, meteorological parameters, valid processing methods, etc). The middleware tier of JavaScript objects implementing methods for handling geospatial

  7. Process Simulation and Characterization of Substrate Engineered Silicon Thin Film Transistor for Display Sensors and Large Area Electronics

    International Nuclear Information System (INIS)

    Hashmi, S M; Ahmed, S

    2013-01-01

    Design, simulation, fabrication and post-process qualification of substrate-engineered Thin Film Transistors (TFTs) are carried out to suggest an alternate manufacturing process step focused on display sensors and large area electronics applications. Damage created by ion implantation of Helium and Silicon ions into single-crystalline n-type silicon substrate provides an alternate route to create an amorphized region responsible for the fabrication of TFT structures with controllable and application-specific output parameters. The post-process qualification of starting material and full-cycle devices using Rutherford Backscattering Spectrometry (RBS) and Proton or Particle induced X-ray Emission (PIXE) techniques also provide an insight to optimize the process protocols as well as their applicability in the manufacturing cycle

  8. DECENTRALIZED ORCHESTRATION OF COMPOSITE OGC WEB PROCESSING SERVICES IN THE CLOUD

    Directory of Open Access Journals (Sweden)

    F. Xiao

    2016-09-01

    Full Text Available Current web-based GIS or RS applications generally rely on centralized structure, which has inherent drawbacks such as single points of failure, network congestion, and data inconsistency, etc. The inherent disadvantages of traditional GISs need to be solved for new applications on Internet or Web. Decentralized orchestration offers performance improvements in terms of increased throughput and scalability and lower response time. This paper investigates build time and runtime issues related to decentralized orchestration of composite geospatial processing services based on OGC WPS standard specification. A case study of dust storm detection was demonstrated to evaluate the proposed method and the experimental results indicate that the method proposed in this study is effective for its ability to produce the high quality solution at a low cost of communications for geospatial processing service composition problem.

  9. UV laser incorporation of dopants in silicon: comparison of two processes

    International Nuclear Information System (INIS)

    Fogarassy, E.P.; Narayan, J.; Lowndes, D.H.; White, C.H.

    1985-01-01

    The rapid deposition of pulsed-laser energy into the near-surface region of silicon leads to melting of crystal, followed by liquid phase epitaxial regrowth from the underlying substrate at a growth velocity of several meters per second. During rapid solidification, implanted or deposited group III and V impurities can be incorporated into substitutional sites in the silicon lattice with concentrations far in excess of their equilibrium solubility limit. The authors have investigated and compared the incorporation of implanted or deposited antimony into the silicon lattice during laser annealing with a pulsed KrF UV laser (lambda = 0.249 μm, Z = 35 ns). The surface melting dynamics resulting from laser irradiation have been studied by time-resolved reflectivity. In the two cases, the sharp transition to the high reflectivity phase (R = 72%, at lambda = 0.633 μm of the HeNe laser probe) is characteristic of molten silicon. Surface melt durations measured on implanted Sb (150 KeV, 5 x 10 16 cm -2 ) and deposited Sb (80 A thick on crystalline silicon) are significantly larger than those for virgin silicon

  10. Temperature dependent investigation on optically active process of higher-order bands in irradiated silicon

    International Nuclear Information System (INIS)

    Shi Yi; Nanjing Univ., JS; Wu Fengmei; Nanjing Univ., JS; Zheng Youdou; Nanjing Univ., JS; Suezawa, M.; Imai, M.; Sumino, K.

    1996-01-01

    Optically active processes of the higher-order bands (HOB) are investigated at different temperatures in fast neutron irradiated silicon using Fourier transform infrared absorption measurement. It is shown that the optically active process is nearly temperature independent below 80 K, the slow decay process remains up to a heating temperature of 180 K. The observations are analyzed in terms of the relaxation behavior of photoexcited carriers governed by fast neutron radiation induced defect clusters. (orig.)

  11. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  12. A web service system supporting three-dimensional post-processing of medical images based on WADO protocol.

    Science.gov (United States)

    He, Longjun; Xu, Lang; Ming, Xing; Liu, Qian

    2015-02-01

    Three-dimensional post-processing operations on the volume data generated by a series of CT or MR images had important significance on image reading and diagnosis. As a part of the DIOCM standard, WADO service defined how to access DICOM objects on the Web, but it didn't involve three-dimensional post-processing operations on the series images. This paper analyzed the technical features of three-dimensional post-processing operations on the volume data, and then designed and implemented a web service system for three-dimensional post-processing operations of medical images based on the WADO protocol. In order to improve the scalability of the proposed system, the business tasks and calculation operations were separated into two modules. As results, it was proved that the proposed system could support three-dimensional post-processing service of medical images for multiple clients at the same moment, which met the demand of accessing three-dimensional post-processing operations on the volume data on the web.

  13. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  14. A midas plugin to enable construction of reproducible web-based image processing pipelines.

    Science.gov (United States)

    Grauer, Michael; Reynolds, Patrick; Hoogstoel, Marion; Budin, Francois; Styner, Martin A; Oguz, Ipek

    2013-01-01

    Image processing is an important quantitative technique for neuroscience researchers, but difficult for those who lack experience in the field. In this paper we present a web-based platform that allows an expert to create a brain image processing pipeline, enabling execution of that pipeline even by those biomedical researchers with limited image processing knowledge. These tools are implemented as a plugin for Midas, an open-source toolkit for creating web based scientific data storage and processing platforms. Using this plugin, an image processing expert can construct a pipeline, create a web-based User Interface, manage jobs, and visualize intermediate results. Pipelines are executed on a grid computing platform using BatchMake and HTCondor. This represents a new capability for biomedical researchers and offers an innovative platform for scientific collaboration. Current tools work well, but can be inaccessible for those lacking image processing expertise. Using this plugin, researchers in collaboration with image processing experts can create workflows with reasonable default settings and streamlined user interfaces, and data can be processed easily from a lab environment without the need for a powerful desktop computer. This platform allows simplified troubleshooting, centralized maintenance, and easy data sharing with collaborators. These capabilities enable reproducible science by sharing datasets and processing pipelines between collaborators. In this paper, we present a description of this innovative Midas plugin, along with results obtained from building and executing several ITK based image processing workflows for diffusion weighted MRI (DW MRI) of rodent brain images, as well as recommendations for building automated image processing pipelines. Although the particular image processing pipelines developed were focused on rodent brain MRI, the presented plugin can be used to support any executable or script-based pipeline.

  15. A Midas Plugin to Enable Construction of Reproducible Web-based Image Processing Pipelines

    Directory of Open Access Journals (Sweden)

    Michael eGrauer

    2013-12-01

    Full Text Available Image processing is an important quantitative technique for neuroscience researchers, but difficult for those who lack experience in the field. In this paper we present a web-based platform that allows an expert to create a brain image processing pipeline, enabling execution of that pipeline even by those biomedical researchers with limited image processing knowledge. These tools are implemented as a plugin for Midas, an open-source toolkit for creating web based scientific data storage and processing platforms. Using this plugin, an image processing expert can construct a pipeline, create a web-based UI, manage jobs, and visualize intermediate results. Pipelines are executed on a grid computing platform using BatchMake and HTCondor. This represents a new capability for biomedical researchers and offers an innovative platform for scientific collaboration. Current tools work well, but can be inaccessible for those lacking image processing expertise. Using this plugin, researchers in collaboration with image processing experts can create workflows with reasonable default settings and streamlined user interfaces, and data can be processed easily from a lab environment without the need for a powerful desktop computer. This platform allows simplified troubleshooting, centralized maintenance, and easy data sharing with collaborators. These capabilities enable reproducible science by sharing datasets and processing pipelines between collaborators. In this paper, we present a description of this innovative Midas plugin, along with results obtained from building and executing several ITK based image processing workflows for diffusion weighted MRI (DW MRI of rodent brain images, as well as recommendations for building automated image processing pipelines. Although the particular image processing pipelines developed were focused on rodent brain MRI, the presented plugin can be used to support any executable or script-based pipeline.

  16. WebVis: a hierarchical web homepage visualizer

    Science.gov (United States)

    Renteria, Jose C.; Lodha, Suresh K.

    2000-02-01

    WebVis, the Hierarchical Web Home Page Visualizer, is a tool for managing home web pages. The user can access this tool via the WWW and obtain a hierarchical visualization of one's home web pages. WebVis is a real time interactive tool that supports many different queries on the statistics of internal files such as sizes, age, and type. In addition, statistics on embedded information such as VRML files, Java applets, images and sound files can be extracted and queried. Results of these queries are visualized using color, shape and size of different nodes of the hierarchy. The visualization assists the user in a variety of task, such as quickly finding outdated information or locate large files. WebVIs is one solution to the growing web space maintenance problem. Implementation of WebVis is realized with Perl and Java. Perl pattern matching and file handling routines are used to collect and process web space linkage information and web document information. Java utilizes the collected information to produce visualization of the web space. Java also provides WebVis with real time interactivity, while running off the WWW. Some WebVis examples of home web page visualization are presented.

  17. Optimization of a plasma immersion ion implantation process for shallow junctions in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Ashok; Nori, Rajashree; Bhatt, Piyush; Lodha, Saurabh; Pinto, Richard, E-mail: rpinto@ee.iitb.ac.in; Rao, Valipe Ramgopal [Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai 400076 (India); Jomard, François; Neumann-Spallart, Michael [Groupe d' Étude de la Matière Condensée, C.N.R.S./Université de Versailles-St.Quentin, 45, Avenue des États-Unis, 78035 Versailles Cedex (France)

    2014-11-01

    A plasma immersion ion implantation (PIII) process has been developed for realizing shallow doping profiles of phosphorus and boron in silicon using an in-house built dual chamber cluster tool. High Si etch rates observed in a 5% PH{sub 3} in H{sub 2} plasma have been ascribed to high concentration of H(α) radicals. Therefore, subsequent work was carried out with 5% PH{sub 3} in He, leading to much smaller etch rates. By optical emission spectroscopy, the radical species H(α), PH*{sub 2}, and PH* have been identified. The concentration of all three species increased with pressure. Also, ion concentrations increased with pressure as evidenced by Langmuir data, with a maximum occurring at 0.12 mbar. The duty cycle of pulsed DC bias has a significant bearing on both the implantation and the etching process as it controls the leakage of positive charge collected at the surface of the silicon wafer during pulse on-time generated primarily due to secondary electron emission. The P implant process was optimized for a duty cycle of 10% or less at a pressure of 0.12 mbar with implant times as low as 30 s. Secondary ion mass spectroscopy showed a P dopant depth of 145 nm after rapid thermal annealing (RTA) at 950 °C for 5 s, resulting in a sheet resistance of 77 Ω/◻. Si n{sup +}/p diodes fabricated with phosphorus implantation using optimized PIII and RTA conditions exhibit J{sub on}/J{sub off} > 10{sup 6} with an ideality factor of nearly 1.2. Using similar conditions, shallow doping profiles of B in silicon have also been realized.

  18. LSA Large Area Silicon Sheet Task Continuous Czochralski Process Development

    Science.gov (United States)

    Rea, S. N.

    1979-01-01

    A commercial Czochralski crystal growing furnace was converted to a continuous growth facility by installation of a small, in-situ premelter with attendant silicon storage and transport mechanisms. Using a vertical, cylindrical graphite heater containing a small fused quartz test tube linear from which the molten silicon flowed out the bottom, approximately 83 cm of nominal 5 cm diamter crystal was grown with continuous melt addition furnished by the test tube premelter. High perfection crystal was not obtained, however, due primarily to particulate contamination of the melt. A major contributor to the particulate problem was severe silicon oxide buildup on the premelter which would ultimately drop into the primary melt. Elimination of this oxide buildup will require extensive study and experimentation and the ultimate success of continuous Czochralski depends on a successful solution to this problem. Economically, the continuous Czochralski meets near-term cost goals for silicon sheet material.

  19. SU-D-BRD-02: A Web-Based Image Processing and Plan Evaluation Platform (WIPPEP) for Future Cloud-Based Radiotherapy

    International Nuclear Information System (INIS)

    Chai, X; Liu, L; Xing, L

    2014-01-01

    Purpose: Visualization and processing of medical images and radiation treatment plan evaluation have traditionally been constrained to local workstations with limited computation power and ability of data sharing and software update. We present a web-based image processing and planning evaluation platform (WIPPEP) for radiotherapy applications with high efficiency, ubiquitous web access, and real-time data sharing. Methods: This software platform consists of three parts: web server, image server and computation server. Each independent server communicates with each other through HTTP requests. The web server is the key component that provides visualizations and user interface through front-end web browsers and relay information to the backend to process user requests. The image server serves as a PACS system. The computation server performs the actual image processing and dose calculation. The web server backend is developed using Java Servlets and the frontend is developed using HTML5, Javascript, and jQuery. The image server is based on open source DCME4CHEE PACS system. The computation server can be written in any programming language as long as it can send/receive HTTP requests. Our computation server was implemented in Delphi, Python and PHP, which can process data directly or via a C++ program DLL. Results: This software platform is running on a 32-core CPU server virtually hosting the web server, image server, and computation servers separately. Users can visit our internal website with Chrome browser, select a specific patient, visualize image and RT structures belonging to this patient and perform image segmentation running Delphi computation server and Monte Carlo dose calculation on Python or PHP computation server. Conclusion: We have developed a webbased image processing and plan evaluation platform prototype for radiotherapy. This system has clearly demonstrated the feasibility of performing image processing and plan evaluation platform through a web

  20. SU-D-BRD-02: A Web-Based Image Processing and Plan Evaluation Platform (WIPPEP) for Future Cloud-Based Radiotherapy

    Energy Technology Data Exchange (ETDEWEB)

    Chai, X; Liu, L; Xing, L [Stanford UniversitySchool of Medicine, Stanford, CA (United States)

    2014-06-01

    Purpose: Visualization and processing of medical images and radiation treatment plan evaluation have traditionally been constrained to local workstations with limited computation power and ability of data sharing and software update. We present a web-based image processing and planning evaluation platform (WIPPEP) for radiotherapy applications with high efficiency, ubiquitous web access, and real-time data sharing. Methods: This software platform consists of three parts: web server, image server and computation server. Each independent server communicates with each other through HTTP requests. The web server is the key component that provides visualizations and user interface through front-end web browsers and relay information to the backend to process user requests. The image server serves as a PACS system. The computation server performs the actual image processing and dose calculation. The web server backend is developed using Java Servlets and the frontend is developed using HTML5, Javascript, and jQuery. The image server is based on open source DCME4CHEE PACS system. The computation server can be written in any programming language as long as it can send/receive HTTP requests. Our computation server was implemented in Delphi, Python and PHP, which can process data directly or via a C++ program DLL. Results: This software platform is running on a 32-core CPU server virtually hosting the web server, image server, and computation servers separately. Users can visit our internal website with Chrome browser, select a specific patient, visualize image and RT structures belonging to this patient and perform image segmentation running Delphi computation server and Monte Carlo dose calculation on Python or PHP computation server. Conclusion: We have developed a webbased image processing and plan evaluation platform prototype for radiotherapy. This system has clearly demonstrated the feasibility of performing image processing and plan evaluation platform through a web

  1. Geoinformation web-system for processing and visualization of large archives of geo-referenced data

    Science.gov (United States)

    Gordov, E. P.; Okladnikov, I. G.; Titov, A. G.; Shulgina, T. M.

    2010-12-01

    Developed working model of information-computational system aimed at scientific research in area of climate change is presented. The system will allow processing and analysis of large archives of geophysical data obtained both from observations and modeling. Accumulated experience of developing information-computational web-systems providing computational processing and visualization of large archives of geo-referenced data was used during the implementation (Gordov et al, 2007; Okladnikov et al, 2008; Titov et al, 2009). Functional capabilities of the system comprise a set of procedures for mathematical and statistical analysis, processing and visualization of data. At present five archives of data are available for processing: 1st and 2nd editions of NCEP/NCAR Reanalysis, ECMWF ERA-40 Reanalysis, JMA/CRIEPI JRA-25 Reanalysis, and NOAA-CIRES XX Century Global Reanalysis Version I. To provide data processing functionality a computational modular kernel and class library providing data access for computational modules were developed. Currently a set of computational modules for climate change indices approved by WMO is available. Also a special module providing visualization of results and writing to Encapsulated Postscript, GeoTIFF and ESRI shape files was developed. As a technological basis for representation of cartographical information in Internet the GeoServer software conforming to OpenGIS standards is used. Integration of GIS-functionality with web-portal software to provide a basis for web-portal’s development as a part of geoinformation web-system is performed. Such geoinformation web-system is a next step in development of applied information-telecommunication systems offering to specialists from various scientific fields unique opportunities of performing reliable analysis of heterogeneous geophysical data using approved computational algorithms. It will allow a wide range of researchers to work with geophysical data without specific programming

  2. Development of Radiation Hard Radiation Detectors, Differences between Czochralski Silicon and Float Zone Silicon

    CERN Document Server

    Tuominen, Eija

    2012-01-01

    The purpose of this work was to develop radiation hard silicon detectors. Radiation detectors made ofsilicon are cost effective and have excellent position resolution. Therefore, they are widely used fortrack finding and particle analysis in large high-energy physics experiments. Silicon detectors willalso be used in the CMS (Compact Muon Solenoid) experiment that is being built at the LHC (LargeHadron Collider) accelerator at CERN (European Organisation for Nuclear Research). This work wasdone in the CMS programme of Helsinki Institute of Physics (HIP).Exposure of the silicon material to particle radiation causes irreversible defects that deteriorate theperformance of the silicon detectors. In HIP CMS Programme, our approach was to improve theradiation hardness of the silicon material with increased oxygen concentration in silicon material. Westudied two different methods: diffusion oxygenation of Float Zone silicon and use of high resistivityCzochralski silicon.We processed, characterised, tested in a parti...

  3. Floating Silicon Method

    Energy Technology Data Exchange (ETDEWEB)

    Kellerman, Peter

    2013-12-21

    The Floating Silicon Method (FSM) project at Applied Materials (formerly Varian Semiconductor Equipment Associates), has been funded, in part, by the DOE under a “Photovoltaic Supply Chain and Cross Cutting Technologies” grant (number DE-EE0000595) for the past four years. The original intent of the project was to develop the FSM process from concept to a commercially viable tool. This new manufacturing equipment would support the photovoltaic industry in following ways: eliminate kerf losses and the consumable costs associated with wafer sawing, allow optimal photovoltaic efficiency by producing high-quality silicon sheets, reduce the cost of assembling photovoltaic modules by creating large-area silicon cells which are free of micro-cracks, and would be a drop-in replacement in existing high efficiency cell production process thereby allowing rapid fan-out into the industry.

  4. Polycrystalline silicon ring resonator photodiodes in a bulk complementary metal-oxide-semiconductor process.

    Science.gov (United States)

    Mehta, Karan K; Orcutt, Jason S; Shainline, Jeffrey M; Tehar-Zahav, Ofer; Sternberg, Zvi; Meade, Roy; Popović, Miloš A; Ram, Rajeev J

    2014-02-15

    We present measurements on resonant photodetectors utilizing sub-bandgap absorption in polycrystalline silicon ring resonators, in which light is localized in the intrinsic region of a p+/p/i/n/n+ diode. The devices, operating both at λ=1280 and λ=1550  nm and fabricated in a complementary metal-oxide-semiconductor (CMOS) dynamic random-access memory emulation process, exhibit detection quantum efficiencies around 20% and few-gigahertz response bandwidths. We observe this performance at low reverse biases in the range of a few volts and in devices with dark currents below 50 pA at 10 V. These results demonstrate that such photodetector behavior, previously reported by Preston et al. [Opt. Lett. 36, 52 (2011)], is achievable in bulk CMOS processes, with significant improvements with respect to the previous work in quantum efficiency, dark current, linearity, bandwidth, and operating bias due to additional midlevel doping implants and different material deposition. The present work thus offers a robust realization of a fully CMOS-fabricated all-silicon photodetector functional across a wide wavelength range.

  5. Ion beam figuring of silicon aspheres

    Science.gov (United States)

    Demmler, Marcel; Zeuner, Michael; Luca, Alfonz; Dunger, Thoralf; Rost, Dirk; Kiontke, Sven; Krüger, Marcus

    2011-03-01

    Silicon lenses are widely used for infrared applications. Especially for portable devices the size and weight of the optical system are very important factors. The use of aspherical silicon lenses instead of spherical silicon lenses results in a significant reduction of weight and size. The manufacture of silicon lenses is more challenging than the manufacture of standard glass lenses. Typically conventional methods like diamond turning, grinding and polishing are used. However, due to the high hardness of silicon, diamond turning is very difficult and requires a lot of experience. To achieve surfaces of a high quality a polishing step is mandatory within the manufacturing process. Nevertheless, the required surface form accuracy cannot be achieved through the use of conventional polishing methods because of the unpredictable behavior of the polishing tools, which leads to an unstable removal rate. To overcome these disadvantages a method called Ion Beam Figuring can be used to manufacture silicon lenses with high surface form accuracies. The general advantage of the Ion Beam Figuring technology is a contactless polishing process without any aging effects of the tool. Due to this an excellent stability of the removal rate without any mechanical surface damage is achieved. The related physical process - called sputtering - can be applied to any material and is therefore also applicable to materials of high hardness like Silicon (SiC, WC). The process is realized through the commercially available ion beam figuring system IonScan 3D. During the process, the substrate is moved in front of a focused broad ion beam. The local milling rate is controlled via a modulated velocity profile, which is calculated specifically for each surface topology in order to mill the material at the associated positions to the target geometry. The authors will present aspherical silicon lenses with very high surface form accuracies compared to conventionally manufactured lenses.

  6. WebSelF: A Web Scraping Framework

    DEFF Research Database (Denmark)

    Thomsen, Jakob; Ernst, Erik; Brabrand, Claus

    2012-01-01

    We present, WebSelF, a framework for web scraping which models the process of web scraping and decomposes it into four conceptually independent, reusable, and composable constituents. We have validated our framework through a full parameterized implementation that is flexible enough to capture...... previous work on web scraping. We have experimentally evaluated our framework and implementation in an experiment that evaluated several qualitatively different web scraping constituents (including previous work and combinations hereof) on about 11,000 HTML pages on daily versions of 17 web sites over...... a period of more than one year. Our framework solves three concrete problems with current web scraping and our experimental results indicate that com- position of previous and our new techniques achieve a higher degree of accuracy, precision and specificity than existing techniques alone....

  7. Global optimization of silicon nanowires for efficient parametric processes

    DEFF Research Database (Denmark)

    Vukovic, Dragana; Xu, Jing; Mørk, Jesper

    2013-01-01

    We present a global optimization of silicon nanowires for parametric single-pump mixing. For the first time, the effect of surface roughness-induced loss is included in the analysis, significantly influencing the optimum waveguide dimensions.......We present a global optimization of silicon nanowires for parametric single-pump mixing. For the first time, the effect of surface roughness-induced loss is included in the analysis, significantly influencing the optimum waveguide dimensions....

  8. T-Check in Technologies for Interoperability: Business Process Management in a Web Services Context

    National Research Council Canada - National Science Library

    Hueppi, Fabian; Wrage, Lutz; Lewis, Grace A

    2008-01-01

    .... Composition languages are one type of BPM technology. Through the use of composition languages, business processes that are implemented through software and available as web services can be combined into new processes...

  9. Additive advantage in characteristics of MIMCAPs on flexible silicon (100) fabric with release-first process

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Hussain, Aftab M.; Hussain, Muhammad Mustafa

    2013-01-01

    We report the inherent increase in capacitance per unit planar area of state-of-the art high-κ integrated metal/insulator/metal capacitors (MIMCAPs) fabricated on flexible silicon fabric with release-first process. We methodically study and show

  10. Impact of the brewing process on the concentration of silicon in lager beer

    Czech Academy of Sciences Publication Activity Database

    Krausová, Ivana; Cejnar, R.; Kučera, Jan; Dostálek, P.

    2014-01-01

    Roč. 120, č. 4 (2014), s. 433-437 ISSN 0046-9750 R&D Projects: GA MŠk 1M0570; GA MŠk(XE) LM2011019; GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : beer * brewing * hops * INAA * malt * silicon Subject RIV: GM - Food Processing Impact factor: 1.240, year: 2014

  11. Optical signal processing by silicon photonics

    CERN Document Server

    Ahmed, Jameel; Adeel, Freeha; Hussain, Ashiq

    2014-01-01

    The main objective of this book is to make respective graduate students understand the nonlinear effects inside SOI waveguide and possible applications of SOI waveguides in this emerging research area of optical fibre communication. This book focuses on achieving successful optical frequency shifting by Four Wave Mixing (FWM) in silicon-on-insulator (SOI) waveguide by exploiting a nonlinear phenomenon.

  12. Laser-zone Growth in a Ribbon-to-ribbon (RTR) Process Silicon Sheet Growth Development for the Large Area Silicon Sheet Task of the Low Cost Solar Array Project

    Science.gov (United States)

    Baghdadi, A.; Gurtler, R. W.; Legge, R.; Sopori, B.; Rice, M. J.; Ellis, R. J.

    1979-01-01

    A technique for growing limited-length ribbons continually was demonstrated. This Rigid Edge technique can be used to recrystallize about 95% of the polyribbon feedstock. A major advantage of this method is that only a single, constant length silicon ribbon is handled throughout the entire process sequence; this may be accomplished using cassettes similar to those presently in use for processing Czochralski waters. Thus a transition from Cz to ribbon technology can be smoothly affected. The maximum size being considered, 3 inches x 24 inches, is half a square foot, and will generate 6 watts for 12% efficiency at 1 sun. Silicon dioxide has been demonstrated as an effective, practical diffusion barrier for use during the polyribbon formation.

  13. Highly efficient silicon light emitting diode

    NARCIS (Netherlands)

    Le Minh, P.; Holleman, J.; Wallinga, Hans

    2002-01-01

    In this paper, we describe the fabrication, using standard silicon processing techniques, of silicon light-emitting diodes (LED) that efficiently emit photons with energy around the silicon bandgap. The improved efficiency had been explained by the spatial confinement of charge carriers due to a

  14. How attitude strength biases information processing and evaluation on the web

    NARCIS (Netherlands)

    Van Strien, Johan; Kammerer, Yvonne; Brand-Gruwel, Saskia; Boshuizen, Els

    2018-01-01

    In this study we examined the influence of attitude strength on the processing and evaluation of sources and information on the Web. Seventy-nine German university students participated in an eye-tracking study in which they read information from eight pre-selected websites from different sources on

  15. How attitude strength biases information processing and evaluation on the web

    NARCIS (Netherlands)

    van Strien, J.L.H.; Kammerer, Yvonne; Brand-Gruwel, Saskia; Boshuizen, Henny

    2016-01-01

    In this study we examined the influence of attitude strength on the processing and evaluation of sources and information on the Web. Seventy-nine German university students participated in an eye-tracking study in which they read information from eight pre-selected websites from different sources on

  16. Oxide film assisted dopant diffusion in silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Tin, Chin-Che, E-mail: cctin@physics.auburn.ed [Department of Physics, Auburn University, Alabama 36849 (United States); Mendis, Suwan [Department of Physics, Auburn University, Alabama 36849 (United States); Chew, Kerlit [Department of Electrical and Electronic Engineering, Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Kuala Lumpur (Malaysia); Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin [Physical Technical Institute, Uzbek Academy of Sciences, 700084 Tashkent (Uzbekistan); Atabaev, Bakhtiyar [Institute of Electronics, Uzbek Academy of Sciences, 700125 Tashkent (Uzbekistan); Adedeji, Victor [Department of Chemistry, Geology and Physics, Elizabeth City State University, North Carolina 27909 (United States); Rusli [School of Electrical and Electronic Engineering, Nanyang Technological University (Singapore)

    2010-10-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  17. Oxide film assisted dopant diffusion in silicon carbide

    International Nuclear Information System (INIS)

    Tin, Chin-Che; Mendis, Suwan; Chew, Kerlit; Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin; Atabaev, Bakhtiyar; Adedeji, Victor; Rusli

    2010-01-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  18. Definition of Quality Criteria of the Technological Process of Narrow Web UV-Printing

    OpenAIRE

    Volodymyr Shybanov; Vsevolod Senkivsky; Vyacheslav Repeta; Natalia Gurgal

    2013-01-01

    The application of Narrow Web UV-flexographic printing has several advantages compared with offset printing. In particular, they are the lack of the operation of water-ink balance setting in the technological process, the ability to print on a wide range of materials and so on. Though the imprint quality is clearly based on standards in offset printing, there are no clearly indicated requirements for Narrow Web UV flexographic printing. The absence of such requirements on quality parameters o...

  19. Methods To Determine the Silicone Oil Layer Thickness in Sprayed-On Siliconized Syringes.

    Science.gov (United States)

    Loosli, Viviane; Germershaus, Oliver; Steinberg, Henrik; Dreher, Sascha; Grauschopf, Ulla; Funke, Stefanie

    2018-01-01

    The silicone lubricant layer in prefilled syringes has been investigated with regards to siliconization process performance, prefilled syringe functionality, and drug product attributes, such as subvisible particle levels, in several studies in the past. However, adequate methods to characterize the silicone oil layer thickness and distribution are limited, and systematic evaluation is missing. In this study, white light interferometry was evaluated to close this gap in method understanding. White light interferometry demonstrated a good accuracy of 93-99% for MgF 2 coated, curved standards covering a thickness range of 115-473 nm. Thickness measurements for sprayed-on siliconized prefilled syringes with different representative silicone oil distribution patterns (homogeneous, pronounced siliconization at flange or needle side, respectively) showed high instrument (0.5%) and analyst precision (4.1%). Different white light interferometry instrument parameters (autofocus, protective shield, syringe barrel dimensions input, type of non-siliconized syringe used as base reference) had no significant impact on the measured average layer thickness. The obtained values from white light interferometry applying a fully developed method (12 radial lines, 50 mm measurement distance, 50 measurements points) were in agreement with orthogonal results from combined white and laser interferometry and 3D-laser scanning microscopy. The investigated syringe batches (lot A and B) exhibited comparable longitudinal silicone oil layer thicknesses ranging from 170-190 nm to 90-100 nm from flange to tip and homogeneously distributed silicone layers over the syringe barrel circumference (110- 135 nm). Empty break-loose (4-4.5 N) and gliding forces (2-2.5 N) were comparably low for both analyzed syringe lots. A silicone oil layer thickness of 100-200 nm was thus sufficient for adequate functionality in this particular study. Filling the syringe with a surrogate solution including short

  20. Flat-plate solar array project. Volume 2: Silicon material

    Science.gov (United States)

    Lutwack, R.

    1986-10-01

    The goal of the Silicon Material Task, a part of the Flat Plate Solar Array (FSA) Project, was to develop and demonstate the technology for the low cost production of silicon of suitable purity to be used as the basic material for the manufacture of terrestrial photovoltaic solar cells. Summarized are 11 different processes for the production of silicon that were investigated and developed to varying extent by industrial, university, and Government researchers. The silane production section of the Union Carbide Corp. (UCC) silane process was developed completely in this program. Coupled with Siemens-type chemical vapor deposition reactors, the process was carried through the pilot stage. The overall UCC process involves the conversion of metallurgical-grade silicon to silane followed by decomposition of the silane to purified silicon. The other process developments are described to varying extents. Studies are reported on the effects of impurities in silicon on both silicon-material properties and on solar cell performance. These studies on the effects of impurities yielded extensive information and models for relating specific elemental concentrations to levels of deleterious effects.

  1. Flat-plate solar array project. Volume 2: Silicon material

    Science.gov (United States)

    Lutwack, R.

    1986-01-01

    The goal of the Silicon Material Task, a part of the Flat Plate Solar Array (FSA) Project, was to develop and demonstate the technology for the low cost production of silicon of suitable purity to be used as the basic material for the manufacture of terrestrial photovoltaic solar cells. Summarized are 11 different processes for the production of silicon that were investigated and developed to varying extent by industrial, university, and Government researchers. The silane production section of the Union Carbide Corp. (UCC) silane process was developed completely in this program. Coupled with Siemens-type chemical vapor deposition reactors, the process was carried through the pilot stage. The overall UCC process involves the conversion of metallurgical-grade silicon to silane followed by decomposition of the silane to purified silicon. The other process developments are described to varying extents. Studies are reported on the effects of impurities in silicon on both silicon-material properties and on solar cell performance. These studies on the effects of impurities yielded extensive information and models for relating specific elemental concentrations to levels of deleterious effects.

  2. Understanding User-Web Interactions via Web Analytics

    CERN Document Server

    Jansen, Bernard J

    2009-01-01

    This lecture presents an overview of the Web analytics process, with a focus on providing insight and actionable outcomes from collecting and analyzing Internet data. The lecture first provides an overview of Web analytics, providing in essence, a condensed version of the entire lecture. The lecture then outlines the theoretical and methodological foundations of Web analytics in order to make obvious the strengths and shortcomings of Web analytics as an approach. These foundational elements include the psychological basis in behaviorism and methodological underpinning of trace data as an empir

  3. Preservative loss from silicone tubing during filling processes.

    Science.gov (United States)

    Saller, Verena; Matilainen, Julia; Rothkopf, Christian; Serafin, Daniel; Bechtold-Peters, Karoline; Mahler, Hanns-Christian; Friess, Wolfgang

    2017-03-01

    Significant loss of preservative was observed during filling of drug products during filling line stops. This study evaluated the losses of three commonly used preservatives in protein drugs, i.e. benzyl alcohol, phenol, and m-cresol. Concentration losses during static incubation were quantified and interpreted with regard to the potential driving forces for the underlying sorption, diffusion, and desorption steps. Partitioning from the solution into the silicone polymer was identified as the most decisive parameter for the extent of preservative loss. Additionally, the influence of tubing inner diameter, starting concentration as well as silicone tubing type was evaluated. Theoretical calculations assuming equilibrium between solution and tubing inner surface and one-directional diffusion following Fick's first law were used to approximate experimental data. Since significant losses were found already after few minutes, adequate measures must be taken to avoid deviations during filling of preservative-containing protein solutions that may impact product quality or antimicrobial efficacy. As a possible alternative to the highly permeable silicone tubing, a specific make of fluoropolymer tubing was identified being suitable for peristaltic pumps and not showing any preservative losses. Copyright © 2016 Elsevier B.V. All rights reserved.

  4. Integrated porous-silicon light-emitting diodes: A fabrication process using graded doping profiles

    International Nuclear Information System (INIS)

    Barillaro, G.; Diligenti, A.; Pieri, F.; Fuso, F.; Allegrini, M.

    2001-01-01

    A fabrication process, compatible with an industrial bipolar+complementary metal - oxide - semiconductor (MOS)+diffusion MOS technology, has been developed for the fabrication of efficient porous-silicon-based light-emitting diodes. The electrical contact is fabricated with a double n + /p doping, achieving a high current injection efficiency and thus lower biasing voltages. The anodization is performed as the last step of the process, thus reducing potential incompatibilities with industrial processes. The fabricated devices show yellow-orange electroluminescence, visible with the naked eye in room lighting. A spectral characterization of light emission is presented and briefly discussed. [copyright] 2001 American Institute of Physics

  5. Applying semantic web services to enterprise web

    OpenAIRE

    Hu, Y; Yang, Q P; Sun, X; Wei, P

    2008-01-01

    Enterprise Web provides a convenient, extendable, integrated platform for information sharing and knowledge management. However, it still has many drawbacks due to complexity and increasing information glut, as well as the heterogeneity of the information processed. Research in the field of Semantic Web Services has shown the possibility of adding higher level of semantic functionality onto the top of current Enterprise Web, enhancing usability and usefulness of resource, enabling decision su...

  6. Fabrication and Characterisation of Silicon Waveguides for High-Speed Optical Signal Processing

    DEFF Research Database (Denmark)

    Jensen, Asger Sellerup

    This Ph.D. thesis treats various aspects of silicon photonics. From the limitations of silicon as a linear and nonlinear waveguide medium to its synergy with other waveguide materials. Various methods for reducing sidewall roughness and line edge roughness of silicon waveguides are attempted...... was too high for any practical applications. It is speculated that the attempt at creating a material with low density of dangling bonds was unsuccessful. Nevertheless, linear losses of 2.4dB/cm at 1550nm wavelength in the silicon waveguides remained sufficiently low that high speed nonlinear optical...

  7. 10th Workshop on Crystalline Silicon Solar Cell Materials and Processes: Extended Abstracts and Papers from the Workshop, Copper Mountain Resort; August 14-16, 2000

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B.L.; Gee, J.; Kalejs, J.; Saitoh, R.; Stavola, M.; Swanson, D.; Tan, T.; Weber, E.; Werner, J.

    2000-08-11

    The 10th Workshop provided a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and non-photovoltaic fields. Discussions included the various aspects of impurities and defects in silicon-their properties, the dynamics during device processing, and their application for developing low-cost processes for manufacturing high-efficiency silicon solar cells. Sessions and panel discussions also reviewed thin-film crystalline-silicon PV, advanced cell structures, new processes and process characterization techniques, and future manufacturing requirements to meet the ambitious expansion goals described in the recently released US PV Industry Roadmap. The Workshop also provided an excellent opportunity for researchers in private industry and at universities to recognize a mutual need for future collaborative research. The three-day workshop consisted of presentations by invited speakers, followed by discussion sessions. In addition, there was two poster sessions presenting the latest research and development results. The subjects discussed included: solar cell processing, light-induced degradation, gettering and passivation, crystalline silicon growth, thin-film silicon solar cells, and impurities and defects. Two special sessions featured at this workshop: advanced metallization and interconnections, and characterization methods.

  8. Silicon Processors Using Organically Reconfigurable Techniques (SPORT)

    Science.gov (United States)

    2014-05-19

    AFRL-OSR-VA-TR-2014-0132 SILICON PROCESSORS USING ORGANICALLY RECONFIGURABLE TECHNIQUES ( SPORT ) Dennis Prather UNIVERSITY OF DELAWARE Final Report 05...5a. CONTRACT NUMBER Silicon Processes for Organically Reconfigurable Techniques ( SPORT ) 5b. GRANT NUMBER FA9550-10-1-0363 5c...Contract: Silicon Processes for Organically Reconfigurable Techniques ( SPORT ) Contract #: FA9550-10-1-0363 Reporting Period: 1 July 2010 – 31 December

  9. Process evaluation of Project WebHealth: a nondieting Web-based intervention for obesity prevention in college students.

    Science.gov (United States)

    Dour, Colleen A; Horacek, Tanya M; Schembre, Susan M; Lohse, Barbara; Hoerr, Sharon; Kattelmann, Kendra; White, Adrienne A; Shoff, Suzanne; Phillips, Beatrice; Greene, Geoffrey

    2013-01-01

    To evaluate the motivational effect of the Project WebHealth study procedures and intervention components on weight-related health behavior changes in male and female college students. Process evaluation. Eight universities in the United States. Project WebHealth participants (n = 653; 29% men). Participants rated motivational effects of study procedures and intervention components. Participants were grouped into outcome-based health behavior categories based on achievement of desired targets for fruit and vegetable intake, physical activity, and/or body weight. Differences in motivation from each procedure and component were analyzed by gender- and outcome-based health behavior category. Women were generally more motivated than men. Compared to those who did not meet any target health behaviors, men with improved health outcomes (68%) were significantly more motivated by the skills to fuel the body lesson, goal setting, and research snippets. Their female counterparts (63%) were significantly more motivated by the lessons on body size and eating enjoyment, and by the suggested weekly activities. Specific study procedures and components of Project WebHealth motivated study participants to improve their weight-related health behaviors, and they differed by gender. Findings support the need for gender-tailored interventions in this population. Copyright © 2013 Society for Nutrition Education and Behavior. Published by Elsevier Inc. All rights reserved.

  10. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    International Nuclear Information System (INIS)

    Cunning, Benjamin V; Ahmed, Mohsin; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca; Wood, Barry

    2014-01-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices. (paper)

  11. Characterization of oxygen dimer-enriched silicon detectors

    CERN Document Server

    Boisvert, V; Moll, M; Murin, L I; Pintilie, I

    2005-01-01

    Various types of silicon material and silicon p+n diodes have been treated to increase the concentration of the oxygen dimer (O2i) defect. This was done by exposing the bulk material and the diodes to 6 MeV electrons at a temperature of about 350 °C. FTIR spectroscopy has been performed on the processed material confirming the formation of oxygen dimer defects in Czochralski silicon pieces. We also show results from TSC characterization on processed diodes. Finally, we investigated the influence of the dimer enrichment process on the depletion voltage of silicon diodes and performed 24 GeV/c proton irradiations to study the evolution of the macroscopic diode characteristics as a function of fluence.

  12. Open Source Web Based Geospatial Processing with OMAR

    Directory of Open Access Journals (Sweden)

    Mark Lucas

    2009-01-01

    Full Text Available The availability of geospatial data sets is exploding. New satellites, aerial platforms, video feeds, global positioning system tagged digital photos, and traditional GIS information are dramatically increasing across the globe. These raw materials need to be dynamically processed, combined and correlated to generate value added information products to answer a wide range of questions. This article provides an overview of OMAR web based geospatial processing. OMAR is part of the Open Source Software Image Map project under the Open Source Geospatial Foundation. The primary contributors of OSSIM make their livings by providing professional services to US Government agencies and programs. OMAR provides one example that open source software solutions are increasingly being deployed in US government agencies. We will also summarize the capabilities of OMAR and its plans for near term development.

  13. Electron beam silicon purification

    Energy Technology Data Exchange (ETDEWEB)

    Kravtsov, Anatoly [SIA ' ' KEPP EU' ' , Riga (Latvia); Kravtsov, Alexey [' ' KEPP-service' ' Ltd., Moscow (Russian Federation)

    2014-11-15

    Purification of heavily doped electronic grade silicon by evaporation of N-type impurities with electron beam heating was investigated in process with a batch weight up to 50 kilos. Effective temperature of the melt, an indicative parameter suitable for purification process characterization was calculated and appeared to be stable for different load weight processes. Purified material was successfully approbated in standard CZ processes of three different companies. Each company used its standard process and obtained CZ monocrystals applicable for photovoltaic application. These facts enable process to be successfully scaled up to commercial volumes (150-300 kg) and yield solar grade silicon. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Engineering Web Applications

    DEFF Research Database (Denmark)

    Casteleyn, Sven; Daniel, Florian; Dolog, Peter

    Nowadays, Web applications are almost omnipresent. The Web has become a platform not only for information delivery, but also for eCommerce systems, social networks, mobile services, and distributed learning environments. Engineering Web applications involves many intrinsic challenges due...... to their distributed nature, content orientation, and the requirement to make them available to a wide spectrum of users who are unknown in advance. The authors discuss these challenges in the context of well-established engineering processes, covering the whole product lifecycle from requirements engineering through...... design and implementation to deployment and maintenance. They stress the importance of models in Web application development, and they compare well-known Web-specific development processes like WebML, WSDM and OOHDM to traditional software development approaches like the waterfall model and the spiral...

  15. Neuromorphic photonic networks using silicon photonic weight banks.

    Science.gov (United States)

    Tait, Alexander N; de Lima, Thomas Ferreira; Zhou, Ellen; Wu, Allie X; Nahmias, Mitchell A; Shastri, Bhavin J; Prucnal, Paul R

    2017-08-07

    Photonic systems for high-performance information processing have attracted renewed interest. Neuromorphic silicon photonics has the potential to integrate processing functions that vastly exceed the capabilities of electronics. We report first observations of a recurrent silicon photonic neural network, in which connections are configured by microring weight banks. A mathematical isomorphism between the silicon photonic circuit and a continuous neural network model is demonstrated through dynamical bifurcation analysis. Exploiting this isomorphism, a simulated 24-node silicon photonic neural network is programmed using "neural compiler" to solve a differential system emulation task. A 294-fold acceleration against a conventional benchmark is predicted. We also propose and derive power consumption analysis for modulator-class neurons that, as opposed to laser-class neurons, are compatible with silicon photonic platforms. At increased scale, Neuromorphic silicon photonics could access new regimes of ultrafast information processing for radio, control, and scientific computing.

  16. A Mechanochemical Approach to Porous Silicon Nanoparticles Fabrication

    Directory of Open Access Journals (Sweden)

    Luca De Stefano

    2011-06-01

    Full Text Available Porous silicon samples have been reduced in nanometric particles by a well known industrial mechanical process, the ball grinding in a planetary mill; the process has been extended to crystalline silicon for comparison purposes. The silicon nanoparticles have been studied by X-ray diffraction, infrared spectroscopy, gas porosimetry and transmission electron microscopy. We have estimated crystallites size from about 50 nm for silicon to 12 nm for porous silicon. The specific surface area of the powders analyzed ranges between 100 m2/g to 29 m2/g depending on the milling time, ranging from 1 to 20 h. Electron microscopy confirms the nanometric size of the particles and reveals a porous structure in the powders obtained by porous silicon samples which has been preserved by the fabrication conditions. Chemical functionalization during the milling process by a siloxane compound has also been demonstrated.

  17. Sharing environmental models: An Approach using GitHub repositories and Web Processing Services

    Science.gov (United States)

    Stasch, Christoph; Nuest, Daniel; Pross, Benjamin

    2016-04-01

    The GLUES (Global Assessment of Land Use Dynamics, Greenhouse Gas Emissions and Ecosystem Services) project established a spatial data infrastructure for scientific geospatial data and metadata (http://geoportal-glues.ufz.de), where different regional collaborative projects researching the impacts of climate and socio-economic changes on sustainable land management can share their underlying base scenarios and datasets. One goal of the project is to ease the sharing of computational models between institutions and to make them easily executable in Web-based infrastructures. In this work, we present such an approach for sharing computational models relying on GitHub repositories (http://github.com) and Web Processing Services. At first, model providers upload their model implementations to GitHub repositories in order to share them with others. The GitHub platform allows users to submit changes to the model code. The changes can be discussed and reviewed before merging them. However, while GitHub allows sharing and collaborating of model source code, it does not actually allow running these models, which requires efforts to transfer the implementation to a model execution framework. We thus have extended an existing implementation of the OGC Web Processing Service standard (http://www.opengeospatial.org/standards/wps), the 52°North Web Processing Service (http://52north.org/wps) platform to retrieve all model implementations from a git (http://git-scm.com) repository and add them to the collection of published geoprocesses. The current implementation is restricted to models implemented as R scripts using WPS4R annotations (Hinz et al.) and to Java algorithms using the 52°North WPS Java API. The models hence become executable through a standardized Web API by multiple clients such as desktop or browser GIS and modelling frameworks. If the model code is changed on the GitHub platform, the changes are retrieved by the service and the processes will be updated

  18. Method of fabricating porous silicon carbide (SiC)

    Science.gov (United States)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1995-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  19. Application of hydrogen-plasma technology for property modification of silicon and producing the silicon-based structures

    International Nuclear Information System (INIS)

    Fedotov, A.K.; Mazanik, A.V.; Ul'yashin, A.G.; Dzhob, R; Farner, V.R.

    2000-01-01

    Effects of atomic hydrogen on the properties of Czochralski-grown single crystal silicon as well as polycrystalline shaped silicon have been investigated. It was established that the buried defect layers created by high-energy hydrogen or helium ion implantation act as a good getter centers for hydrogen atoms introduced in silicon in the process of hydrogen plasma hydrogenation. Atomic hydrogen was shown to be active as a catalyzer significantly enhancing the rate of thermal donors formation in p-type single crystal silicon. This effect can be used for n-p- and p-n-p-silicon based device structures producing [ru

  20. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  1. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  2. Superacid Passivation of Crystalline Silicon Surfaces.

    Science.gov (United States)

    Bullock, James; Kiriya, Daisuke; Grant, Nicholas; Azcatl, Angelica; Hettick, Mark; Kho, Teng; Phang, Pheng; Sio, Hang C; Yan, Di; Macdonald, Daniel; Quevedo-Lopez, Manuel A; Wallace, Robert M; Cuevas, Andres; Javey, Ali

    2016-09-14

    The reduction of parasitic recombination processes commonly occurring within the silicon crystal and at its surfaces is of primary importance in crystalline silicon devices, particularly in photovoltaics. Here we explore a simple, room temperature treatment, involving a nonaqueous solution of the superacid bis(trifluoromethane)sulfonimide, to temporarily deactivate recombination centers at the surface. We show that this treatment leads to a significant enhancement in optoelectronic properties of the silicon wafer, attaining a level of surface passivation in line with state-of-the-art dielectric passivation films. Finally, we demonstrate its advantage as a bulk lifetime and process cleanliness monitor, establishing its compatibility with large area photoluminescence imaging in the process.

  3. On-chip photonic microsystem for optical signal processing based on silicon and silicon nitride platforms

    Science.gov (United States)

    Li, Yu; Li, Jiachen; Yu, Hongchen; Yu, Hai; Chen, Hongwei; Yang, Sigang; Chen, Minghua

    2018-04-01

    The explosive growth of data centers, cloud computing and various smart devices is limited by the current state of microelectronics, both in terms of speed and heat generation. Benefiting from the large bandwidth, promising low power consumption and passive calculation capability, experts believe that the integrated photonics-based signal processing and transmission technologies can break the bottleneck of microelectronics technology. In recent years, integrated photonics has become increasingly reliable and access to the advanced fabrication process has been offered by various foundries. In this paper, we review our recent works on the integrated optical signal processing system. We study three different kinds of on-chip signal processors and use these devices to build microsystems for the fields of microwave photonics, optical communications and spectrum sensing. The microwave photonics front receiver was demonstrated with a signal processing range of a full-band (L-band to W-band). A fully integrated microwave photonics transceiver without the on-chip laser was realized on silicon photonics covering the signal frequency of up 10 GHz. An all-optical orthogonal frequency division multiplexing (OFDM) de-multiplier was also demonstrated and used for an OFDM communication system with the rate of 64 Gbps. Finally, we show our work on the monolithic integrated spectrometer with a high resolution of about 20 pm at the central wavelength of 1550 nm. These proposed on-chip signal processing systems potential applications in the fields of radar, 5G wireless communication, wearable devices and optical access networks.

  4. Large area sheet task: Advanced dendritic web growth development

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Hopkins, R. H.; Meier, D.; Schruben, J.

    1981-01-01

    The growth of silicon dendritic web for photovoltaic applications was investigated. The application of a thermal model for calculating buckling stresses as a function of temperature profile in the web is discussed. Lid and shield concepts were evaluated to provide the data base for enhancing growth velocity. An experimental web growth machine which embodies in one unit the mechanical and electronic features developed in previous work was developed. In addition, evaluation of a melt level control system was begun, along with preliminary tests of an elongated crucible design. The economic analysis was also updated to incorporate some minor cost changes. The initial applications of the thermal model to a specific configuration gave results consistent with experimental observation in terms of the initiation of buckling vs. width for a given crystal thickness.

  5. Thermal processing of strained silicon-on-insulator for atomically precise silicon device fabrication

    International Nuclear Information System (INIS)

    Lee, W.C.T.; Bishop, N.; Thompson, D.L.; Xue, K.; Scappucci, G.; Cederberg, J.G.; Gray, J.K.; Han, S.M.; Celler, G.K.; Carroll, M.S.; Simmons, M.Y.

    2013-01-01

    Highlights: ► Strained silicon-on-insulator (sSOI) samples were flash-annealed at high temperature under ultra-high vacuum conditions. ► The extend of surface strain relaxation depends on the annealing temperature with no strain relaxation observed below 1020 °C. ► A 2 × 1 reconstructed surface with low defect density can be achieved. ► The annealed sSOI surface shows enhanced step undulations due to the unique energetics caused by surface strain. - Abstract: We investigate the ability to reconstruct strained silicon-on-insulator (sSOI) substrates in ultra-high vacuum for use in atomic scale device fabrication. Characterisation of the starting sSOI substrate using μRaman shows an average tensile strain of 0.8%, with clear strain modulation in a crosshatch pattern across the surface. The surfaces were heated in ultra-high vacuum from temperatures of 900 °C to 1100 °C and subsequently imaged using scanning tunnelling microscopy (STM). The initial strain modulation on the surface is observed to promote silicon migration and the formation of crosshatched surface features whose height and pitch increases with increasing annealing temperature. STM images reveal alternating narrow straight S A steps and triangular wavy S B steps attributed to the spontaneous faceting of S B and preferential adatom attachment on S B under biaxial tensile strain. Raman spectroscopy shows that despite these high temperature anneals no strain relaxation of the substrate is observed up to temperatures of 1020 °C. Above 1100 °C, strain relaxation is evident but is confined to the surface.

  6. CMOS compatible generic batch process towards flexible memory on bulk monocrystalline silicon (100)

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-12-01

    Today\\'s mainstream flexible electronics research is geared towards replacing silicon either totally, by having organic devices on organic substrates, or partially, by transferring inorganic devices onto organic substrates. In this work, we present a pragmatic approach combining the desired flexibility of organic substrates and the ultra-high integration density, inherent in silicon semiconductor industry, to transform bulk/inflexible silicon into an ultra-thin mono-crystalline fabric. We also show the effectiveness of this approach in achieving fully flexible electronic systems. Furthermore, we provide a progress report on fabricating various memory devices on flexible silicon fabric and insights for completely flexible memory modules on silicon fabric.

  7. CMOS compatible generic batch process towards flexible memory on bulk monocrystalline silicon (100)

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Kutbee, Arwa T.; Hanna, Amir; Hussain, Muhammad Mustafa

    2014-01-01

    Today's mainstream flexible electronics research is geared towards replacing silicon either totally, by having organic devices on organic substrates, or partially, by transferring inorganic devices onto organic substrates. In this work, we present a pragmatic approach combining the desired flexibility of organic substrates and the ultra-high integration density, inherent in silicon semiconductor industry, to transform bulk/inflexible silicon into an ultra-thin mono-crystalline fabric. We also show the effectiveness of this approach in achieving fully flexible electronic systems. Furthermore, we provide a progress report on fabricating various memory devices on flexible silicon fabric and insights for completely flexible memory modules on silicon fabric.

  8. Influence of hydrogen effusion from hydrogenated silicon nitride layers on the regeneration of boron-oxygen related defects in crystalline silicon

    International Nuclear Information System (INIS)

    Wilking, S.; Ebert, S.; Herguth, A.; Hahn, G.

    2013-01-01

    The degradation effect boron doped and oxygen-rich crystalline silicon materials suffer from under illumination can be neutralized in hydrogenated silicon by the application of a regeneration process consisting of a combination of slightly elevated temperature and carrier injection. In this paper, the influence of variations in short high temperature steps on the kinetics of the regeneration process is investigated. It is found that hotter and longer firing steps allowing an effective hydrogenation from a hydrogen-rich silicon nitride passivation layer result in an acceleration of the regeneration process. Additionally, a fast cool down from high temperature to around 550 °C seems to be crucial for a fast regeneration process. It is suggested that high cooling rates suppress hydrogen effusion from the silicon bulk in a temperature range where the hydrogenated passivation layer cannot release hydrogen in considerable amounts. Thus, the hydrogen content of the silicon bulk after the complete high temperature step can be increased resulting in a faster regeneration process. Hence, the data presented here back up the theory that the regeneration process might be a hydrogen passivation of boron-oxygen related defects

  9. Graphic Novels, Web Comics, and Creator Blogs: Examining Product and Process

    Science.gov (United States)

    Carter, James Bucky

    2011-01-01

    Young adult literature (YAL) of the late 20th and early 21st century is exploring hybrid forms with growing regularity by embracing textual conventions from sequential art, video games, film, and more. As well, Web-based technologies have given those who consume YAL more immediate access to authors, their metacognitive creative processes, and…

  10. Thin film silicon solar cells: advanced processing and characterization - Final report

    Energy Technology Data Exchange (ETDEWEB)

    Ballif, Ch.

    2008-04-15

    This final report elaborated for the Swiss Federal Office of Energy (SFOE) takes a look at the results of a project carried out at the photovoltaics laboratory at the University of Neuchatel in Switzerland. The project aimed to demonstrate the production of high-efficiency thin-film silicon devices on flexible substrates using low cost processes. New ways of improving processing and characterisation are examined. The process and manufacturing know-how necessary to provide support for industrial partners within the framework of further projects is discussed. The authors state that the efficiency of most devices was significantly improved, both on glass substrates and on flexible plastic foils. The process reproducibility was also improved and the interactions between the different layers in the device are now said to be better understood. The report presents the results obtained and discusses substrate materials, transparent conductors, defect analyses and new characterisation tools. Finally, the laboratory infrastructure is described.

  11. Numerical and experimental study of a solid pellet feed continuous Czochralski growth process for silicon single crystals

    Science.gov (United States)

    Anselmo, A.; Prasad, V.; Koziol, J.; Gupta, K. P.

    1993-07-01

    A polysilicon pellets (≅1 mm diameter) feed continuous Czochralski (CCZ) growth process for silicon single crystals is proposed and investigated. Experiments in an industrial puller (14-18 inch diameter crucible) successfully demonstrate the feasibility of this process. The advantages of the proposed scheme are: a steady state growth process, a low aspect ratio melt, uniformity of heat addition and a growth apparatus with single crucible and no baffle(s). The addition of dopant with the solid charge will allow a better control of oxygen concentration leading to crystals of uniform properties and better quality. This paper presents theoretical results on melting of fully and partially immersed silicon spheres and numerical solutions on temperature and flow fields in low aspect ration melts with and without the addition of solid pellets. The theoretical and experimental results obtained thus far show a great promise for the proposed scheme.

  12. Barriers and Facilitators in the Maturity Process for Web Promotion of Italian Tourist Destinations

    Directory of Open Access Journals (Sweden)

    Cristina Fabi

    2014-06-01

    Full Text Available Despite the growing importance of Information and Communication Technologies (ICTs in the tourism domain, the opportunities offered by the web to promote tourist destinations seem to be not yet fully exploited by destination marketing organizations. This study seeks to extend the eTourism Communication Maturity Model (eTcoMM, which is based on stage models of online communication in tourism. The model focuses on identifying processes involved in tourism web marketing activities, from awareness by tourism boards to implementation. The study aims to contribute to the definition of maturity in online promotion by Italian tourism boards, identifying and discussing factors that aid and limit the transition, from awareness to implementation of strategic web marketing.

  13. Data management and query processing in semantic web databases

    CERN Document Server

    Groppe, Sven

    2011-01-01

    The Semantic Web, which is intended to establish a machine-understandable Web, is currently changing from being an emerging trend to a technology used in complex real-world applications. A number of standards and techniques have been developed by the World Wide Web Consortium (W3C), e.g., the Resource Description Framework (RDF), which provides a general method for conceptual descriptions for Web resources, and SPARQL, an RDF querying language. Recent examples of large RDF data with billions of facts include the UniProt comprehensive catalog of protein sequence, function and annotation data, t

  14. Hybrid Integrated Platforms for Silicon Photonics

    Science.gov (United States)

    Liang, Di; Roelkens, Gunther; Baets, Roel; Bowers, John E.

    2010-01-01

    A review of recent progress in hybrid integrated platforms for silicon photonics is presented. Integration of III-V semiconductors onto silicon-on-insulator substrates based on two different bonding techniques is compared, one comprising only inorganic materials, the other technique using an organic bonding agent. Issues such as bonding process and mechanism, bonding strength, uniformity, wafer surface requirement, and stress distribution are studied in detail. The application in silicon photonics to realize high-performance active and passive photonic devices on low-cost silicon wafers is discussed. Hybrid integration is believed to be a promising technology in a variety of applications of silicon photonics.

  15. DOE applied to study the effect of process parameters on silicon spacing in lost foam Al-Si-Cu alloy casting

    International Nuclear Information System (INIS)

    Shayganpour, A; Izman, S; Idris, M H; Jafari, H

    2012-01-01

    Lost foam casting as a relatively new manufacturing process is extensively employed to produce sound complicated castings. In this study, an experimental investigation on lost foam casting of an Al-Si-Cu aluminium cast alloy was conducted. The research was aimed in evaluating the effect of different pouring temperatures, slurry viscosities, vibration durations and sand grain sizes on eutectic silicon spacing of thin-wall castings. A stepped-pattern was used in the study and the focus of the investigations was at the thinnest 3 mm section. A full two-level factorial design experimental technique was used to plan the experiments and afterwards identify the significant factors affecting casting silicon spacing. The results showed that pouring temperature and its interaction with vibration time have pronounced effect on eutectic silicon phase size. Increasing pouring temperature coarsened the eutectic silicon spacing while the higher vibration time diminished coarsening effect. Moreover, no significant effects on silicon spacing were found with variation of sand size and slurry viscosity.

  16. Design of web questionnaires : An information-processing perspective for the effect of response categories

    NARCIS (Netherlands)

    Toepoel, V.; Vis, C.M.; Das, J.W.M.; van Soest, A.H.O.

    2009-01-01

    In this article, an information-processing perspective is used to explore the impact of response categories on the answers respondents provide in Web surveys. Response categories have a significant effect on response formulation in questions that are difficult to process, whereas in easier questions

  17. 11th Workshop on Crystalline Silicon Solar Cell Materials and Processes, Extended Abstracts and Papers, 19-22 August 2001, Estes Park, Colorado

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B.

    2001-08-16

    The 11th Workshop will provide a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and non-photovoltaic fields. Discussions will include the various aspects of impurities and defects in silicon--their properties, the dynamics during device processing, and their application for developing low-cost processes for manufacturing high-efficiency silicon solar cells. Sessions and panel discussions will review impurities and defects in crystalline-silicon PV, advanced cell structures, new processes and process characterization techniques, and future manufacturing demands. The workshop will emphasize some of the promising new technologies in Si solar cell fabrication that can lower PV energy costs and meet the throughput demands of the future. The three-day workshop will consist of presentations by invited speakers, followed by discussion sessions. Topics to be discussed are: Si Mechanical properties and Wafer Handling, Advanced Topics in PV Fundamentals, Gettering and Passivation, Impurities and Defects, Advanced Emitters, Crystalline Silicon Growth, and Solar Cell Processing. The workshop will also include presentations by NREL subcontractors who will review the highlights of their research during the current subcontract period. In addition, there will be two poster sessions presenting the latest research and development results. Some presentations will address recent technologies in the microelectronics field that may have a direct bearing on PV.

  18. USING PCU-CAMEL, A WEB-BASED LEARNING ENVIRONMENT, IN EVALUATING TEACHING-LEARNING PROCESS

    Directory of Open Access Journals (Sweden)

    Arlinah Imam Rahardjo

    2008-01-01

    Full Text Available PCU-CAMEL (Petra Christian University-Computer Aided Mechanical Engineering Department Learning Environment has been developed to integrate the use of this web-based learning environment into the traditional, face-to-face setting of class activities. This integrated learning method is designed as an effort to enrich and improve the teaching-learning process at Petra Christian University. A study was conducted to introduce the use of PCU-CAMEL as a tool in evaluating teaching learning process. The study on this method of evaluation was conducted by using a case analysis on the integration of PCU-CAMEL to the traditional face-to-face meetings of LIS (Library Information System class at the Informatics Engineering Department of Petra Christian University. Students’ responses documented in some features of PCU-CAMEL were measured and analyzed to evaluate the effectiveness of this integrated system in developing intrinsic motivation of the LIS students of the first and second semester of 2004/2005 to learn. It is believed that intrinsic motivation can drive students to learn more. From the study conducted, it is concluded that besides its capability in developing intrinsic motivation, PCU-CAMEL as a web-based learning environment, can also serve as an effective tool for both students and instructors to evaluate the teaching-learning process. However, some weaknesses did exist in using this method of evaluating teaching-learning process. The free style and unstructured form of the documentation features of this web-based learning environment can lead to ineffective evaluation results

  19. Efficiency Enhancement of Silicon Solar Cells by Porous Silicon Technology

    Directory of Open Access Journals (Sweden)

    Eugenijus SHATKOVSKIS

    2012-09-01

    Full Text Available Silicon solar cells produced by a usual technology in p-type, crystalline silicon wafer were investigated. The manufactured solar cells were of total thickness 450 mm, the junction depth was of 0.5 mm – 0.7 mm. Porous silicon technologies were adapted to enhance cell efficiency. The production of porous silicon layer was carried out in HF: ethanol = 1 : 2 volume ratio electrolytes, illuminating by 50 W halogen lamps at the time of processing. The etching current was computer-controlled in the limits of (6 ÷ 14 mA/cm2, etching time was set in the interval of (10 ÷ 20 s. The characteristics and performance of the solar cells samples was carried out illuminating by Xenon 5000 K lamp light. Current-voltage characteristic studies have shown that porous silicon structures produced affect the extent of dark and lighting parameters of the samples. Exactly it affects current-voltage characteristic and serial resistance of the cells. It has shown, the formation of porous silicon structure causes an increase in the electric power created of solar cell. Conversion efficiency increases also respectively to the initial efficiency of cell. Increase of solar cell maximum power in 15 or even more percent is found. The highest increase in power have been observed in the spectral range of Dl @ (450 ÷ 850 nm, where ~ 60 % of the A1.5 spectra solar energy is located. It has been demonstrated that porous silicon technology is effective tool to improve the silicon solar cells performance.DOI: http://dx.doi.org/10.5755/j01.ms.18.3.2428

  20. CHARACTERIZATION OF THE ELECTROPHYSICAL PROPERTIES OF SILICON-SILICON DIOXIDE INTERFACE USING PROBE ELECTROMETRY METHODS

    Directory of Open Access Journals (Sweden)

    V. А. Pilipenko

    2017-01-01

    Full Text Available Introduction of submicron design standards into microelectronic industry and a decrease of the gate dielectric thickness raise the importance of the analysis of microinhomogeneities in the silicon-silicon dioxide system. However, there is very little to no information on practical implementation of probe electrometry methods, and particularly scanning Kelvin probe method, in the interoperational control of real semiconductor manufacturing process. The purpose of the study was the development of methods for nondestructive testing of semiconductor wafers based on the determination of electrophysical properties of the silicon-silicon dioxide interface and their spatial distribution over wafer’s surface using non-contact probe electrometry methods.Traditional C-V curve analysis and scanning Kelvin probe method were used to characterize silicon- silicon dioxide interface. The samples under testing were silicon wafers of KEF 4.5 and KDB 12 type (orientation <100>, diameter 100 mm.Probe electrometry results revealed uniform spatial distribution of wafer’s surface potential after its preliminary rapid thermal treatment. Silicon-silicon dioxide electric potential values were also higher after treatment than before it. This potential growth correlates with the drop in interface charge density. At the same time local changes in surface potential indicate changes in surface layer structure.Probe electrometry results qualitatively reflect changes of interface charge density in silicon-silicon dioxide structure during its technological treatment. Inhomogeneities of surface potential distribution reflect inhomogeneity of damaged layer thickness and can be used as a means for localization of interface treatment defects.

  1. Bias-assisted KOH etching of macroporous silicon membranes

    International Nuclear Information System (INIS)

    Mathwig, K; Geilhufe, M; Müller, F; Gösele, U

    2011-01-01

    This paper presents an improved technique to fabricate porous membranes from macroporous silicon as a starting material. A crucial step in the fabrication process is the dissolution of silicon from the backside of the porous wafer by aqueous potassium hydroxide to open up the pores. We improved this step by biasing the silicon wafer electrically against the KOH. By monitoring the current–time characteristics a good control of the process is achieved and the yield is improved. Also, the etching can be stopped instantaneously and automatically by short-circuiting Si and KOH. Moreover, the bias-assisted etching allows for the controlled fabrication of silicon dioxide tube arrays when the silicon pore walls are oxidized and inverted pores are released.

  2. Towards Ordered Silicon Nanostructures through Self-Assembling Mechanisms and Processes

    Directory of Open Access Journals (Sweden)

    R. A. Puglisi

    2015-01-01

    Full Text Available The design and development of innovative architectures for memory storage and energy conversion devices are at the forefront of current research efforts driving us towards a sustainable future. However, issues related to the cost, efficiency, and reliability of current technologies are still severely limiting their overtake of the standard designs. The use of ordered nanostructured silicon is expected to overcome these limitations and push the advancement of the alternative technologies. Specifically, self-assembling of block copolymers has been recognized as a promising and cost-effective approach to organize silicon nanostructures. This work reviews some of the most important findings on block copolymer self-assembling and complements those with the results of new experimental studies. First of all, a quantitative analysis is presented on the ordering and fluctuations expected in the synthesis of silicon nanostructures by using standard synthesis methods like chemical vapour deposition. Then the effects of the several parameters guiding the ordering mechanisms in the block copolymer systems, such as film thickness, molecular weight, annealing conditions, solvent, and substrate topography are discussed. Finally, as a proof of concept, an in-house developed example application to solar cells is presented, based on silicon nanostructures resulting from self-assembling of block copolymers.

  3. Low Cost Solar Array Project. Feasibility of the silane process for producing semiconductor-grade silicon. Final report, October 1975-March 1979

    Energy Technology Data Exchange (ETDEWEB)

    1979-06-01

    The commercial production of low-cost semiconductor-grade silicon is an essential requirement of the JPL/DOE (Department of Energy) Low-Cost Solar Array (LSA) Project. A 1000-metric-ton-per-year commercial facility using the Union Carbide Silane Process will produce molten silicon for an estimated price of $7.56/kg (1975 dollars, private financing), meeting the DOE goal of less than $10/kg. Conclusions and technology status are reported for both contract phases, which had the following objectives: (1) establish the feasibility of Union Carbide's Silane Process for commercial application, and (2) develop an integrated process design for an Experimental Process System Development Unit (EPSDU) and a commercial facility, and estimate the corresponding commercial plant economic performance. To assemble the facility design, the following work was performed: (a) collection of Union Carbide's applicable background technology; (b) design, assembly, and operation of a small integrated silane-producing Process Development Unit (PDU); (c) analysis, testing, and comparison of two high-temperature methods for converting pure silane to silicon metal; and (d) determination of chemical reaction equilibria and kinetics, and vapor-liquid equilibria for chlorosilanes.

  4. An Image Retrieval and Processing Expert System for the World Wide Web

    Science.gov (United States)

    Rodriguez, Ricardo; Rondon, Angelica; Bruno, Maria I.; Vasquez, Ramon

    1998-01-01

    This paper presents a system that is being developed in the Laboratory of Applied Remote Sensing and Image Processing at the University of P.R. at Mayaguez. It describes the components that constitute its architecture. The main elements are: a Data Warehouse, an Image Processing Engine, and an Expert System. Together, they provide a complete solution to researchers from different fields that make use of images in their investigations. Also, since it is available to the World Wide Web, it provides remote access and processing of images.

  5. SELECTION OF ONTOLOGY FOR WEB SERVICE DESCRIPTION LANGUAGE TO ONTOLOGY WEB LANGUAGE CONVERSION

    OpenAIRE

    J. Mannar Mannan; M. Sundarambal; S. Raghul

    2014-01-01

    Semantic web is to extend the current human readable web to encoding some of the semantic of resources in a machine processing form. As a Semantic web component, Semantic Web Services (SWS) uses a mark-up that makes the data into detailed and sophisticated machine readable way. One such language is Ontology Web Language (OWL). Existing conventional web service annotation can be changed to semantic web service by mapping Web Service Description Language (WSDL) with the semantic annotation of O...

  6. Hybrid Integrated Platforms for Silicon Photonics

    Directory of Open Access Journals (Sweden)

    John E. Bowers

    2010-03-01

    Full Text Available A review of recent progress in hybrid integrated platforms for silicon photonics is presented. Integration of III-V semiconductors onto silicon-on-insulator substrates based on two different bonding techniques is compared, one comprising only inorganic materials, the other technique using an organic bonding agent. Issues such as bonding process and mechanism, bonding strength, uniformity, wafer surface requirement, and stress distribution are studied in detail. The application in silicon photonics to realize high-performance active and passive photonic devices on low-cost silicon wafers is discussed. Hybrid integration is believed to be a promising technology in a variety of applications of silicon photonics.

  7. Investigating the Effect of Thermal Annealing Process on the Photovoltaic Performance of the Graphene-Silicon Solar Cell

    Directory of Open Access Journals (Sweden)

    Lifei Yang

    2015-01-01

    Full Text Available Graphene-silicon (Gr-Si Schottky solar cell has attracted much attention recently as promising candidate for low-cost photovoltaic application. For the fabrication of Gr-Si solar cell, the Gr film is usually transferred onto the Si substrate by wet transfer process. However, the impurities induced by this process at the graphene/silicon (Gr/Si interface, such as H2O and O2, degrade the photovoltaic performance of the Gr-Si solar cell. We found that the thermal annealing process can effectively improve the photovoltaic performance of the Gr-Si solar cell by removing these impurities at the Gr/Si interface. More interestingly, the photovoltaic performance of the Gr-Si solar cell can be improved, furthermore, when exposed to air environment after the thermal annealing process. Through investigating the characteristics of the Gr-Si solar cell and the properties of the Gr film (carrier density and sheet resistance, we point out that this phenomenon is caused by the natural doping effect of the Gr film.

  8. Web-ADARE: A Web-Aided Data Repairing System

    KAUST Repository

    Gu, Binbin

    2017-03-08

    Data repairing aims at discovering and correcting erroneous data in databases. In this paper, we develop Web-ADARE, an end-to-end web-aided data repairing system, to provide a feasible way to involve the vast data sources on the Web in data repairing. Our main attention in developing Web-ADARE is paid on the interaction problem between web-aided repairing and rule-based repairing, in order to minimize the Web consultation cost while reaching predefined quality requirements. The same interaction problem also exists in crowd-based methods but this is not yet formally defined and addressed. We first prove in theory that the optimal interaction scheme is not feasible to be achieved, and then propose an algorithm to identify a scheme for efficient interaction by investigating the inconsistencies and the dependencies between values in the repairing process. Extensive experiments on three data collections demonstrate the high repairing precision and recall of Web-ADARE, and the efficiency of the generated interaction scheme over several baseline ones.

  9. Web-ADARE: A Web-Aided Data Repairing System

    KAUST Repository

    Gu, Binbin; Li, Zhixu; Yang, Qiang; Xie, Qing; Liu, An; Liu, Guanfeng; Zheng, Kai; Zhang, Xiangliang

    2017-01-01

    Data repairing aims at discovering and correcting erroneous data in databases. In this paper, we develop Web-ADARE, an end-to-end web-aided data repairing system, to provide a feasible way to involve the vast data sources on the Web in data repairing. Our main attention in developing Web-ADARE is paid on the interaction problem between web-aided repairing and rule-based repairing, in order to minimize the Web consultation cost while reaching predefined quality requirements. The same interaction problem also exists in crowd-based methods but this is not yet formally defined and addressed. We first prove in theory that the optimal interaction scheme is not feasible to be achieved, and then propose an algorithm to identify a scheme for efficient interaction by investigating the inconsistencies and the dependencies between values in the repairing process. Extensive experiments on three data collections demonstrate the high repairing precision and recall of Web-ADARE, and the efficiency of the generated interaction scheme over several baseline ones.

  10. Index Compression and Efficient Query Processing in Large Web Search Engines

    Science.gov (United States)

    Ding, Shuai

    2013-01-01

    The inverted index is the main data structure used by all the major search engines. Search engines build an inverted index on their collection to speed up query processing. As the size of the web grows, the length of the inverted list structures, which can easily grow to hundreds of MBs or even GBs for common terms (roughly linear in the size of…

  11. Influence of silicon on hot-dip aluminizing process and subsequent oxidation for preparing hydrogen/tritium permeation barrier

    Energy Technology Data Exchange (ETDEWEB)

    Han, Shilei; Li, Hualing; Wang, Shumao; Jiang, Lijun; Liu, Xiaopeng [Energy Materials and Technology Research Institute, General Research Institute for Nonferrous Metals, Beijing 100088 (China)

    2010-04-15

    The development of the International Thermonuclear Experimental Reactor (ITER) requires the production of a material capable of acting as a hydrogen/tritium permeation barrier on low activation steel. It is well known that thin alumina layer can reduce the hydrogen permeation rate by several orders of magnitude. A technology is introduced here to form a ductile Fe/Al intermetallic layer on the steel with an alumina over-layer. This technology, consisting of two main steps, hot-dip aluminizing (HDA) and subsequent oxidation behavior, seems to be a promising coating method to fulfill the required goals. According to the experiments that have been done in pure Al, the coatings were inhomogeneous and too thick. Additionally, a large number of cracks and porous band could be observed. In order to solve these problems, the element silicon was added to the aluminum melt with a nominal composition. The influence of silicon on the aluminizing and following oxidation process was investigated. With the addition of silicon into the aluminum melt, the coating became thinner and more homogeneous. The effort of the silicon on the oxidation behavior was observed as well concerning the suppression of porous band and cracks. (author)

  12. Movable MEMS Devices on Flexible Silicon

    KAUST Repository

    Ahmed, Sally

    2013-05-05

    Flexible electronics have gained great attention recently. Applications such as flexible displays, artificial skin and health monitoring devices are a few examples of this technology. Looking closely at the components of these devices, although MEMS actuators and sensors can play critical role to extend the application areas of flexible electronics, fabricating movable MEMS devices on flexible substrates is highly challenging. Therefore, this thesis reports a process for fabricating free standing and movable MEMS devices on flexible silicon substrates; MEMS flexure thermal actuators have been fabricated to illustrate the viability of the process. Flexure thermal actuators consist of two arms: a thin hot arm and a wide cold arm separated by a small air gap; the arms are anchored to the substrate from one end and connected to each other from the other end. The actuator design has been modified by adding etch holes in the anchors to suit the process of releasing a thin layer of silicon from the bulk silicon substrate. Selecting materials that are compatible with the release process was challenging. Moreover, difficulties were faced in the fabrication process development; for example, the structural layer of the devices was partially etched during silicon release although it was protected by aluminum oxide which is not attacked by the releasing gas . Furthermore, the thin arm of the thermal actuator was thinned during the fabrication process but optimizing the patterning and etching steps of the structural layer successfully solved this problem. Simulation was carried out to compare the performance of the original and the modified designs for the thermal actuators and to study stress and temperature distribution across a device. A fabricated thermal actuator with a 250 μm long hot arm and a 225 μm long cold arm separated by a 3 μm gap produced a deflection of 3 μm before silicon release, however, the fabrication process must be optimized to obtain fully functioning

  13. Web Services Implementations at Land Process and Goddard Earth Sciences Distributed Active Archive Centers

    Science.gov (United States)

    Cole, M.; Bambacus, M.; Lynnes, C.; Sauer, B.; Falke, S.; Yang, W.

    2007-12-01

    NASA's vast array of scientific data within its Distributed Active Archive Centers (DAACs) is especially valuable to both traditional research scientists as well as the emerging market of Earth Science Information Partners. For example, the air quality science and management communities are increasingly using satellite derived observations in their analyses and decision making. The Air Quality Cluster in the Federation of Earth Science Information Partners (ESIP) uses web infrastructures of interoperability, or Service Oriented Architecture (SOA), to extend data exploration, use, and analysis and provides a user environment for DAAC products. In an effort to continually offer these NASA data to the broadest research community audience, and reusing emerging technologies, both NASA's Goddard Earth Science (GES) and Land Process (LP) DAACs have engaged in a web services pilot project. Through these projects both GES and LP have exposed data through the Open Geospatial Consortiums (OGC) Web Services standards. Reusing several different existing applications and implementation techniques, GES and LP successfully exposed a variety data, through distributed systems to be ingested into multiple end-user systems. The results of this project will enable researchers world wide to access some of NASA's GES & LP DAAC data through OGC protocols. This functionality encourages inter-disciplinary research while increasing data use through advanced technologies. This paper will concentrate on the implementation and use of OGC Web Services, specifically Web Map and Web Coverage Services (WMS, WCS) at GES and LP DAACs, and the value of these services within scientific applications, including integration with the DataFed air quality web infrastructure and in the development of data analysis web applications.

  14. Silicon nanostructures produced by laser direct etching

    DEFF Research Database (Denmark)

    Müllenborn, Matthias; Dirac, Paul Andreas Holger; Petersen, Jon Wulff

    1995-01-01

    A laser direct-write process has been applied to structure silicon on a nanometer scale. In this process, a silicon substrate, placed in a chlorine ambience, is locally heated above its melting point by a continuous-wave laser and translated by high-resolution direct-current motor stages. Only...

  15. WebGL and web audio software lightweight components for multimedia education

    Science.gov (United States)

    Chang, Xin; Yuksel, Kivanc; Skarbek, Władysław

    2017-08-01

    The paper presents the results of our recent work on development of contemporary computing platform DC2 for multimedia education usingWebGL andWeb Audio { the W3C standards. Using literate programming paradigm the WEBSA educational tools were developed. It offers for a user (student), the access to expandable collection of WEBGL Shaders and web Audio scripts. The unique feature of DC2 is the option of literate programming, offered for both, the author and the reader in order to improve interactivity to lightweightWebGL andWeb Audio components. For instance users can define: source audio nodes including synthetic sources, destination audio nodes, and nodes for audio processing such as: sound wave shaping, spectral band filtering, convolution based modification, etc. In case of WebGL beside of classic graphics effects based on mesh and fractal definitions, the novel image processing analysis by shaders is offered like nonlinear filtering, histogram of gradients, and Bayesian classifiers.

  16. The influence of processing conditions on the microstructure and the mechanical properties of reaction sintered silicon nitride

    International Nuclear Information System (INIS)

    Heinrich, J.

    1979-09-01

    The microstructure of reaction sintered silicon nitride (RBSN) was changed in a wide range of varying green density, grain size of the silicon starting powder, nitriding conditions, and by introducing artificial pores. The influence of single microstructural parameters on mechanical properties like room temperature strength, creep behaviour, and resistance to thermal shock has been investigated. The essential factors influencing these properties were found to be total porosity, pore size distribution, and the fractions of α- and β-Si 3 N 4 . In view of high temperature engineering applications of RBSN possibilities to optimize the material's properties by controlled processing are discussed. (orig.) [de

  17. Porous silicon technology for integrated microsystems

    Science.gov (United States)

    Wallner, Jin Zheng

    With the development of micro systems, there is an increasing demand for integrable porous materials. In addition to those conventional applications, such as filtration, wicking, and insulating, many new micro devices, including micro reactors, sensors, actuators, and optical components, can benefit from porous materials. Conventional porous materials, such as ceramics and polymers, however, cannot meet the challenges posed by micro systems, due to their incompatibility with standard micro-fabrication processes. In an effort to produce porous materials that can be used in micro systems, porous silicon (PS) generated by anodization of single crystalline silicon has been investigated. In this work, the PS formation process has been extensively studied and characterized as a function of substrate type, crystal orientation, doping concentration, current density and surfactant concentration and type. Anodization conditions have been optimized for producing very thick porous silicon layers with uniform pore size, and for obtaining ideal pore morphologies. Three different types of porous silicon materials: meso porous silicon, macro porous silicon with straight pores, and macro porous silicon with tortuous pores, have been successfully produced. Regular pore arrays with controllable pore size in the range of 2mum to 6mum have been demonstrated as well. Localized PS formation has been achieved by using oxide/nitride/polysilicon stack as masking materials, which can withstand anodization in hydrofluoric acid up to twenty hours. A special etching cell with electrolytic liquid backside contact along with two process flows has been developed to enable the fabrication of thick macro porous silicon membranes with though wafer pores. For device assembly, Si-Au and In-Au bonding technologies have been developed. Very low bonding temperature (˜200°C) and thick/soft bonding layers (˜6mum) have been achieved by In-Au bonding technology, which is able to compensate the potentially

  18. Dephosphorization of Levitated Silicon-Iron Droplets for Production of Solar-Grade Silicon

    Science.gov (United States)

    Le, Katherine; Yang, Yindong; Barati, Mansoor; McLean, Alexander

    2018-05-01

    The treatment of relatively inexpensive silicon-iron alloys is a potential refining route in order to generate solar-grade silicon. Phosphorus is one of the more difficult impurity elements to remove by conventional processing. In this study, electromagnetic levitation was used to investigate phosphorus behavior in silicon-iron alloy droplets exposed to H2-Ar gas mixtures under various experimental conditions including, refining time, temperature (1723 K to 1993 K), gas flow rate, iron content, and initial phosphorus concentration in the alloy. Thermodynamic modeling of the dephosphorization reaction permitted prediction of the various gaseous products and indicated that diatomic phosphorus is the dominant species formed.

  19. Amorphous silicon crystalline silicon heterojunction solar cells

    CERN Document Server

    Fahrner, Wolfgang Rainer

    2013-01-01

    Amorphous Silicon/Crystalline Silicon Solar Cells deals with some typical properties of heterojunction solar cells, such as their history, the properties and the challenges of the cells, some important measurement tools, some simulation programs and a brief survey of the state of the art, aiming to provide an initial framework in this field and serve as a ready reference for all those interested in the subject. This book helps to "fill in the blanks" on heterojunction solar cells. Readers will receive a comprehensive overview of the principles, structures, processing techniques and the current developmental states of the devices. Prof. Dr. Wolfgang R. Fahrner is a professor at the University of Hagen, Germany and Nanchang University, China.

  20. 15th Workshop on Crystalline Silicon Solar Cells and Modules: Materials and Processes; Extended Abstracts and Papers

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.

    2005-11-01

    The National Center for Photovoltaics sponsored the 15th Workshop on Crystalline Silicon Solar Cells & Modules: Materials and Processes, held in Vail, CO, August 7-10, 2005. This meeting provided a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. The workshop addressed the fundamental properties of PV silicon, new solar cell designs, and advanced solar cell processing techniques. A combination of oral presentations by invited speakers, poster sessions, and discussion sessions reviewed recent advances in crystal growth, new cell designs, new processes and process characterization techniques, and cell fabrication approaches suitable for future manufacturing demands. The theme of this year's meeting was 'Providing the Scientific Basis for Industrial Success.' Specific sessions during the workshop included: Advances in crystal growth and material issues; Impurities and defects in Si; Advanced processing; High-efficiency Si solar cells; Thin Si solar cells; and Cell design for efficiency and reliability module operation. The topic for the Rump Session was ''Si Feedstock: The Show Stopper'' and featured a panel discussion by representatives from various PV companies.

  1. Micro-Raman spectroscopy as a tool for the characterization of silicon carbide in power semiconductor material processing

    Science.gov (United States)

    De Biasio, M.; Kraft, M.; Schultz, M.; Goller, B.; Sternig, D.; Esteve, R.; Roesner, M.

    2017-05-01

    Silicon carbide (SiC) is a wide band-gap semi-conductor material that is used increasingly for high voltage power devices, since it has a higher breakdown field strength and better thermal conductivity than silicon. However, in particular its hardness makes wafer processing difficult and many standard semi-conductor processes have to be specially adapted. We measure the effects of (i) mechanical processing (i.e. grinding of the backside) and (ii) chemical and thermal processing (i.e. doping and annealing), using confocal microscopy to measure the surface roughness of ground wafers and micro-Raman spectroscopy to measure the stresses induced in the wafers by grinding. 4H-SiC wafers with different dopings were studied before and after annealing, using depth-resolved micro-Raman spectroscopy to observe how doping and annealing affect: i.) the damage and stresses induced on the crystalline structure of the samples and ii.) the concentration of free electrical carriers. Our results show that mechanical, chemical and thermal processing techniques have effects on this semiconductor material that can be observed and characterized using confocal microscopy and high resolution micro Raman spectroscopy.

  2. High-density oxidized porous silicon

    International Nuclear Information System (INIS)

    Gharbi, Ahmed; Souifi, Abdelkader; Remaki, Boudjemaa; Halimaoui, Aomar; Bensahel, Daniel

    2012-01-01

    We have studied oxidized porous silicon (OPS) properties using Fourier transform infraRed (FTIR) spectroscopy and capacitance–voltage C–V measurements. We report the first experimental determination of the optimum porosity allowing the elaboration of high-density OPS insulators. This is an important contribution to the research of thick integrated electrical insulators on porous silicon based on an optimized process ensuring dielectric quality (complete oxidation) and mechanical and chemical reliability (no residual pores or silicon crystallites). Through the measurement of the refractive indexes of the porous silicon (PS) layer before and after oxidation, one can determine the structural composition of the OPS material in silicon, air and silica. We have experimentally demonstrated that a porosity approaching 56% of the as-prepared PS layer is required to ensure a complete oxidation of PS without residual silicon crystallites and with minimum porosity. The effective dielectric constant values of OPS materials determined from capacitance–voltage C–V measurements are discussed and compared to FTIR results predictions. (paper)

  3. Using fuzzy analytical hierarchy process (AHP to evaluate web development platform

    Directory of Open Access Journals (Sweden)

    Ahmad Sarfaraz

    2012-01-01

    Full Text Available Web development is plays an important role on business plans and people's lives. One of the key decisions in which both short-term and long-term success of the project depends is choosing the right development platform. Its criticality can be judged by the fact that once a platform is chosen, one has to live with it throughout the software development life cycle. The entire shape of the project depends on the language, operating system, tools, frameworks etc., in short the web development platform chosen. In addition, choosing the right platform is a multi criteria decision making (MCDM problem. We propose a fuzzy analytical hierarchy process model to solve the MCDM problem. We try to tap the real-life modeling potential of fuzzy logic and conjugate it with the commonly used powerful AHP modeling method.

  4. Using a novel spectroscopic reflectometer to optimize a radiation-hardened submicron silicon-on-sapphire CMOS process

    International Nuclear Information System (INIS)

    Do, N.T.; Zawaideh, E.; Vu, T.Q.; Warren, G.; Mead, D.; Do, N.T.; Li, G.P.; Tsai, C.S.

    1999-01-01

    A radiation-hardened sub-micron silicon-on-sapphire CMOS process is monitored and optimized using a novel optical technique based on spectroscopic reflectometry. Quantitative measurements of the crystal quality, surface roughness, and device radiation hardness show excellent correlation between this technique and the Atomic Force Microscopy. (authors)

  5. Evaluating Web Usability

    Science.gov (United States)

    Snider, Jean; Martin, Florence

    2012-01-01

    Web usability focuses on design elements and processes that make web pages easy to use. A website for college students was evaluated for underutilization. One-on-one testing, focus groups, web analytics, peer university review and marketing focus group and demographic data were utilized to conduct usability evaluation. The results indicated that…

  6. Single Side Electrolytic In-Process Dressing (ELID) Grinding with Lapping Kinematics of Silicon Carbide

    Science.gov (United States)

    Khoshaim, Ahmed Bakr

    The demand for Silicon Carbide ceramics (SiC) has increased significantly in the last decade due to its reliable physical and chemical properties. The silicon carbide is widely used for aerospace segments in addition to many uses in the industry. Sometimes, a single side grinding is preferable than conventional grinding, for it has the ability to produce flat ceramics. However, the manufacturing cost is still high because of the high tool wear and long machining time. Part of the solution is to use electrolytic in process dressing (ELID) to reduce the processing time. The study on ELID single side grinding of ceramics has never been attempted before. The study involves four variables with three levels each. One of the variables, which is the eccentricity, is being investigated for the first time on ceramics. A full factorial design, for both the surface roughness and material removal rate, guides to calculate mathematical models that can predict future results. Three grinding wheel mesh sizes are used. An investigation of the influence of different grain size on the results can then be evaluated. The kinematics of the process was studied based on eccentricity in order to optimize the pattern of the diamond grains. The experiment is performed with the assist of the proposed specialized ELID fluid, TRIM C270E.

  7. Fabrication of detectors and transistors on high-resistivity silicon

    International Nuclear Information System (INIS)

    Holland, S.

    1988-06-01

    A new process for the fabrication of silicon p-i-n diode radiation detectors is described. The utilization of backside gettering in the fabrication process results in the actual physical removal of detrimental impurities from critical device regions. This reduces the sensitivity of detector properties to processing variables while yielding low diode reverse-leakage currents. In addition, gettering permits the use of processing temperatures compatible with integrated-circuit fabrication. P-channel MOSFETs and silicon p-i-n diodes have been fabricated simultaneously on 10 kΩ/centerreverse arrowdot/cm silicon using conventional integrated-circuit processing techniques. 25 refs., 5 figs

  8. Composition of web services using Markov decision processes and dynamic programming.

    Science.gov (United States)

    Uc-Cetina, Víctor; Moo-Mena, Francisco; Hernandez-Ucan, Rafael

    2015-01-01

    We propose a Markov decision process model for solving the Web service composition (WSC) problem. Iterative policy evaluation, value iteration, and policy iteration algorithms are used to experimentally validate our approach, with artificial and real data. The experimental results show the reliability of the model and the methods employed, with policy iteration being the best one in terms of the minimum number of iterations needed to estimate an optimal policy, with the highest Quality of Service attributes. Our experimental work shows how the solution of a WSC problem involving a set of 100,000 individual Web services and where a valid composition requiring the selection of 1,000 services from the available set can be computed in the worst case in less than 200 seconds, using an Intel Core i5 computer with 6 GB RAM. Moreover, a real WSC problem involving only 7 individual Web services requires less than 0.08 seconds, using the same computational power. Finally, a comparison with two popular reinforcement learning algorithms, sarsa and Q-learning, shows that these algorithms require one or two orders of magnitude and more time than policy iteration, iterative policy evaluation, and value iteration to handle WSC problems of the same complexity.

  9. Construction process and read-out electronics of amorphous silicon position detectors for multipoint alignment monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Koehler, C.; Schubert, M.B.; Lutz, B.; Werner, J.H. [Steinbeis-Transferzentrum fuer Angewandte Photovoltaik und Duennschichttechnik, Stuttgart (Germany); Alberdi, J.; Arce, P.; Barcala, J.M.; Calvo, E. [CIEMAT, Madrid (Spain); Ferrando, A. [CIEMAT, Madrid (Spain)], E-mail: antonio.ferrando@ciemat.es; Josa, M.I.; Molinero, A.; Navarrete, J.; Oller, J.C.; Yuste, C. [CIEMAT, Madrid (Spain); Calderon, A.; Fernandez, M.G.; Gomez, G.; Gonzalez-Sanchez, F.J.; Martinez-Rivero, C.; Matorras, F. [Instituto de Fisica de Cantabria IFCA/CSIC-University of Cantabria, Santander (Spain)] (and others)

    2009-09-01

    We describe the construction process of large-area high-performance transparent amorphous silicon position detecting sensors. Details about the characteristics of the associated local electronic board (LEB), specially designed for these sensors, are given. In addition we report on the performance of a multipoint alignment monitoring application of 12 sensors in a 13 m long light path.

  10. Material Properties of Laser-Welded Thin Silicon Foils

    Directory of Open Access Journals (Sweden)

    M. T. Hessmann

    2013-01-01

    Full Text Available An extended monocrystalline silicon base foil offers a great opportunity to combine low-cost production with high efficiency silicon solar cells on a large scale. By overcoming the area restriction of ingot-based monocrystalline silicon wafer production, costs could be decreased to thin film solar cell range. The extended monocrystalline silicon base foil consists of several individual thin silicon wafers which are welded together. A comparison of three different approaches to weld 50 μm thin silicon foils is investigated here: (1 laser spot welding with low constant feed speed, (2 laser line welding, and (3 keyhole welding. Cross-sections are prepared and analyzed by electron backscatter diffraction (EBSD to reveal changes in the crystal structure at the welding side after laser irradiation. The treatment leads to the appearance of new grains and boundaries. The induced internal stress, using the three different laser welding processes, was investigated by micro-Raman analysis. We conclude that the keyhole welding process is the most favorable to produce thin silicon foils.

  11. Industrial Silicon Wafer Solar Cells

    OpenAIRE

    Neuhaus, Dirk-Holger; Münzer, Adolf

    2007-01-01

    In 2006, around 86% of all wafer-based silicon solar cells were produced using screen printing to form the silver front and aluminium rear contacts and chemical vapour deposition to grow silicon nitride as the antireflection coating onto the front surface. This paper reviews this dominant solar cell technology looking into state-of-the-art equipment and corresponding processes for each process step. The main efficiency losses of this type of solar cell are analyzed to demonstrate the future e...

  12. WebEase: Development of a Web-Based Epilepsy Self-Management Intervention

    OpenAIRE

    DiIorio, Colleen; Escoffery, Cam; Yeager, Katherine A.; Koganti, Archana; Reisinger, Elizabeth; Koganti, Archana; McCarty, Frances; Henry, Thomas R.; Robinson, Elise; Kobau, Rosemarie; Price, Patricia

    2008-01-01

    People with epilepsy must adopt many self-management behaviors, especially regarding medication adherence, stress management, and sleep quality. In response to the need for theory-based self-management programs that people with epilepsy can easily access, the WebEase Web site was created and tested for feasibility, acceptability, and usability. This article discusses the theoretical background and developmental phases of WebEase and lessons learned throughout the development process. The WebE...

  13. Interaction between rare-earth ions and amorphous silicon nanoclusters produced at low processing temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Meldrum, A. [Department of Physics, University of Alberta, Edmonton, T6G2J1 (Canada)]. E-mail: ameldrum@ualberta.ca; Hryciw, A. [Department of Physics, University of Alberta, Edmonton, T6G2J1 (Canada); MacDonald, A.N. [Department of Physics, University of Alberta, Edmonton, T6G2J1 (Canada); Blois, C. [Department of Physics, University of Alberta, Edmonton, T6G2J1 (Canada); Clement, T. [Department of Electrical and Computer Engineering, University of Alberta, Edmonton, T6G2V4 (Canada); De Corby, R. [Department of Electrical and Computer Engineering, University of Alberta, Edmonton, T6G2V4 (Canada); Wang, J. [Department of Physics, Chinese University of Hong Kong, Shatin, Hong Kong (China); Li Quan [Department of Physics, Chinese University of Hong Kong, Shatin, Hong Kong (China)

    2006-12-15

    Temperatures of 1000 deg. C and higher are a significant problem for the incorporation of erbium-doped silicon nanocrystal devices into standard silicon technology, and make the fabrication of contacts and reflectors in light emitting devices difficult. In the present work, we use energy-filtered TEM imaging techniques to show the formation of size-controlled amorphous silicon nanoclusters in SiO films annealed between 400 and 500 deg. C. The PL properties of such films are characteristic of amorphous silicon, and the spectrum can be controlled via a statistical size effect-as opposed to quantum confinement-that has previously been proposed for porous amorphous silicon. Finally, we show that amorphous nanoclusters sensitize the luminescence from the rare-earth ions Er, Nd, Yb, and Tm with excitation cross-sections similar in magnitude to erbium-doped silicon nanocrystal composites, and with a similar nonresonant energy transfer mechanism.

  14. Silicon Alloying On Aluminium Based Alloy Surface

    International Nuclear Information System (INIS)

    Suryanto

    2002-01-01

    Silicon alloying on surface of aluminium based alloy was carried out using electron beam. This is performed in order to enhance tribological properties of the alloy. Silicon is considered most important alloying element in aluminium alloy, particularly for tribological components. Prior to silicon alloying. aluminium substrate were painted with binder and silicon powder and dried in a furnace. Silicon alloying were carried out in a vacuum chamber. The Silicon alloyed materials were assessed using some techniques. The results show that silicon alloying formed a composite metal-non metal system in which silicon particles are dispersed in the alloyed layer. Silicon content in the alloyed layer is about 40% while in other place is only 10.5 %. The hardness of layer changes significantly. The wear properties of the alloying alloys increase. Silicon surface alloying also reduced the coefficient of friction for sliding against a hardened steel counter face, which could otherwise be higher because of the strong adhesion of aluminium to steel. The hardness of the silicon surface alloyed material dropped when it underwent a heating cycle similar to the ion coating process. Hence, silicon alloying is not a suitable choice for use as an intermediate layer for duplex treatment

  15. "Silicon millefeuille": From a silicon wafer to multiple thin crystalline films in a single step

    Science.gov (United States)

    Hernández, David; Trifonov, Trifon; Garín, Moisés; Alcubilla, Ramon

    2013-04-01

    During the last years, many techniques have been developed to obtain thin crystalline films from commercial silicon ingots. Large market applications are foreseen in the photovoltaic field, where important cost reductions are predicted, and also in advanced microelectronics technologies as three-dimensional integration, system on foil, or silicon interposers [Dross et al., Prog. Photovoltaics 20, 770-784 (2012); R. Brendel, Thin Film Crystalline Silicon Solar Cells (Wiley-VCH, Weinheim, Germany 2003); J. N. Burghartz, Ultra-Thin Chip Technology and Applications (Springer Science + Business Media, NY, USA, 2010)]. Existing methods produce "one at a time" silicon layers, once one thin film is obtained, the complete process is repeated to obtain the next layer. Here, we describe a technology that, from a single crystalline silicon wafer, produces a large number of crystalline films with controlled thickness in a single technological step.

  16. Strained silicon as a new electro-optic material

    DEFF Research Database (Denmark)

    Jacobsen, Rune Shim; Andersen, Karin Nordström; Borel, Peter Ingo

    2006-01-01

    For decades, silicon has been the material of choice for mass fabrication of electronics. This is in contrast to photonics, where passive optical components in silicon have only recently been realized1, 2. The slow progress within silicon optoelectronics, where electronic and optical...... functionalities can be integrated into monolithic components based on the versatile silicon platform, is due to the limited active optical properties of silicon3. Recently, however, a continuous-wave Raman silicon laser was demonstrated4; if an effective modulator could also be realized in silicon, data...... processing and transmission could potentially be performed by all-silicon electronic and optical components. Here we have discovered that a significant linear electro-optic effect is induced in silicon by breaking the crystal symmetry. The symmetry is broken by depositing a straining layer on top...

  17. Making Porous Luminescent Regions In Silicon Wafers

    Science.gov (United States)

    Fathauer, Robert W.; Jones, Eric W.

    1994-01-01

    Regions damaged by ion implantation stain-etched. Porous regions within single-crystal silicon wafers fabricated by straightforward stain-etching process. Regions exhibit visible photoluminescence at room temperature and might constitute basis of novel class of optoelectronic devices. Stain-etching process has advantages over recently investigated anodic-etching process. Process works on both n-doped and p-doped silicon wafers. Related development reported in article, "Porous Si(x)Ge(1-x) Layers Within Single Crystals of Si," (NPO-18836).

  18. Laser wafering for silicon solar

    International Nuclear Information System (INIS)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-01-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W p (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs (∼20%), embodied energy, and green-house gas GHG emissions (∼50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 (micro)m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  19. Laser wafering for silicon solar.

    Energy Technology Data Exchange (ETDEWEB)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-03-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W{sub p} (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs ({approx}20%), embodied energy, and green-house gas GHG emissions ({approx}50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 {micro}m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  20. Seventh workshop on the role of impurities and defects in silicon device processing

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-08-01

    This workshop is the latest in a series which has looked at technological issues related to the commercial development and success of silicon based photovoltaic (PV) modules. PV modules based on silicon are the most common at present, but face pressure from other technologies in terms of cell performance and cell cost. This workshop addresses a problem which is a factor in the production costs of silicon based PV modules.

  1. Processing and characterization of diatom nanoparticles and microparticles as potential source of silicon for bone tissue engineering

    Energy Technology Data Exchange (ETDEWEB)

    Le, Thi Duy Hanh [Department of Industrial Engineering, University of Trento, Trento (Italy); BIOtech Research Center and European Institute of Excellence on Tissue Engineering and Regenerative Medicine, Trento (Italy); Bonani, Walter [Department of Industrial Engineering, University of Trento, Trento (Italy); BIOtech Research Center and European Institute of Excellence on Tissue Engineering and Regenerative Medicine, Trento (Italy); Interuniversity Consortium for Science and Technology of Materials, Trento Research Unit, Trento (Italy); Speranza, Giorgio [Center for Materials and Microsystems, PAM-SE, Fondazione Bruno Kessler, Trento (Italy); Sglavo, Vincenzo; Ceccato, Riccardo [Department of Industrial Engineering, University of Trento, Trento (Italy); Maniglio, Devid; Motta, Antonella [Department of Industrial Engineering, University of Trento, Trento (Italy); BIOtech Research Center and European Institute of Excellence on Tissue Engineering and Regenerative Medicine, Trento (Italy); Interuniversity Consortium for Science and Technology of Materials, Trento Research Unit, Trento (Italy); Migliaresi, Claudio, E-mail: claudio.migliaresi@unitn.it [Department of Industrial Engineering, University of Trento, Trento (Italy); BIOtech Research Center and European Institute of Excellence on Tissue Engineering and Regenerative Medicine, Trento (Italy); Interuniversity Consortium for Science and Technology of Materials, Trento Research Unit, Trento (Italy)

    2016-02-01

    Silicon plays an important role in bone formation and maintenance, improving osteoblast cell function and inducing mineralization. Often, bone deformation and long bone abnormalities have been associated with silica/silicon deficiency. Diatomite, a natural deposit of diatom skeleton, is a cheap and abundant source of biogenic silica. The aim of the present study is to validate the potential of diatom particles derived from diatom skeletons as silicon-donor materials for bone tissue engineering applications. Raw diatomite (RD) and calcined diatomite (CD) powders were purified by acid treatments, and diatom microparticles (MPs) and nanoparticles (NPs) were produced by fragmentation of purified diatoms under alkaline conditions. The influence of processing on the surface chemical composition of purified diatomites was evaluated by X-ray photoelectron spectroscopy (XPS). Diatoms NPs were also characterized in terms of morphology and size distribution by transmission electron microscopy (TEM) and Dynamic light scattering (DLS), while diatom MPs morphology was analyzed by scanning electron microscopy (SEM). Surface area and microporosity of the diatom particles were evaluated by nitrogen physisorption methods. Release of silicon ions from diatom-derived particles was demonstrated using inductively coupled plasma optical emission spectrometry (ICP/OES); furthermore, silicon release kinetic was found to be influenced by diatomite purification method and particle size. Diatom-derived microparticles (MPs) and nanoparticles (NPs) showed limited or no cytotoxic effect in vitro depending on the administration conditions. - Highlights: • Diatomite is a natural source of silica and has a potential as silicon-donor for bone regenerative applications. • Diatom particles derived from purified diatom skeletons were prepared by fragmentation under extreme alkaline condition. • Dissolution of diatom particles derived from diatom skeletons in DI water depend on purification method

  2. Development of a Self Aligned CMOS Process for Flash Lamp Annealed Polycrystalline Silicon TFTs

    Science.gov (United States)

    Bischoff, Paul

    The emerging active matrix liquid crystal (AMLCD) display market requires a high performing semiconductor material to meet rising standards of operation. Currently amorphous silicon (a-Si) dominates the market but it does not have the required mobility for it to be used in AMLCD manufacturing. Other materials have been developed including crystallizing a-Si into poly-silicon. A new approach to crystallization through the use of flash lamp annealing (FLA) decreases manufacturing time and greatly improves carrier mobility. Previous work on FLA silicon for the use in CMOS transistors revealed significant lateral dopant diffusion into the channel greatly increasing the minimum channel length required for a working device. This was further confounded by the gate overlap due to misalignment during lithography patterning steps. Through the use of furnace dopant activation instead of FLA dopant activation and a self aligned gate the minimum size transistor can be greatly reduced. A new lithography mask and process flow were developed for the furnace annealing and self aligned gate. Fabrication of the self aligned devices resulted in oxidation of the Molybdenum self aligned gate. Further development is needed to successfully manufacture these devices. Non-self aligned transistors were made simultaneously with self aligned devices and used the furnace activation. These devices showed an increase in sheet resistance from 250 O to 800 O and lower mobility from 380 to 40.2 V/cm2s. The lower mobility can be contributed to an increase in implanted trap density indicating furnace annealing is an inferior activation method over FLA. The minimum transistor size however was reduced from 20 to 5 mum. With improvements in the self aligned process high performing small devices can be manufactured.

  3. Inverse Raman scattering in silicon: A free-carrier enhanced effect

    International Nuclear Information System (INIS)

    Solli, D. R.; Koonath, P.; Jalali, B.

    2009-01-01

    Stimulated Raman scattering has been harnessed to produce the first silicon lasers and amplifiers. The Raman effect can also produce intensity-dependent nonlinear loss through a corollary process, inverse Raman scattering (IRS). This process has never been observed in a semiconductor. We demonstrate IRS in silicon--a process that is substantially modified by optically generated free carriers--achieving attenuation levels >15 dB with a pump intensity of 4 GW/cm 2 . Surprisingly, free-carrier absorption, the detrimental effect that generally suppresses nonlinear effects in silicon, actually facilitates IRS by delaying the onset of contamination from coherent anti-Stokes Raman scattering. Silicon-based IRS could be a valuable tool for chip-scale signal processing.

  4. N-Type delta Doping of High-Purity Silicon Imaging Arrays

    Science.gov (United States)

    Blacksberg, Jordana; Hoenk, Michael; Nikzad, Shouleh

    2005-01-01

    A process for n-type (electron-donor) delta doping has shown promise as a means of modifying back-illuminated image detectors made from n-doped high-purity silicon to enable them to detect high-energy photons (ultraviolet and x-rays) and low-energy charged particles (electrons and ions). This process is applicable to imaging detectors of several types, including charge-coupled devices, hybrid devices, and complementary metal oxide/semiconductor detector arrays. Delta doping is so named because its density-vs.-depth characteristic is reminiscent of the Dirac delta function (impulse function): the dopant is highly concentrated in a very thin layer. Preferably, the dopant is concentrated in one or at most two atomic layers in a crystal plane and, therefore, delta doping is also known as atomic-plane doping. The use of doping to enable detection of high-energy photons and low-energy particles was reported in several prior NASA Tech Briefs articles. As described in more detail in those articles, the main benefit afforded by delta doping of a back-illuminated silicon detector is to eliminate a "dead" layer at the back surface of the silicon wherein high-energy photons and low-energy particles are absorbed without detection. An additional benefit is that the delta-doped layer can serve as a back-side electrical contact. Delta doping of p-type silicon detectors is well established. The development of the present process addresses concerns specific to the delta doping of high-purity silicon detectors, which are typically n-type. The present process involves relatively low temperatures, is fully compatible with other processes used to fabricate the detectors, and does not entail interruption of those processes. Indeed, this process can be the last stage in the fabrication of an imaging detector that has, in all other respects, already been fully processed, including metallized. This process includes molecular-beam epitaxy (MBE) for deposition of three layers, including

  5. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  6. An outline of compilation and processing of metadata in agricultural database management system WebAgris

    Directory of Open Access Journals (Sweden)

    Tomaž Bartol

    2008-01-01

    Full Text Available The paper tackles international information system for agriculture Agris and local processing of metadata with database management software WebAgris. Operations are coordinated by the central repository at the FAO in Rome. Based on international standards and unified methodology, national and regional centers collect and process local publications, and then send the records to the central unit, which enables global website accessibility of the data. Earlier DOS-run application was based on package Agrin CDS/ISIS. The Current package WebAgris runs on web servers. Database construction tools and instructions are accessible on FAO Web pages. Data are entered through unified input masks. International consistency is achieved through authority control of certain elements, such as author or corporate affiliation. Central authority control is made available for subject headings, such as descriptors and subject categories.Subject indexing is based on controlled multilingual thesaurus Agrovoc, also available freely on the Internet. This glossary has become an important tool in the area of the international agricultural ontology. The data are exported to the central unit in XML format. Global database is currently eccessible to everyone. This international cooperative information network combines elements of a document repository,electronic publishing, open archiving and full text open access. Links with Google Scholar provide a good possibility for international promotion of publishing.

  7. Using the World Wide Web for GIDEP Problem Data Processing at Marshall Space Flight Center

    Science.gov (United States)

    McPherson, John W.; Haraway, Sandra W.; Whirley, J. Don

    1999-01-01

    Since April 1997, Marshall Space Flight Center has been using electronic transfer and the web to support our processing of the Government-Industry Data Exchange Program (GIDEP) and NASA ALERT information. Specific aspects include: (1) Extraction of ASCII text information from GIDEP for loading into Word documents for e-mail to ALERT actionees; (2) Downloading of GIDEP form image formats in Adobe Acrobat (.pdf) for internal storage display on the MSFC ALERT web page; (3) Linkage of stored GRDEP problem forms with summary information for access from the MSFC ALERT Distribution Summary Chart or from an html table of released MSFC ALERTs (4) Archival of historic ALERTs for reference by GIDEP ID, MSFC ID, or MSFC release date; (5) On-line tracking of ALERT response status using a Microsoft Access database and the web (6) On-line response to ALERTs from MSFC actionees through interactive web forms. The technique, benefits, effort, coordination, and lessons learned for each aspect are covered herein.

  8. Influence of Chemical Composition and Structure in Silicon Dielectric Materials on Passivation of Thin Crystalline Silicon on Glass.

    Science.gov (United States)

    Calnan, Sonya; Gabriel, Onno; Rothert, Inga; Werth, Matteo; Ring, Sven; Stannowski, Bernd; Schlatmann, Rutger

    2015-09-02

    In this study, various silicon dielectric films, namely, a-SiOx:H, a-SiNx:H, and a-SiOxNy:H, grown by plasma enhanced chemical vapor deposition (PECVD) were evaluated for use as interlayers (ILs) between crystalline silicon and glass. Chemical bonding analysis using Fourier transform infrared spectroscopy showed that high values of oxidant gases (CO2 and/or N2), added to SiH4 during PECVD, reduced the Si-H and N-H bond density in the silicon dielectrics. Various three layer stacks combining the silicon dielectric materials were designed to minimize optical losses between silicon and glass in rear side contacted heterojunction pn test cells. The PECVD grown silicon dielectrics retained their functionality despite being subjected to harsh subsequent processing such as crystallization of the silicon at 1414 °C or above. High values of short circuit current density (Jsc; without additional hydrogen passivation) required a high density of Si-H bonds and for the nitrogen containing films, additionally, a high N-H bond density. Concurrently high values of both Jsc and open circuit voltage Voc were only observed when [Si-H] was equal to or exceeded [N-H]. Generally, Voc correlated with a high density of [Si-H] bonds in the silicon dielectric; otherwise, additional hydrogen passivation using an active plasma process was required. The highest Voc ∼ 560 mV, for a silicon acceptor concentration of about 10(16) cm(-3), was observed for stacks where an a-SiOxNy:H film was adjacent to the silicon. Regardless of the cell absorber thickness, field effect passivation of the buried silicon surface by the silicon dielectric was mandatory for efficient collection of carriers generated from short wavelength light (in the vicinity of the glass-Si interface). However, additional hydrogen passivation was obligatory for an increased diffusion length of the photogenerated carriers and thus Jsc in solar cells with thicker absorbers.

  9. Silicon radiation detectors: materials and applications

    International Nuclear Information System (INIS)

    Walton, J.T.; Haller, E.E.

    1982-10-01

    Silicon nuclear radiation detectors are available today in a large variety of sizes and types. This profusion has been made possible by the ever increasing quality and diameter silicon single crystals, new processing technologies and techniques, and innovative detector design. The salient characteristics of the four basic detector groups, diffused junction, ion implanted, surface barrier, and lithium drift are reviewed along with the silicon crystal requirements. Results of crystal imperfections detected by lithium ion compensation are presented. Processing technologies and techniques are described. Two recent novel position-sensitive detector designs are discussed - one in high-energy particle track reconstruction and the other in x-ray angiography. The unique experimental results obtained with these devices are presented

  10. A Typology for Web 2.0

    DEFF Research Database (Denmark)

    Dalsgaard, Christian; Sorensen, Elsebeth Korsgaard

    2008-01-01

    of a learning environment: 1) organizing communicative processes and 2) organizing resources. Organizing communicative processes is supported by Web 2.0’s ability to provide a range of communicative tools that can be organized flexibly by students. Web 2.0 provides opportunities for communities and groups...... to organize their own communicative processes. Further, Web 2.0 supports organization of resources by empowering students to create, construct, manage and share content themselves. However, the main potential lies within collaborative creation and sharing in networks. Potentially, networking tools......Web 2.0 is a term used to describe recent developments on the World Wide Web. The term is often used to describe the increased use of the web for user-generated content, collaboration, and social networking. However, Web 2.0 is a weakly defined concept, and it is unclear exactly what kind...

  11. Reduction of absorption loss in multicrystalline silicon via combination of mechanical grooving and porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ben Rabha, Mohamed; Mohamed, Seifeddine Belhadj; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2011-03-15

    Surface texturing of silicon wafer is a key step to enhance light absorption and to improve the solar cell performances. While alkaline-texturing of single crystalline silicon wafers was well established, no efficient chemical solution has been successfully developed for multicrystalline silicon wafers. Thus, the use of alternative new methods for effective texturization of multicrystalline silicon is worth to be investigated. One of the promising texturing techniques of multicrystalline silicon wafers is the use of mechanical grooves. However, most often, physical damages occur during mechanical grooves of the wafer surface, which in turn require an additional step of wet processing-removal damage. Electrochemical surface treatment seems to be an adequate solution for removing mechanical damage throughout porous silicon formation. The topography of untreated and porous silicon-treated mechanically textured surface was investigated using scanning electron microscopy (SEM). As a result of the electrochemical surface treatment, the total reflectivity drops to about 5% in the 400-1000 nm wavelength range and the effective minority carrier diffusion length enhances from 190 {mu}m to about 230 {mu}m (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  13. Electroless porous silicon formation applied to fabrication of boron-silica-glass cantilevers

    DEFF Research Database (Denmark)

    Teva, Jordi; Davis, Zachary James; Hansen, Ole

    2010-01-01

    This work describes the characterization and optimization of anisotropic formation of porous silicon in large volumes (0.5-1 mm3) of silicon by an electroless wet etching technique. The main goal is to use porous silicon as a sacrificial volume for bulk micromachining processes, especially in cases...... where etching of the full wafer thickness is needed. The porous silicon volume is formed by a metal-assisted etching in a wet chemical solution composed of hydrogen peroxide (30%), hydrofluoric acid (40%) and ethanol. This paper focuses on optimizing the etching conditions in terms of maximizing...... for bio-chemical sensors. The porous silicon volume is formed in an early step of the fabrication process, allowing easy handling of the wafer during all of the micromachining processes in the process flow. In the final process step, the porous silicon is quickly etched by immersing the wafer in a KOH...

  14. A WEB-based integrated data processing system for the TRIAM-1M

    International Nuclear Information System (INIS)

    Hasegawa, M.; Higashijima, A.; Nakamura, K.; Hanada, K.; Sato, K.N.; Sakamoto, M.; Idei, H.; Kawasaki, S.; Nakashima, H.

    2008-01-01

    In TRIAM-1M, plasma discharge can be sustained for over five hours [H. Zushi, et al., Steady-state tokamak operation, ITB transition and sustainment and ECCD experiments in TRIAM-1M, Nucl. Fusion 45 (2005) S142-S156]. In order to avoid sitting in front of one console for the purpose of monitoring the plasma discharge, it is recommended that the experimental information be accessible from any location at any time. In addition, simple services to access experimental information are required in order to promote the participation of multiple researchers in the TRIAM-1M experiment. Thus, A WEB-based integrated data processing system that provides management for experiment planning, an experimental log, numerical data, and plasma supervision has been installed in the TRIAM-1M. These services are composed primarily of an Apache WEB server, a Tomcat JSP/Servlet container, and a MySQL relational database. This system is constructed using the object-oriented Java language, which is easy to maintain and develop because of the intrinsic characteristics of the Java language. When participating in experiments, researchers are required only to prepare a WEB browser on any platform and are no longer required to memorize complex operations because all services are provided with a uniform user interface through a WEB browser. Furthermore, with the integration of these services, the required information and numerical data can be provided promptly by tracing HTML links that are created dynamically by server applications

  15. A WEB-based integrated data processing system for the TRIAM-1M

    Energy Technology Data Exchange (ETDEWEB)

    Hasegawa, M. [Advanced Fusion Research Center, Research Institute for Applied Mechanics, Kyushu University, Fukuoka 816-8580 (Japan)], E-mail: hasegawa@triam.kyushu-u.ac.jp; Higashijima, A; Nakamura, K; Hanada, K; Sato, K N; Sakamoto, M; Idei, H; Kawasaki, S; Nakashima, H [Advanced Fusion Research Center, Research Institute for Applied Mechanics, Kyushu University, Fukuoka 816-8580 (Japan)

    2008-05-15

    In TRIAM-1M, plasma discharge can be sustained for over five hours [H. Zushi, et al., Steady-state tokamak operation, ITB transition and sustainment and ECCD experiments in TRIAM-1M, Nucl. Fusion 45 (2005) S142-S156]. In order to avoid sitting in front of one console for the purpose of monitoring the plasma discharge, it is recommended that the experimental information be accessible from any location at any time. In addition, simple services to access experimental information are required in order to promote the participation of multiple researchers in the TRIAM-1M experiment. Thus, A WEB-based integrated data processing system that provides management for experiment planning, an experimental log, numerical data, and plasma supervision has been installed in the TRIAM-1M. These services are composed primarily of an Apache WEB server, a Tomcat JSP/Servlet container, and a MySQL relational database. This system is constructed using the object-oriented Java language, which is easy to maintain and develop because of the intrinsic characteristics of the Java language. When participating in experiments, researchers are required only to prepare a WEB browser on any platform and are no longer required to memorize complex operations because all services are provided with a uniform user interface through a WEB browser. Furthermore, with the integration of these services, the required information and numerical data can be provided promptly by tracing HTML links that are created dynamically by server applications.

  16. Quantum mechanical theory of epitaxial transformation of silicon to silicon carbide

    International Nuclear Information System (INIS)

    Kukushkin, S A; Osipov, A V

    2017-01-01

    The paper focuses on the study of transformation of silicon crystal into silicon carbide crystal via substitution reaction with carbon monoxide gas. As an example, the Si(1 0 0) surface is considered. The cross section of the potential energy surface of the first stage of transformation along the reaction pathway is calculated by the method of nudged elastic bands. It is found that in addition to intermediate states associated with adsorption of CO and SiO molecules on the surface, there is also an intermediate state in which all the atoms are strongly bonded to each other. This intermediate state significantly reduces the activation barrier of transformation down to 2.6 eV. The single imaginary frequencies corresponding to the two transition states of this transformation are calculated, one of which is reactant-like, whereas the other is product-like. By methods of quantum chemistry of solids, the second stage of this transformation is described, namely, the transformation of precarbide silicon into silicon carbide. Energy reduction per one cell is calculated for this ‘collapse’ process, and bond breaking energy is also found. Hence, it is concluded that the smallest size of the collapsing islet is 30 nm. It is shown that the chemical bonds of the initial silicon crystal are coordinately replaced by the bonds between Si and C in silicon carbide, which leads to a high quality of epitaxy and a low concentration of misfit dislocations. (paper)

  17. Implementation of a Web-Based Organ Donation Educational Intervention: Development and Use of a Refined Process Evaluation Model

    Science.gov (United States)

    Harker, Laura; Bamps, Yvan; Flemming, Shauna St. Clair; Perryman, Jennie P; Thompson, Nancy J; Patzer, Rachel E; Williams, Nancy S DeSousa; Arriola, Kimberly R Jacob

    2017-01-01

    Background The lack of available organs is often considered to be the single greatest problem in transplantation today. Internet use is at an all-time high, creating an opportunity to increase public commitment to organ donation through the broad reach of Web-based behavioral interventions. Implementing Internet interventions, however, presents challenges including preventing fraudulent respondents and ensuring intervention uptake. Although Web-based organ donation interventions have increased in recent years, process evaluation models appropriate for Web-based interventions are lacking. Objective The aim of this study was to describe a refined process evaluation model adapted for Web-based settings and used to assess the implementation of a Web-based intervention aimed to increase organ donation among African Americans. Methods We used a randomized pretest-posttest control design to assess the effectiveness of the intervention website that addressed barriers to organ donation through corresponding videos. Eligible participants were African American adult residents of Georgia who were not registered on the state donor registry. Drawing from previously developed process evaluation constructs, we adapted reach (the extent to which individuals were found eligible, and participated in the study), recruitment (online recruitment mechanism), dose received (intervention uptake), and context (how the Web-based setting influenced study implementation) for Internet settings and used the adapted model to assess the implementation of our Web-based intervention. Results With regard to reach, 1415 individuals completed the eligibility screener; 948 (67.00%) were determined eligible, of whom 918 (96.8%) completed the study. After eliminating duplicate entries (n=17), those who did not initiate the posttest (n=21) and those with an invalid ZIP code (n=108), 772 valid entries remained. Per the Internet protocol (IP) address analysis, only 23 of the 772 valid entries (3.0%) were

  18. Lifetime of Nano-Structured Black Silicon for Photovoltaic Applications

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Davidsen, Rasmus Schmidt; Schmidt, Michael Stenbæk

    2016-01-01

    In this work, we present recent results of lifetime optimization for nano-structured black silicon and its photovoltaic applications. Black silicon nano-structures provide significant reduction of silicon surface reflection due to highly corrugated nanostructures with excellent light trapping pro......, respectively. This is promising for use of black silicon RIE nano-structuring in a solar cell process flow......In this work, we present recent results of lifetime optimization for nano-structured black silicon and its photovoltaic applications. Black silicon nano-structures provide significant reduction of silicon surface reflection due to highly corrugated nanostructures with excellent light trapping...

  19. 18th Workshop on Crystalline Silicon Solar Cells and Modules: Materials and Processes; Workshop Proceedings, 3-6 August 2008, Vail, Colorado

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.

    2008-09-01

    The National Center for Photovoltaics sponsored the 18th Workshop on Crystalline Silicon Solar Cells & Modules: Materials and Processes, held in Vail, CO, August 3-6, 2008. This meeting provided a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. The theme of this year's meeting was 'New Directions for Rapidly Growing Silicon Technologies.'

  20. Development of an SU-8 MEMS process with two metal electrodes using amorphous silicon as a sacrificial material

    KAUST Repository

    Ramadan, Khaled S.

    2013-02-08

    This work presents an SU-8 surface micromachining process using amorphous silicon as a sacrificial material, which also incorporates two metal layers for electrical excitation. SU-8 is a photo-patternable polymer that is used as a structural layer for MEMS and microfluidic applications due to its mechanical properties, biocompatibility and low cost. Amorphous silicon is used as a sacrificial layer in MEMS applications because it can be deposited in large thicknesses, and can be released in a dry method using XeF2, which alleviates release-based stiction problems related to MEMS applications. In this work, an SU-8 MEMS process was developed using ;-Si as a sacrificial layer. Two conductive metal electrodes were integrated in this process to allow out-of-plane electrostatic actuation for applications like MEMS switches and variable capacitors. In order to facilitate more flexibility for MEMS designers, the process can fabricate dimples that can be conductive or nonconductive. Additionally, this SU-8 process can fabricate SU-8 MEMS structures of a single layer of two different thicknesses. Process parameters were optimized for two sets of thicknesses: thin (5-10 m) and thick (130 m). The process was tested fabricating MEMS switches, capacitors and thermal actuators. © 2013 IOP Publishing Ltd.

  1. Naturally occurring 32 Si and low-background silicon dark matter detectors

    Energy Technology Data Exchange (ETDEWEB)

    Orrell, John L.; Arnquist, Isaac J.; Bliss, Mary; Bunker, Raymond; Finch, Zachary S.

    2018-05-01

    The naturally occurring radioisotope Si-32 represents a potentially limiting background in future dark matter direct-detection experiments. We investigate sources of Si-32 and the vectors by which it comes to reside in silicon crystals used for fabrication of radiation detectors. We infer that the Si-32 concentration in commercial single-crystal silicon is likely variable, dependent upon the specific geologic and hydrologic history of the source (or sources) of silicon “ore” and the details of the silicon-refinement process. The silicon production industry is large, highly segmented by refining step, and multifaceted in terms of final product type, from which we conclude that production of Si-32-mitigated crystals requires both targeted silicon material selection and a dedicated refinement-through-crystal-production process. We review options for source material selection, including quartz from an underground source and silicon isotopically reduced in Si-32. To quantitatively evaluate the Si-32 content in silicon metal and precursor materials, we propose analytic methods employing chemical processing and radiometric measurements. Ultimately, it appears feasible to produce silicon-based detectors with low levels of Si-32, though significant assay method development is required to validate this claim and thereby enable a quality assurance program during an actual controlled silicon-detector production cycle.

  2. Naturally occurring 32Si and low-background silicon dark matter detectors

    Science.gov (United States)

    Orrell, John L.; Arnquist, Isaac J.; Bliss, Mary; Bunker, Raymond; Finch, Zachary S.

    2018-05-01

    The naturally occurring radioisotope 32Si represents a potentially limiting background in future dark matter direct-detection experiments. We investigate sources of 32Si and the vectors by which it comes to reside in silicon crystals used for fabrication of radiation detectors. We infer that the 32Si concentration in commercial single-crystal silicon is likely variable, dependent upon the specific geologic and hydrologic history of the source (or sources) of silicon "ore" and the details of the silicon-refinement process. The silicon production industry is large, highly segmented by refining step, and multifaceted in terms of final product type, from which we conclude that production of 32Si-mitigated crystals requires both targeted silicon material selection and a dedicated refinement-through-crystal-production process. We review options for source material selection, including quartz from an underground source and silicon isotopically reduced in 32Si. To quantitatively evaluate the 32Si content in silicon metal and precursor materials, we propose analytic methods employing chemical processing and radiometric measurements. Ultimately, it appears feasible to produce silicon detectors with low levels of 32Si, though significant assay method development is required to validate this claim and thereby enable a quality assurance program during an actual controlled silicon-detector production cycle.

  3. Black Silicon formation using dry etching for solar cells applications

    International Nuclear Information System (INIS)

    Murias, D.; Reyes-Betanzo, C.; Moreno, M.; Torres, A.; Itzmoyotl, A.; Ambrosio, R.; Soriano, M.; Lucas, J.; Cabarrocas, P. Roca i

    2012-01-01

    A study on the formation of Black Silicon on crystalline silicon surface using SF 6 /O 2 and SF 6 /O 2 /CH 4 based plasmas in a reactive ion etching (RIE) system is presented. The effect of the RF power, chamber pressure, process time, gas flow rates, and gas mixtures on the texture of silicon surface has been analyzed. Completely Black Silicon surfaces containing pyramid like structures have been obtained, using an optimized mask-free plasma process. Moreover, the Black Silicon surfaces have demonstrated average values of 1% and 4% for specular and diffuse reflectance respectively, feature that is suitable for the fabrication of low cost solar cells.

  4. Densification of silicon and zirconium carbides by a new process: spark plasma sintering

    International Nuclear Information System (INIS)

    Guillard, F.

    2006-12-01

    Materials research for suitable utilization in 4. generation nuclear plants needs new ways to densify testing components. Two carbides, silicon and zirconium carbide seems to be the most suitable choice due to their mechanical, thermal and neutron-transparency properties against next nuclear plant specifications. Nevertheless one main difficulty remains, which is densifying them even at high temperature. Spark Plasma Sintering a new metal-, ceramic- and composite-sintering process has been used to densify both SiC and ZrC. Understanding bases of mass transport mechanisms in SPS have been studied. Composites and interfaces have been processed and analyzed. This manuscript reports original results on SiC and ZrC ceramics sintered with commercial powder started, without additives. (author)

  5. Phosphorous Doping of Nanostructured Crystalline Silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Davidsen, Rasmus Schmidt; Steckel, André

    Nano-textured silicon, known as black silicon (bSi), is attractive with excellent photon trapping properties. bSi can be produced using simple one-step fabrication reactive ion etching (RIE) technique. However, in order to use bSi in photovoltaics doping process should be developed. Due to high s...

  6. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  7. 3D active edge silicon sensors: Device processing, yield and QA for the ATLAS-IBL production

    Energy Technology Data Exchange (ETDEWEB)

    Da Vià, Cinzia; Boscardil, Maurizio; Dalla Betta, GianFranco; Darbo, Giovanni; Fleta, Celeste; Gemme, Claudia; Giacomini, Gabriele; Grenier, Philippe; Grinstein, Sebastian; Hansen, Thor-Erik; Hasi, Jasmine; Kenney, Christopher; Kok, Angela; La Rosa, Alessandro; Micelli, Andrea; Parker, Sherwood; Pellegrini, Giulio; Pohl, David-Leon; Povoli, Marco; Vianello, Elisa; Zorzi, Nicola; Watts, S. J.

    2013-01-01

    3D silicon sensors, where plasma micromachining is used to etch deep narrow apertures in the silicon substrate to form electrodes of PIN junctions, were successfully manufactured in facilities in Europe and USA. In 2011 the technology underwent a qualification process to establish its maturity for a medium scale production for the construction of a pixel layer for vertex detection, the Insertable B-Layer (IBL) at the CERN-LHC ATLAS experiment. The IBL collaboration, following that recommendation from the review panel, decided to complete the production of planar and 3D sensors and endorsed the proposal to build enough modules for a mixed IBL sensor scenario where 25% of 3D modules populate the forward and backward part of each stave. The production of planar sensors will also allow coverage of 100% of the IBL, in case that option was required. This paper will describe the processing strategy which allowed successful 3D sensor production, some of the Quality Assurance (QA) tests performed during the pre-production phase and the production yield to date.

  8. 3D active edge silicon sensors: Device processing, yield and QA for the ATLAS-IBL production

    Energy Technology Data Exchange (ETDEWEB)

    Da Vià, Cinzia, E-mail: cinzia.da.via@cern.ch [School of Physics and Astronomy, The University of Manchester, Oxford Road, M13 9PL Manchester (United Kingdom); Boscardil, Maurizio [Fondazione Bruno Kessler, FBK-CMM, Via Sommarive 18, I-38123 Trento (Italy); Dalla Betta, GianFranco [DISI, Università degli Studi di Trento and INFN, Via Sommarive 14, I-38123 Trento (Italy); Darbo, Giovanni [INFN Sezione di Genova, Via Dodecaneso 33, I-14146 Genova (Italy); Fleta, Celeste [Centro Nacional de Microelectronica, CNM-IMB (CSIC), Barcelona E-08193 (Spain); Gemme, Claudia [INFN Sezione di Genova, Via Dodecaneso 33, I-14146 Genova (Italy); Giacomini, Gabriele [Fondazione Bruno Kessler, FBK-CMM, Via Sommarive 18, I-38123 Trento (Italy); Grenier, Philippe [SLAC National Accelerator Laboratory, 2575 Sand Hill Rd, Menlo Park, CA 94025 (United States); Grinstein, Sebastian [Institut de Fisica d' Altes Energies (IFAE) and ICREA, Universitat Autonoma de Barcelona (UAB) E-08193, Bellaterra, Barcelona (Spain); Hansen, Thor-Erik [SINTEF MiNaLab, Blindern, N-0314 Oslo (Norway); Hasi, Jasmine; Kenney, Christopher [SLAC National Accelerator Laboratory, 2575 Sand Hill Rd, Menlo Park, CA 94025 (United States); Kok, Angela [SINTEF MiNaLab, Blindern, N-0314 Oslo (Norway); La Rosa, Alessandro [CERN CH 1211, Geneva 23 (Switzerland); Micelli, Andrea [Tne University of Udine and INFN, via del Cotonificio 108, 33100 Udine (Italy); Parker, Sherwood [University of Hawaii, c/o Lawrence Berkeley Laboratory, Berkeley, CA 94720 (United States); Pellegrini, Giulio [Centro Nacional de Microelectronica, CNM-IMB (CSIC), Barcelona E-08193 (Spain); Pohl, David-Leon [Physikalisches Institut der Universität Bonn, Nußallee 12 D-53115, Bonn, Federal Republic of Germany (Germany); Povoli, Marco [DISI, Università degli Studi di Trento and INFN, Via Sommarive 14, I-38123 Trento (Italy); and others

    2013-01-21

    3D silicon sensors, where plasma micromachining is used to etch deep narrow apertures in the silicon substrate to form electrodes of PIN junctions, were successfully manufactured in facilities in Europe and USA. In 2011 the technology underwent a qualification process to establish its maturity for a medium scale production for the construction of a pixel layer for vertex detection, the Insertable B-Layer (IBL) at the CERN-LHC ATLAS experiment. The IBL collaboration, following that recommendation from the review panel, decided to complete the production of planar and 3D sensors and endorsed the proposal to build enough modules for a mixed IBL sensor scenario where 25% of 3D modules populate the forward and backward part of each stave. The production of planar sensors will also allow coverage of 100% of the IBL, in case that option was required. This paper will describe the processing strategy which allowed successful 3D sensor production, some of the Quality Assurance (QA) tests performed during the pre-production phase and the production yield to date.

  9. Excellent Silicon Surface Passivation Achieved by Industrial Inductively Coupled Plasma Deposited Hydrogenated Intrinsic Amorphous Silicon Suboxide

    Directory of Open Access Journals (Sweden)

    Jia Ge

    2014-01-01

    Full Text Available We present an alternative method of depositing a high-quality passivation film for heterojunction silicon wafer solar cells, in this paper. The deposition of hydrogenated intrinsic amorphous silicon suboxide is accomplished by decomposing hydrogen, silane, and carbon dioxide in an industrial remote inductively coupled plasma platform. Through the investigation on CO2 partial pressure and process temperature, excellent surface passivation quality and optical properties are achieved. It is found that the hydrogen content in the film is much higher than what is commonly reported in intrinsic amorphous silicon due to oxygen incorporation. The observed slow depletion of hydrogen with increasing temperature greatly enhances its process window as well. The effective lifetime of symmetrically passivated samples under the optimal condition exceeds 4.7 ms on planar n-type Czochralski silicon wafers with a resistivity of 1 Ωcm, which is equivalent to an effective surface recombination velocity of less than 1.7 cms−1 and an implied open-circuit voltage (Voc of 741 mV. A comparison with several high quality passivation schemes for solar cells reveals that the developed inductively coupled plasma deposited films show excellent passivation quality. The excellent optical property and resistance to degradation make it an excellent substitute for industrial heterojunction silicon solar cell production.

  10. Method of production of hollow silicon nitride articles

    International Nuclear Information System (INIS)

    Parr, N.L.; Brown, R.L.

    1971-01-01

    The hollow articles prepared according to the invention have a high density, exhibit no internal stresses and correspond to high demands of tolerance and surface quality. One obtains these by flame spraying silicon powder on a pre-heated form designed with separating agent - e.g. NaCl. After removing the form, the silicon is nitridated to silicon nitride by heating in N 2 or in an atmosphere of ammonia. This process can be interrupted if the article is also to be mechanically processed, and then the nitridation can be completed. (Hoe/LH) [de

  11. Subsurface damage mechanism of high speed grinding process in single crystal silicon revealed by atomistic simulations

    International Nuclear Information System (INIS)

    Li, Jia; Fang, Qihong; Zhang, Liangchi; Liu, Youwen

    2015-01-01

    Highlights: • Molecular dynamic model of nanoscale high speed grinding of silicon workpiece has been established. • The effect of grinding speed on subsurface damage and grinding surface integrity by analyzing the chip, dislocation movement, and phase transformation during high speed grinding process are thoroughly investigated. • Subsurface damage is studied by the evolution of surface area at first time for more obvious observation on transition from ductile to brittle. • The hydrostatic stress and von Mises stress by the established analytical model are studied subsurface damage mechanism during nanoscale grinding. - Abstract: Three-dimensional molecular dynamics (MD) simulations are performed to investigate the nanoscale grinding process of single crystal silicon using diamond tool. The effect of grinding speed on subsurface damage and grinding surface integrity by analyzing the chip, dislocation movement, and phase transformation are studied. We also establish an analytical model to calculate several important stress fields including hydrostatic stress and von Mises stress for studying subsurface damage mechanism, and obtain the dislocation density on the grinding subsurface. The results show that a higher grinding velocity in machining brittle material silicon causes a larger chip and a higher temperature, and reduces subsurface damage. However, when grinding velocity is above 180 m s −1 , subsurface damage thickness slightly increases because a higher grinding speed leads to the increase in grinding force and temperature, which accelerate dislocation nucleation and motion. Subsurface damage is studied by the evolution of surface area at first time for more obvious observation on transition from ductile to brittle, that provides valuable reference for machining nanometer devices. The von Mises stress and the hydrostatic stress play an important role in the grinding process, and explain the subsurface damage though dislocation mechanism under high

  12. Thin silicon foils produced by epoxy-induced spalling of silicon for high efficiency solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Martini, R., E-mail: roberto.martini@imec.be [Department of Electrical Engineering, KU Leuven, Kasteelpark 10, 3001 Leuven (Belgium); imec, Kapeldreef 75, 3001 Leuven (Belgium); Kepa, J.; Stesmans, A. [Department of Physics, KU Leuven, Celestijnenlaan 200 D, 3001 Leuven (Belgium); Debucquoy, M.; Depauw, V.; Gonzalez, M.; Gordon, I. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Poortmans, J. [Department of Electrical Engineering, KU Leuven, Kasteelpark 10, 3001 Leuven (Belgium); imec, Kapeldreef 75, 3001 Leuven (Belgium); Universiteit Hasselt, Martelarenlaan 42, B-3500 Hasselt (Belgium)

    2014-10-27

    We report on the drastic improvement of the quality of thin silicon foils produced by epoxy-induced spalling. In the past, researchers have proposed to fabricate silicon foils by spalling silicon substrates with different stress-inducing materials to manufacture thin silicon solar cells. However, the reported values of effective minority carrier lifetime of the fabricated foils remained always limited to ∼100 μs or below. In this work, we investigate epoxy-induced exfoliated foils by electron spin resonance to analyze the limiting factors of the minority carrier lifetime. These measurements highlight the presence of disordered dangling bonds and dislocation-like defects generated by the exfoliation process. A solution to remove these defects compatible with the process flow to fabricate solar cells is proposed. After etching off less than 1 μm of material, the lifetime of the foil increases by more than a factor of 4.5, reaching a value of 461 μs. This corresponds to a lower limit of the diffusion length of more than 7 times the foil thickness. Regions with different lifetime correlate well with the roughness of the crack surface which suggests that the lifetime is now limited by the quality of the passivation of rough surfaces. The reported values of the minority carrier lifetime show a potential for high efficiency (>22%) thin silicon solar cells.

  13. Thin silicon foils produced by epoxy-induced spalling of silicon for high efficiency solar cells

    International Nuclear Information System (INIS)

    Martini, R.; Kepa, J.; Stesmans, A.; Debucquoy, M.; Depauw, V.; Gonzalez, M.; Gordon, I.; Poortmans, J.

    2014-01-01

    We report on the drastic improvement of the quality of thin silicon foils produced by epoxy-induced spalling. In the past, researchers have proposed to fabricate silicon foils by spalling silicon substrates with different stress-inducing materials to manufacture thin silicon solar cells. However, the reported values of effective minority carrier lifetime of the fabricated foils remained always limited to ∼100 μs or below. In this work, we investigate epoxy-induced exfoliated foils by electron spin resonance to analyze the limiting factors of the minority carrier lifetime. These measurements highlight the presence of disordered dangling bonds and dislocation-like defects generated by the exfoliation process. A solution to remove these defects compatible with the process flow to fabricate solar cells is proposed. After etching off less than 1 μm of material, the lifetime of the foil increases by more than a factor of 4.5, reaching a value of 461 μs. This corresponds to a lower limit of the diffusion length of more than 7 times the foil thickness. Regions with different lifetime correlate well with the roughness of the crack surface which suggests that the lifetime is now limited by the quality of the passivation of rough surfaces. The reported values of the minority carrier lifetime show a potential for high efficiency (>22%) thin silicon solar cells.

  14. Web service composition: a semantic web and automated planning technique application

    Directory of Open Access Journals (Sweden)

    Jaime Alberto Guzmán Luna

    2008-09-01

    Full Text Available This article proposes applying semantic web and artificial intelligence planning techniques to a web services composition model dealing with problems of ambiguity in web service description and handling incomplete web information. The model uses an OWL-S services and implements a planning technique which handles open world semantics in its reasoning process to resolve these problems. This resulted in a web services composition system incorporating a module for interpreting OWL-S services and converting them into a planning problem in PDDL (a planning module handling incomplete information and an execution service module concurrently interacting with the planner for executing each composition plan service.

  15. Silicon Nanocrystal Synthesis in Microplasma Reactor

    Science.gov (United States)

    Nozaki, Tomohiro; Sasaki, Kenji; Ogino, Tomohisa; Asahi, Daisuke; Okazaki, Ken

    Nanocrystalline silicon particles with grains smaller than 5 nm are widely recognized as a key material in optoelectronic devices, lithium battery electrodes, and bio-medical labels. Another important characteristic is that silicon is an environmentally safe material that is used in numerous silicon technologies. To date, several synthesis methods such as sputtering, laser ablation, and plasma-enhanced chemical vapor deposition (PECVD) based on low-pressure silane chemistry (SiH4) have been developed for precise control of size and density distributions of silicon nanocrystals. In this study, we explore the possibility of microplasma technologies for efficient production of mono-dispersed nanocrystalline silicon particles on a micrometer-scale, continuous-flow plasma reactor operated at atmospheric pressure. Mixtures of argon, hydrogen, and silicon tetrachloride were activated using a very-high-frequency (144 MHz) power source in a capillary glass tube with volume of less than 1 μl. Fundamental plasma parameters of the microplasma were characterized using optical emission spectroscopy, which respectively indicated electron density of 1015 cm-3, argon excitation temperature of 5000 K, and rotational temperature of 1500 K. Such high-density non-thermal reactive plasma can decompose silicon tetrachloride into atomic silicon to produce supersaturated silicon vapor, followed by gas-phase nucleation via three-body collision: particle synthesis in high-density plasma media is beneficial for promoting nucleation processes. In addition, further growth of silicon nuclei can be terminated in a short-residence-time reactor. Micro-Raman scattering spectra showed that as-deposited particles are mostly amorphous silicon with a small fraction of silicon nanocrystals. Transmission electron micrography confirmed individual 3-15 nm silicon nanocrystals. Although particles were not mono-dispersed, they were well separated and not coagulated.

  16. Nanostructured silicon for thermoelectric

    Science.gov (United States)

    Stranz, A.; Kähler, J.; Waag, A.; Peiner, E.

    2011-06-01

    Thermoelectric modules convert thermal energy into electrical energy and vice versa. At present bismuth telluride is the most widely commercial used material for thermoelectric energy conversion. There are many applications where bismuth telluride modules are installed, mainly for refrigeration. However, bismuth telluride as material for energy generation in large scale has some disadvantages. Its availability is limited, it is hot stable at higher temperatures (>250°C) and manufacturing cost is relatively high. An alternative material for energy conversion in the future could be silicon. The technological processing of silicon is well advanced due to the rapid development of microelectronics in recent years. Silicon is largely available and environmentally friendly. The operating temperature of silicon thermoelectric generators can be much higher than of bismuth telluride. Today silicon is rarely used as a thermoelectric material because of its high thermal conductivity. In order to use silicon as an efficient thermoelectric material, it is necessary to reduce its thermal conductivity, while maintaining high electrical conductivity and high Seebeck coefficient. This can be done by nanostructuring into arrays of pillars. Fabrication of silicon pillars using ICP-cryogenic dry etching (Inductive Coupled Plasma) will be described. Their uniform height of the pillars allows simultaneous connecting of all pillars of an array. The pillars have diameters down to 180 nm and their height was selected between 1 micron and 10 microns. Measurement of electrical resistance of single silicon pillars will be presented which is done in a scanning electron microscope (SEM) equipped with nanomanipulators. Furthermore, measurement of thermal conductivity of single pillars with different diameters using the 3ω method will be shown.

  17. The experiential health information processing model: supporting collaborative web-based patient education.

    Science.gov (United States)

    O'Grady, Laura A; Witteman, Holly; Wathen, C Nadine

    2008-12-16

    First generation Internet technologies such as mailing lists or newsgroups afforded unprecedented levels of information exchange within a variety of interest groups, including those who seek health information. With emergence of the World Wide Web many communication applications were ported to web browsers. One of the driving factors in this phenomenon has been the exchange of experiential or anecdotal knowledge that patients share online, and there is emerging evidence that participation in these forums may be having an impact on people's health decision making. Theoretical frameworks supporting this form of information seeking and learning have yet to be proposed. In this article, we propose an adaptation of Kolb's experiential learning theory to begin to formulate an experiential health information processing model that may contribute to our understanding of online health information seeking behaviour in this context. An experiential health information processing model is proposed that can be used as a research framework. Future research directions include investigating the utility of this model in the online health information seeking context, studying the impact of collaborating in these online environments on patient decision making and on health outcomes are provided.

  18. The experiential health information processing model: supporting collaborative web-based patient education

    Science.gov (United States)

    O'Grady, Laura A; Witteman, Holly; Wathen, C Nadine

    2008-01-01

    Background First generation Internet technologies such as mailing lists or newsgroups afforded unprecedented levels of information exchange within a variety of interest groups, including those who seek health information. With emergence of the World Wide Web many communication applications were ported to web browsers. One of the driving factors in this phenomenon has been the exchange of experiential or anecdotal knowledge that patients share online, and there is emerging evidence that participation in these forums may be having an impact on people's health decision making. Theoretical frameworks supporting this form of information seeking and learning have yet to be proposed. Results In this article, we propose an adaptation of Kolb's experiential learning theory to begin to formulate an experiential health information processing model that may contribute to our understanding of online health information seeking behaviour in this context. Conclusion An experiential health information processing model is proposed that can be used as a research framework. Future research directions include investigating the utility of this model in the online health information seeking context, studying the impact of collaborating in these online environments on patient decision making and on health outcomes are provided. PMID:19087353

  19. The experiential health information processing model: supporting collaborative web-based patient education

    Directory of Open Access Journals (Sweden)

    Wathen C Nadine

    2008-12-01

    Full Text Available Abstract Background First generation Internet technologies such as mailing lists or newsgroups afforded unprecedented levels of information exchange within a variety of interest groups, including those who seek health information. With emergence of the World Wide Web many communication applications were ported to web browsers. One of the driving factors in this phenomenon has been the exchange of experiential or anecdotal knowledge that patients share online, and there is emerging evidence that participation in these forums may be having an impact on people's health decision making. Theoretical frameworks supporting this form of information seeking and learning have yet to be proposed. Results In this article, we propose an adaptation of Kolb's experiential learning theory to begin to formulate an experiential health information processing model that may contribute to our understanding of online health information seeking behaviour in this context. Conclusion An experiential health information processing model is proposed that can be used as a research framework. Future research directions include investigating the utility of this model in the online health information seeking context, studying the impact of collaborating in these online environments on patient decision making and on health outcomes are provided.

  20. Al transmon qubits on silicon-on-insulator for quantum device integration

    Science.gov (United States)

    Keller, Andrew J.; Dieterle, Paul B.; Fang, Michael; Berger, Brett; Fink, Johannes M.; Painter, Oskar

    2017-07-01

    We present the fabrication and characterization of an aluminum transmon qubit on a silicon-on-insulator substrate. Key to the qubit fabrication is the use of an anhydrous hydrofluoric vapor process which selectively removes the lossy silicon oxide buried underneath the silicon device layer. For a 5.6 GHz qubit measured dispersively by a 7.1 GHz resonator, we find T1 = 3.5 μs and T2* = 2.2 μs. This process in principle permits the co-fabrication of silicon photonic and mechanical elements, providing a route towards chip-scale integration of electro-opto-mechanical transducers for quantum networking of superconducting microwave quantum circuits. The additional processing steps are compatible with established fabrication techniques for aluminum transmon qubits on silicon.

  1. Web services-based text-mining demonstrates broad impacts for interoperability and process simplification.

    Science.gov (United States)

    Wiegers, Thomas C; Davis, Allan Peter; Mattingly, Carolyn J

    2014-01-01

    The Critical Assessment of Information Extraction systems in Biology (BioCreAtIvE) challenge evaluation tasks collectively represent a community-wide effort to evaluate a variety of text-mining and information extraction systems applied to the biological domain. The BioCreative IV Workshop included five independent subject areas, including Track 3, which focused on named-entity recognition (NER) for the Comparative Toxicogenomics Database (CTD; http://ctdbase.org). Previously, CTD had organized document ranking and NER-related tasks for the BioCreative Workshop 2012; a key finding of that effort was that interoperability and integration complexity were major impediments to the direct application of the systems to CTD's text-mining pipeline. This underscored a prevailing problem with software integration efforts. Major interoperability-related issues included lack of process modularity, operating system incompatibility, tool configuration complexity and lack of standardization of high-level inter-process communications. One approach to potentially mitigate interoperability and general integration issues is the use of Web services to abstract implementation details; rather than integrating NER tools directly, HTTP-based calls from CTD's asynchronous, batch-oriented text-mining pipeline could be made to remote NER Web services for recognition of specific biological terms using BioC (an emerging family of XML formats) for inter-process communications. To test this concept, participating groups developed Representational State Transfer /BioC-compliant Web services tailored to CTD's NER requirements. Participants were provided with a comprehensive set of training materials. CTD evaluated results obtained from the remote Web service-based URLs against a test data set of 510 manually curated scientific articles. Twelve groups participated in the challenge. Recall, precision, balanced F-scores and response times were calculated. Top balanced F-scores for gene, chemical and

  2. Efficiency measurements for 3D silicon strip detectors

    Energy Technology Data Exchange (ETDEWEB)

    Parzefall, Ulrich, E-mail: ulrich.parzefall@physik.uni-freiburg.d [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder-Str. 3, D-79104 Freiburg (Germany); Dalla Betta, Gian-Franco [INFN Trento and Universita di Trento, via Sommarive 14, 38050 Povo di Trento (Italy); Boscardin, Maurizio [FBK-irst, Center for Materials and Microsystems, via Sommarive 18, 38050 Povo di Trento (Italy); Eckert, Simon [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder-Str. 3, D-79104 Freiburg (Germany); Eklund, Lars; Fleta, Celeste [University of Glasgow, Department of Physics and Astronomy, Glasgow G12 8QQ (United Kingdom); Jakobs, Karl; Koehler, Michael; Kuehn, Susanne; Pahn, Gregor [Physikalisches Institut, Universitaet Freiburg, Hermann-Herder-Str. 3, D-79104 Freiburg (Germany); Parkes, Chris; Pennicard, David [University of Glasgow, Department of Physics and Astronomy, Glasgow G12 8QQ (United Kingdom); Ronchin, Sabina [FBK-irst, Center for Materials and Microsystems, via Sommarive 18, 38050 Povo di Trento (Italy); Zoboli, Andrea [INFN Trento and Universita di Trento, via Sommarive 14, 38050 Povo di Trento (Italy); Zorzi, Nicola [FBK-irst, Center for Materials and Microsystems, via Sommarive 18, 38050 Povo di Trento (Italy)

    2010-11-01

    Silicon strip detectors are widely used as part of the inner tracking layers in particle physics experiments. For applications at the luminosity upgrade of the Large Hadron Collider (LHC), the sLHC, silicon detectors with extreme radiation hardness are required. The 3D detector design, where electrodes are processed from underneath the strips into the silicon bulk material, provides a way to enhance the radiation tolerance of standard planar silicon strip detectors. Detectors with several innovative 3D designs that constitute a simpler and more cost-effective processing than the 3D design initially proposed were connected to read-out electronics from LHC experiments and subsequently tested. Results on the amount of charge collected, the noise and the uniformity of charge collection are given.

  3. Design and Fabrication of Silicon-on-Silicon-Carbide Substrates and Power Devices for Space Applications

    Directory of Open Access Journals (Sweden)

    Gammon P.M.

    2017-01-01

    Full Text Available A new generation of power electronic semiconductor devices are being developed for the benefit of space and terrestrial harsh-environment applications. 200-600 V lateral transistors and diodes are being fabricated in a thin layer of silicon (Si wafer bonded to silicon carbide (SiC. This novel silicon-on-silicon-carbide (Si/SiC substrate solution promises to combine the benefits of silicon-on-insulator (SOI technology (i.e device confinement, radiation tolerance, high and low temperature performance with that of SiC (i.e. high thermal conductivity, radiation hardness, high temperature performance. Details of a process are given that produces thin films of silicon 1, 2 and 5 μm thick on semi-insulating 4H-SiC. Simulations of the hybrid Si/SiC substrate show that the high thermal conductivity of the SiC offers a junction-to-case temperature ca. 4× less that an equivalent SOI device; reducing the effects of self-heating, and allowing much greater power density. Extensive electrical simulations are used to optimise a 600 V laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOSFET implemented entirely within the silicon thin film, and highlight the differences between Si/SiC and SOI solutions.

  4. Development and process evaluation of a Web-based responsible beverage service training program.

    Science.gov (United States)

    Danaher, Brian G; Dresser, Jack; Shaw, Tracy; Severson, Herbert H; Tyler, Milagra S; Maxwell, Elisabeth D; Christiansen, Steve M

    2012-09-22

    Responsible beverage service (RBS) training designed to improve the appropriate service of alcohol in commercial establishments is typically delivered in workshops. Recently, Web-based RBS training programs have emerged. This report describes the formative development and subsequent design of an innovative Web-delivered RBS program, and evaluation of the impact of the program on servers' knowledge, attitudes, and self-efficacy. Formative procedures using focus groups and usability testing were used to develop a Web-based RBS training program. Professional alcohol servers (N = 112) who worked as servers and/or mangers in alcohol service settings were recruited to participate. A pre-post assessment design was used to assess changes associated with using the program. Participants who used the program showed significant improvements in their RBS knowledge, attitudes, and self-efficacy. Although the current study did not directly observe and determine impact of the intervention on server behaviors, it demonstrated that the development process incorporating input from a multidisciplinary team in conjunction with feedback from end-users resulted in creation of a Web-based RBS program that was well-received by servers and that changed relevant knowledge, attitudes, and self-efficacy. The results also help to establish a needed evidence base in support of the use of online RBS training, which has been afforded little research attention.

  5. Vacuum-plasma-sprayed silicon coatings

    International Nuclear Information System (INIS)

    Varacalle, D.J. Jr.; Herman, H.; Bancke, G.A.; Burchell, T.D.; Romanoski, G.R.

    1991-01-01

    Vacuum plasma spraying produces well-bonded dense stress-free coatings for a variety of materials on a wide range of substrates. The process is used in many industries for the excellent wear, corrosion resistance and high temperature behavior of the fabricated coatings. In this study, silicon metal was deposited on graphite to study the feasibility of preventing corrosion and oxidation of graphite components for nuclear reactors. Operating parameters were varied in a Taguchi design of experiments to display the range of the plasma processing conditions and their effect on the measured coating characteristics. The coating attributes evaluated were thickness, porosity, microhardness and phase content. This paper discusses the influence of the processing parameters on as-sprayed coating qualities. The paper also discusses the effect of thermal cycling on silicon samples in an inert helium atmosphere. The diffraction spectrum for a sample that experienced a 1600degC temperature cycle indicated that more than 99% of the coating transformed to β-SiC. The silicon coatings protected the graphite substrates from oxidation in one experiment. (orig.)

  6. Overlay accuracy on a flexible web with a roll printing process based on a roll-to-roll system.

    Science.gov (United States)

    Chang, Jaehyuk; Lee, Sunggun; Lee, Ki Beom; Lee, Seungjun; Cho, Young Tae; Seo, Jungwoo; Lee, Sukwon; Jo, Gugrae; Lee, Ki-yong; Kong, Hyang-Shik; Kwon, Sin

    2015-05-01

    For high-quality flexible devices from printing processes based on Roll-to-Roll (R2R) systems, overlay alignment during the patterning of each functional layer poses a major challenge. The reason is because flexible substrates have a relatively low stiffness compared with rigid substrates, and they are easily deformed during web handling in the R2R system. To achieve a high overlay accuracy for a flexible substrate, it is important not only to develop web handling modules (such as web guiding, tension control, winding, and unwinding) and a precise printing tool but also to control the synchronization of each unit in the total system. A R2R web handling system and reverse offset printing process were developed in this work, and an overlay between the 1st and 2nd layers of ±5μm on a 500 mm-wide film was achieved at a σ level of 2.4 and 2.8 (x and y directions, respectively) in a continuous R2R printing process. This paper presents the components and mechanisms used in reverse offset printing based on a R2R system and the printing results including positioning accuracy and overlay alignment accuracy.

  7. Building an Elastic Parallel OGC Web Processing Service on a Cloud-Based Cluster: A Case Study of Remote Sensing Data Processing Service

    Directory of Open Access Journals (Sweden)

    Xicheng Tan

    2015-10-01

    Full Text Available Since the Open Geospatial Consortium (OGC proposed the geospatial Web Processing Service (WPS, standard OGC Web Service (OWS-based geospatial processing has become the major type of distributed geospatial application. However, improving the performance and sustainability of the distributed geospatial applications has become the dominant challenge for OWSs. This paper presents the construction of an elastic parallel OGC WPS service on a cloud-based cluster and the designs of a high-performance, cloud-based WPS service architecture, the scalability scheme of the cloud, and the algorithm of the elastic parallel geoprocessing. Experiments of the remote sensing data processing service demonstrate that our proposed method can provide a higher-performance WPS service that uses less computing resources. Our proposed method can also help institutions reduce hardware costs, raise the rate of hardware usage, and conserve energy, which is important in building green and sustainable geospatial services or applications.

  8. Process evaluation of a web-based intervention aimed at empowerment of disability benefit claimants

    NARCIS (Netherlands)

    Samoocha, D.; Snels, I.A.K.; Bruinvels, D.J.; Anema, J.R.; Kowalczyk, W.J.; van der Beek, A.J.

    2011-01-01

    Background: The objective of this process evaluation study was to gain insight into the reach, compliance, appreciation, usage barriers, and users' perceived effectiveness of a web-based intervention http://www.wiagesprek.nl. This intervention was aimed at empowerment of disability claimants, prior

  9. Process evaluation of a web-based intervention aimed at empowerment of disability benefit claimants

    NARCIS (Netherlands)

    Samoocha, David; Snels, Ingrid A. K.; Bruinvels, David J.; Anema, Johannes R.; Kowalczyk, Wojtek; van der Beek, Allard J.

    2011-01-01

    The objective of this process evaluation study was to gain insight into the reach, compliance, appreciation, usage barriers, and users' perceived effectiveness of a web-based intervention http://www.wiagesprek.nl. This intervention was aimed at empowerment of disability claimants, prior to the

  10. Production of Solar Grade (SoG) Silicon by Refining Liquid Metallurgical Grade (MG) Silicon: Final Report, 19 April 2001; FINAL

    International Nuclear Information System (INIS)

    Khattack, C. P.; Joyce, D. B.; Schmid, F.

    2001-01-01

    This report summarizes the results of the developed technology for producing SoG silicon by upgrading MG silicon with a cost goal of$20/kg in large-scale production. A Heat Exchanger Method (HEM) furnace originally designed to produce multicrystalline ingots was modified to refine molten MG silicon feedstock prior to directional solidification. Based on theoretical calculations, simple processing techniques, such as gas blowing through the melt, reaction with moisture, and slagging have been used to remove B from molten MG silicon. The charge size was scaled up from 1 kg to 300 kg in incremental steps and effective refining was achieved. After the refining parameters were established, improvements to increase the impurity reduction rates were emphasized. With this approach, 50 kg of commercially available as-received MG silicon was processed for a refining time of about 13 hours. A half life of and lt;2 hours was achieved, and the B concentration was reduced to 0.3 ppma and P concentration to 10 ppma from the original values of 20 to 60 ppma, and all other impurities to and lt;0.1 ppma. Achieving and lt;1 ppma B by this simple refining technique is a breakthrough towards the goal of achieving low-cost SoG silicon for PV applications. While the P reduction process was being optimized, the successful B reduction process was applied to a category of electronics industry silicon scrap previously unacceptable for PV feedstock use because of its high B content (50-400 ppma). This material after refining showed that its B content was reduced by several orders of magnitude, to(approx)1 ppma (0.4 ohm-cm, or about 5x1016 cm-3). NREL's Silicon Materials Research team grew and wafered small and lt;100 and gt; dislocation-free Czochralski (Cz) crystals from the new feedstock material for diagnostic tests of electrical properties, C and O impurity levels, and PV performance relative to similar crystals grown from EG feedstock and commercial Cz wafers. The PV conversion

  11. BOWS (bioinformatics open web services) to centralize bioinformatics tools in web services.

    Science.gov (United States)

    Velloso, Henrique; Vialle, Ricardo A; Ortega, J Miguel

    2015-06-02

    Bioinformaticians face a range of difficulties to get locally-installed tools running and producing results; they would greatly benefit from a system that could centralize most of the tools, using an easy interface for input and output. Web services, due to their universal nature and widely known interface, constitute a very good option to achieve this goal. Bioinformatics open web services (BOWS) is a system based on generic web services produced to allow programmatic access to applications running on high-performance computing (HPC) clusters. BOWS intermediates the access to registered tools by providing front-end and back-end web services. Programmers can install applications in HPC clusters in any programming language and use the back-end service to check for new jobs and their parameters, and then to send the results to BOWS. Programs running in simple computers consume the BOWS front-end service to submit new processes and read results. BOWS compiles Java clients, which encapsulate the front-end web service requisitions, and automatically creates a web page that disposes the registered applications and clients. Bioinformatics open web services registered applications can be accessed from virtually any programming language through web services, or using standard java clients. The back-end can run in HPC clusters, allowing bioinformaticians to remotely run high-processing demand applications directly from their machines.

  12. Preparation of silicon carbide nanowires via a rapid heating process

    International Nuclear Information System (INIS)

    Li Xintong; Chen Xiaohong; Song Huaihe

    2011-01-01

    Silicon carbide (SiC) nanowires were fabricated in a large quantity by a rapid heating carbothermal reduction of a novel resorcinol-formaldehyde (RF)/SiO 2 hybrid aerogel in this study. SiC nanowires were grown at 1500 deg. C for 2 h in an argon atmosphere without any catalyst via vapor-solid (V-S) process. The β-SiC nanowires were characterized by field-emission scanning electron microscope (FE-SEM), X-ray diffraction (XRD), transmission electron microscope (TEM), high-resolution transmission electron microscope (HRTEM) equipped with energy dispersive X-ray (EDX) facility, Fourier transformed infrared spectroscopy (FTIR), and thermogravimetric analysis (TGA). The analysis results show that the aspect ratio of the SiC nanowires via the rapid heating process is much larger than that of the sample produced via gradual heating process. The SiC nanowires are single crystalline β-SiC phase with diameters of about 20-80 nm and lengths of about several tens of micrometers, growing along the [1 1 1] direction with a fringe spacing of 0.25 nm. The role of the interpenetrating network of RF/SiO 2 hybrid aerogel in the carbothermal reduction was discussed and the possible growth mechanism of the nanowires is analyzed.

  13. Work of the Web Weavers: Web Development in Academic Libraries

    Science.gov (United States)

    Bundza, Maira; Vander Meer, Patricia Fravel; Perez-Stable, Maria A.

    2009-01-01

    Although the library's Web site has become a standard tool for seeking information and conducting research in academic institutions, there are a variety of ways libraries approach the often challenging--and sometimes daunting--process of Web site development and maintenance. Three librarians at Western Michigan University explored issues related…

  14. Solar cells with gallium phosphide/silicon heterojunction

    Science.gov (United States)

    Darnon, Maxime; Varache, Renaud; Descazeaux, Médéric; Quinci, Thomas; Martin, Mickaël; Baron, Thierry; Muñoz, Delfina

    2015-09-01

    One of the limitations of current amorphous silicon/crystalline silicon heterojunction solar cells is electrical and optical losses in the front transparent conductive oxide and amorphous silicon layers that limit the short circuit current. We propose to grow a thin (5 to 20 nm) crystalline Gallium Phosphide (GaP) by epitaxy on silicon to form a more transparent and more conducting emitter in place of the front amorphous silicon layers. We show that a transparent conducting oxide (TCO) is still necessary to laterally collect the current with thin GaP emitter. Larger contact resistance of GaP/TCO increases the series resistance compared to amorphous silicon. With the current process, losses in the IR region associated with silicon degradation during the surface preparation preceding GaP deposition counterbalance the gain from the UV region. A first cell efficiency of 9% has been obtained on ˜5×5 cm2 polished samples.

  15. Plasma deposition of microcrystalline silicon solar cells. Looking beyond the glass

    Energy Technology Data Exchange (ETDEWEB)

    Donker, M.N. van den

    2006-07-01

    Microcrystalline silicon emerged in the past decade as highly interesting material for application in efficient and stable thin film silicon solar cells. It consists of nanometer-sized crystallites embedded in a micrometer-sized columnar structure, which gradually evolves during the SiH{sub 4} based deposition process starting from an amorphous incubation layer. Understanding of and control over this transient and multi-scale growth process is essential in the route towards low-cost microcrystalline silicon solar cells. This thesis presents an experimental study on the technologically relevant high rate (5-10 Aa s{sup -1}) parallel plate plasma deposition process of state-of-the-art microcrystalline silicon solar cells. The objective of the work was to explore and understand the physical limits of the plasma deposition process as well as to develop diagnostics suitable for process control in eventual solar cell production. Among the developed non-invasive process diagnostics were a pyrometer, an optical spectrometer, a mass spectrometer and a voltage probe. Complete thin film silicon solar cells and modules were deposited and characterized. (orig.)

  16. Silicon Quantum Dots for Quantum Information Processing

    Science.gov (United States)

    2013-11-01

    S. Lai, C. Tahan, A. Morello and A. S. Dzurak, Electron Spin lifetimes in multi-valley sil- icon quantum dots, S3NANO Winter School Few spin solid...lifetimes in multi-valley sil- icon quantum dots, International Workshop on Silicon Quantum Electronics, Grenoble, France, February 2012 (Poster). C...typically plunger gates), PMMA A5 is spun at 5000 rpm for 30 seconds, resulting in a 280 nm resist thickness. The resists are baked for 90 seconds at 180

  17. Current-voltage characteristics of porous-silicon structures

    International Nuclear Information System (INIS)

    Diligenti, A.; Nannini, A.; Pennelli, G.; Pieri, F.; Fuso, F.; Allegrini, M.

    1996-01-01

    I-V DC characteristics have been measured on metal/porous-silicon structures. In particular, the measurements on metal/free-standing porous-silicon film/metal devices confirmed the result, already obtained, that the metal/porous-silicon interface plays a crucial role in the transport of any device. Four-contacts measurements on free-standing layers showed that the current linearly depends on the voltage and that the conduction process is thermally activated, the activation energy depending on the porous silicon film production parameters. Finally, annealing experiments performed in order to improve the conduction of rectifying contacts, are described

  18. Second-harmonic generation in substoichiometric silicon nitride layers

    Science.gov (United States)

    Pecora, Emanuele; Capretti, Antonio; Miano, Giovanni; Dal Negro, Luca

    2013-03-01

    Harmonic generation in optical circuits offers the possibility to integrate wavelength converters, light amplifiers, lasers, and multiple optical signal processing devices with electronic components. Bulk silicon has a negligible second-order nonlinear optical susceptibility owing to its crystal centrosymmetry. Silicon nitride has its place in the microelectronic industry as an insulator and chemical barrier. In this work, we propose to take advantage of silicon excess in silicon nitride to increase the Second Harmonic Generation (SHG) efficiency. Thin films have been grown by reactive magnetron sputtering and their nonlinear optical properties have been studied by femtosecond pumping over a wide range of excitation wavelengths, silicon nitride stoichiometry and thermal processes. We demonstrate SHG in the visible range (375 - 450 nm) using a tunable 150 fs Ti:sapphire laser, and we optimize the SH emission at a silicon excess of 46 at.% demonstrating a maximum SHG efficiency of 4x10-6 in optimized films. Polarization properties, generation efficiency, and the second order nonlinear optical susceptibility are measured for all the investigated samples and discussed in terms of an effective theoretical model. Our findings show that the large nonlinear optical response demonstrated in optimized Si-rich silicon nitride materials can be utilized for the engineering of nonlinear optical functions and devices on a Si chip.

  19. Rapid Prototyping of Nanofluidic Slits in a Silicone Bilayer

    Science.gov (United States)

    Kole, Thomas P.; Liao, Kuo-Tang; Schiffels, Daniel; Ilic, B. Robert; Strychalski, Elizabeth A.; Kralj, Jason G.; Liddle, J. Alexander; Dritschilo, Anatoly; Stavis, Samuel M.

    2015-01-01

    This article reports a process for rapidly prototyping nanofluidic devices, particularly those comprising slits with microscale widths and nanoscale depths, in silicone. This process consists of designing a nanofluidic device, fabricating a photomask, fabricating a device mold in epoxy photoresist, molding a device in silicone, cutting and punching a molded silicone device, bonding a silicone device to a glass substrate, and filling the device with aqueous solution. By using a bilayer of hard and soft silicone, we have formed and filled nanofluidic slits with depths of less than 400 nm and aspect ratios of width to depth exceeding 250 without collapse of the slits. An important attribute of this article is that the description of this rapid prototyping process is very comprehensive, presenting context and details which are highly relevant to the rational implementation and reliable repetition of the process. Moreover, this process makes use of equipment commonly found in nanofabrication facilities and research laboratories, facilitating the broad adaptation and application of the process. Therefore, while this article specifically informs users of the Center for Nanoscale Science and Technology (CNST) at the National Institute of Standards and Technology (NIST), we anticipate that this information will be generally useful for the nanofabrication and nanofluidics research communities at large, and particularly useful for neophyte nanofabricators and nanofluidicists. PMID:26958449

  20. HRTEM analysis of the nanostructure of porous silicon

    International Nuclear Information System (INIS)

    Martin-Palma, R.J.; Pascual, L.; Landa-Canovas, A.R.; Herrero, P.; Martinez-Duart, J.M.

    2006-01-01

    The nanometric structure of porous silicon makes this material to be very suitable for its use in many different fields, including optoelectronics and biological applications. In the present work, the structure of porous silicon was investigated in detail by means of cross-sectional high-resolution transmission electron microscopy and digital image processing, together with electron energy loss spectroscopy. The structure of the Si/porous silicon interface and that of the silicon nanocrystals that compose porous silicon have been analyzed in detail. A strong strain contrast in the Si/porous silicon interface caused by high stresses was observed. Accordingly, dislocation pairs are found to be a possible mechanism of lattice matching between porous silicon and the Si substrate. Finally, high relative concentration of oxygen in the porous silicon layer was observed, together with low relative electron concentration in the conduction band when compared to Si

  1. Processing development for ceramic structural components: the influence of a presintering of silicon on the final properties of reaction bonded silicon nitride. Final technical report

    Energy Technology Data Exchange (ETDEWEB)

    1982-03-01

    The influence of a presintering of silicon on the final properties of reaction bonded silicon nitride has been studied using scanning electron and optical microscopy, x-ray diffraction analysis, 4 pt. bend test, and mecury intrusion porosimetry. It has been shown that presintering at 1050/sup 0/C will not affect the final nitrided properties. At 1200/sup 0/C, the oxide layer is removed, promoting the formation of B-phase silicon nitride. Presintering at 1200/sup 0/C also results in compact weight loss due to the volatilization of silicon, and the formation of large pores which severely reduce nitrided strength. The development of the structure of sintered silicon compacts appears to involve a temperature gradient, with greater sintering observed near the surface.

  2. Towards automated processing of the right of access in inter-organizational Web Service compositions

    DEFF Research Database (Denmark)

    Herkenhöner, Ralph; De Meer, Hermann; Jensen, Meiko

    2010-01-01

    with trade secret protection. In this paper, we present an automated architecture to enable exercising the right of access in the domain of inter-organizational business processes based on Web Services technology. Deriving its requirements from the legal, economical, and technical obligations, we show...

  3. Fabrication of functional structures on thin silicon nitride membranes

    NARCIS (Netherlands)

    Ekkels, P.; Tjerkstra, R.W.; Krijnen, Gijsbertus J.M.; Berenschot, Johan W.; Brugger, J.P.; Elwenspoek, Michael Curt

    A process to fabricate functional polysilicon structures above large (4×4 mm2) thin (200 nm), very flat LPCVD silicon rich nitride membranes was developed. Key features of this fabrication process are the use of low-stress LPCVD silicon nitride, sacrificial layer etching, and minimization of

  4. 3D characterisation of tool wear whilst diamond turning silicon

    OpenAIRE

    Durazo-Cardenas, Isidro Sergio; Shore, Paul; Luo, X.; Jacklin, T.; Impey, S. A.; Cox, A.

    2006-01-01

    Nanometrically smooth infrared silicon optics can be manufactured by the diamond turning process. Due to its relatively low density, silicon is an ideal optical material for weight sensitive infrared (IR) applications. However, rapid diamond tool edge degradation and the effect on the achieved surface have prevented significant exploitation. With the aim of developing a process model to optimise the diamond turning of silicon optics, a series of experimental trials were devi...

  5. An Efficient Approach for Web Indexing of Big Data through Hyperlinks in Web Crawling

    Science.gov (United States)

    Devi, R. Suganya; Manjula, D.; Siddharth, R. K.

    2015-01-01

    Web Crawling has acquired tremendous significance in recent times and it is aptly associated with the substantial development of the World Wide Web. Web Search Engines face new challenges due to the availability of vast amounts of web documents, thus making the retrieved results less applicable to the analysers. However, recently, Web Crawling solely focuses on obtaining the links of the corresponding documents. Today, there exist various algorithms and software which are used to crawl links from the web which has to be further processed for future use, thereby increasing the overload of the analyser. This paper concentrates on crawling the links and retrieving all information associated with them to facilitate easy processing for other uses. In this paper, firstly the links are crawled from the specified uniform resource locator (URL) using a modified version of Depth First Search Algorithm which allows for complete hierarchical scanning of corresponding web links. The links are then accessed via the source code and its metadata such as title, keywords, and description are extracted. This content is very essential for any type of analyser work to be carried on the Big Data obtained as a result of Web Crawling. PMID:26137592

  6. Advanced Techniques in Web Intelligence-2 Web User Browsing Behaviour and Preference Analysis

    CERN Document Server

    Palade, Vasile; Jain, Lakhmi

    2013-01-01

    This research volume focuses on analyzing the web user browsing behaviour and preferences in traditional web-based environments, social  networks and web 2.0 applications,  by using advanced  techniques in data acquisition, data processing, pattern extraction and  cognitive science for modeling the human actions.  The book is directed to  graduate students, researchers/scientists and engineers  interested in updating their knowledge with the recent trends in web user analysis, for developing the next generation of web-based systems and applications.

  7. Environmentally benign silicon solar cell manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Tsuo, Y.S. [National Renewable Energy Lab., Golden, CO (United States); Gee, J.M. [Sandia National Labs., Albuquerque, NM (United States); Menna, P. [National Agency for New Technologies Energy and Environment, Portici (Italy); Strebkov, D.S.; Pinov, A.; Zadde, V. [Intersolarcenter, Moscow (Russian Federation)

    1998-09-01

    The manufacturing of silicon devices--from polysilicon production, crystal growth, ingot slicing, wafer cleaning, device processing, to encapsulation--requires many steps that are energy intensive and use large amounts of water and toxic chemicals. In the past two years, the silicon integrated-circuit (IC) industry has initiated several programs to promote environmentally benign manufacturing, i.e., manufacturing practices that recover, recycle, and reuse materials resources with a minimal consumption of energy. Crystalline-silicon solar photovoltaic (PV) modules, which accounted for 87% of the worldwide module shipments in 1997, are large-area devices with many manufacturing steps similar to those used in the IC industry. Obviously, there are significant opportunities for the PV industry to implement more environmentally benign manufacturing approaches. Such approaches often have the potential for significant cost reduction by reducing energy use and/or the purchase volume of new chemicals and by cutting the amount of used chemicals that must be discarded. This paper will review recent accomplishments of the IC industry initiatives and discuss new processes for environmentally benign silicon solar-cell manufacturing.

  8. Photonic integration and photonics-electronics convergence on silicon platform

    CERN Document Server

    Liu, Jifeng; Baba, Toshihiko; Vivien, Laurent; Xu, Dan-Xia

    2015-01-01

    Silicon photonics technology, which has the DNA of silicon electronics technology, promises to provide a compact photonic integration platform with high integration density, mass-producibility, and excellent cost performance. This technology has been used to develop and to integrate various photonic functions on silicon substrate. Moreover, photonics-electronics convergence based on silicon substrate is now being pursued. Thanks to these features, silicon photonics will have the potential to be a superior technology used in the construction of energy-efficient cost-effective apparatuses for various applications, such as communications, information processing, and sensing. Considering the material characteristics of silicon and difficulties in microfabrication technology, however, silicon by itself is not necessarily an ideal material. For example, silicon is not suitable for light emitting devices because it is an indirect transition material. The resolution and dynamic range of silicon-based interference de...

  9. Quantum conductance in silicon quantum wires

    CERN Document Server

    Bagraev, N T; Klyachkin, L E; Malyarenko, A M; Gehlhoff, W; Ivanov, V K; Shelykh, I A

    2002-01-01

    The results of investigations of electron and hole quantum conductance staircase in silicon quantum wires are presented. The characteristics of self-ordering quantum wells of n- and p-types, which from on the silicon (100) surface in the nonequilibrium boron diffusion process, are analyzed. The results of investigations of the quantum conductance as the function of temperature, carrier concentration and modulation degree of silicon quantum wires are given. It is found out, that the quantum conductance of the one-dimensional channels is observed, for the first time, at an elevated temperature (T >= 77 K)

  10. Discovering Decision Knowledge from Web Log Portfolio for Managing Classroom Processes by Applying Decision Tree and Data Cube Technology.

    Science.gov (United States)

    Chen, Gwo-Dong; Liu, Chen-Chung; Ou, Kuo-Liang; Liu, Baw-Jhiune

    2000-01-01

    Discusses the use of Web logs to record student behavior that can assist teachers in assessing performance and making curriculum decisions for distance learning students who are using Web-based learning systems. Adopts decision tree and data cube information processing methodologies for developing more effective pedagogical strategies. (LRW)

  11. Web Based Database Processing for Turkish Navy Officers in USA

    National Research Council Canada - National Science Library

    Ozkan, Gokhan

    2002-01-01

    ...) and details the supporting web server and database server choices, It then presents a prototype of a web-based database system to speed and simplify tracking of academic and personal information...

  12. A method of demand-driven and data-centric Web service configuration for flexible business process implementation

    Science.gov (United States)

    Xu, Boyi; Xu, Li Da; Fei, Xiang; Jiang, Lihong; Cai, Hongming; Wang, Shuai

    2017-08-01

    Facing the rapidly changing business environments, implementation of flexible business process is crucial, but difficult especially in data-intensive application areas. This study aims to provide scalable and easily accessible information resources to leverage business process management. In this article, with a resource-oriented approach, enterprise data resources are represented as data-centric Web services, grouped on-demand of business requirement and configured dynamically to adapt to changing business processes. First, a configurable architecture CIRPA involving information resource pool is proposed to act as a scalable and dynamic platform to virtualise enterprise information resources as data-centric Web services. By exposing data-centric resources as REST services in larger granularities, tenant-isolated information resources could be accessed in business process execution. Second, dynamic information resource pool is designed to fulfil configurable and on-demand data accessing in business process execution. CIRPA also isolates transaction data from business process while supporting diverse business processes composition. Finally, a case study of using our method in logistics application shows that CIRPA provides an enhanced performance both in static service encapsulation and dynamic service execution in cloud computing environment.

  13. SiNTO EWT silicon solar cells

    OpenAIRE

    Fallisch, A.; Keding, R.; Kästner, G.; Bartsch, J.; Werner, S.; Stüwe, D.; Specht, J.; Preu, R.; Biro, D.

    2010-01-01

    In this work we combine the SiNTO cell process with the EWT cell concept. All masking steps are performed by inkjet printing technology. The via-holes and laser-fired contacts are created by high-speed laser drilling. A new polishing process, which is suitable for inkjet masking, to pattern the interdigitated grid on the rear side is developed. For passivation purposes a thermal silicon oxide is used for the rear surface and a silicon nitride antireflection coating for the front surface. An e...

  14. Silicone metalization

    Energy Technology Data Exchange (ETDEWEB)

    Maghribi, Mariam N. (Livermore, CA); Krulevitch, Peter (Pleasanton, CA); Hamilton, Julie (Tracy, CA)

    2008-12-09

    A system for providing metal features on silicone comprising providing a silicone layer on a matrix and providing a metal layer on the silicone layer. An electronic apparatus can be produced by the system. The electronic apparatus comprises a silicone body and metal features on the silicone body that provide an electronic device.

  15. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  16. Charge transfer processes in hybrid solar cells composed of amorphous silicon and organic materials

    Energy Technology Data Exchange (ETDEWEB)

    Schaefer, Sebastian; Neher, Dieter [Universitaet Potsdam, Inst. Physik u. Astronomie, Karl-Liebknecht-Strasse 24/25, 14467 Potsdam-Golm (Germany); Schulze, Tim; Korte, Lars [Helmholtz Zentrum Berlin, Inst. fuer Silizium Photovoltaik, Kekulestrasse 5, 12489 Berlin (Germany)

    2011-07-01

    The efficiency of hybrid solar cells composed of organic materials and amorphous hydrogenated silicon (a-Si:H) strongly depends upon the efficiency of charge transfer processes at the inorganic-organic interface. We investigated the performance of devices comprising an ITO/a-Si:H(n-type)/a-Si:H(intrinsic)/organic/metal multilayer structure and using two different organic components: zinc phthalocyanine (ZnPc) and poly(3-hexylthiophene) (P3HT). The results show higher power conversion- and quantum efficiencies for the P3HT based cells, compared to ZnPc. This can be explained by larger energy-level offset at the interface between the organic layer and a-Si:H, which facilitates hole transfer from occupied states in the valence band tail to the HOMO of the organic material and additionally promotes exciton splitting. The performance of the a-Si:H/P3HT cells can be further improved by treatment of the amorphous silicon surface with hydrofluoric acid (HF) and p-type doping of P3HT with F4TCNQ. The improved cells reached maximum power conversion efficiencies of 1%.

  17. Web corpus construction

    CERN Document Server

    Schafer, Roland

    2013-01-01

    The World Wide Web constitutes the largest existing source of texts written in a great variety of languages. A feasible and sound way of exploiting this data for linguistic research is to compile a static corpus for a given language. There are several adavantages of this approach: (i) Working with such corpora obviates the problems encountered when using Internet search engines in quantitative linguistic research (such as non-transparent ranking algorithms). (ii) Creating a corpus from web data is virtually free. (iii) The size of corpora compiled from the WWW may exceed by several orders of magnitudes the size of language resources offered elsewhere. (iv) The data is locally available to the user, and it can be linguistically post-processed and queried with the tools preferred by her/him. This book addresses the main practical tasks in the creation of web corpora up to giga-token size. Among these tasks are the sampling process (i.e., web crawling) and the usual cleanups including boilerplate removal and rem...

  18. Broadband dielectric spectroscopy of oxidized porous silicon

    International Nuclear Information System (INIS)

    Axelrod, Ekaterina; Urbach, Benayahu; Sa'ar, Amir; Feldman, Yuri

    2006-01-01

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals

  19. Broadband dielectric spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Axelrod, Ekaterina [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Urbach, Benayahu [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Sa' ar, Amir [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Feldman, Yuri [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel)

    2006-04-07

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals.

  20. Web platform using digital image processing and geographic information system tools: a Brazilian case study on dengue.

    Science.gov (United States)

    Brasil, Lourdes M; Gomes, Marília M F; Miosso, Cristiano J; da Silva, Marlete M; Amvame-Nze, Georges D

    2015-07-16

    Dengue fever is endemic in Asia, the Americas, the East of the Mediterranean and the Western Pacific. According to the World Health Organization, it is one of the diseases of greatest impact on health, affecting millions of people each year worldwide. A fast detection of increases in populations of the transmitting vector, the Aedes aegypti mosquito, is essential to avoid dengue outbreaks. Unfortunately, in several countries, such as Brazil, the current methods for detecting populations changes and disseminating this information are too slow to allow efficient allocation of resources to fight outbreaks. To reduce the delay in providing the information regarding A. aegypti population changes, we propose, develop, and evaluate a system for counting the eggs found in special traps and to provide the collected data using a web structure with geographical location resources. One of the most useful tools for the detection and surveillance of arthropods is the ovitrap, a special trap built to collect the mosquito eggs. This allows for an egg counting process, which is still usually performed manually, in countries such as Brazil. We implement and evaluate a novel system for automatically counting the eggs found in the ovitraps' cardboards. The system we propose is based on digital image processing (DIP) techniques, as well as a Web based Semi-Automatic Counting System (SCSA-WEB). All data collected are geographically referenced in a geographic information system (GIS) and made available on a Web platform. The work was developed in Gama's administrative region, in Brasília/Brazil, with the aid of the Environmental Surveillance Directory (DIVAL-Gama) and Brasília's Board of Health (SSDF), in partnership with the University of Brasília (UnB). The system was built based on a field survey carried out during three months and provided by health professionals. These professionals provided 84 cardboards from 84 ovitraps, sized 15 × 5 cm. In developing the system, we conducted

  1. Using silicon nanostructures for the improvement of silicon solar cells' efficiency

    International Nuclear Information System (INIS)

    Torre, J. de la; Bremond, G.; Lemiti, M.; Guillot, G.; Mur, P.; Buffet, N.

    2006-01-01

    Silicon nanostructures (ns-Si) show interesting optical and electrical properties as a result of the band gap widening caused by quantum confinement effects. Along with their potential utilization for silicon-based light emitters' fabrication, they could also represent an appealing option for the improvement of energy conversion efficiency in silicon-based solar cells whether by using their luminescence properties (photon down-conversion) or the excess photocurrent produced by an improved high-energy photon's absorption. In this work, we report on the morphological and optical studies of non-stoichiometric silica (SiO x ) and silicon nitride (SiN x ) layers containing silicon nanostructures (ns-Si) in view of their application for solar cell's efficiency improvement. The morphological studies of the samples performed by transmission electron microscopy (TEM) unambiguously show the presence of ns-Si in a crystalline form for high temperature-annealed SiO x layers and for low temperature deposition of SiN x layers. The photoluminescence emission (PL) shows a rather high efficiency in both kind of layers with an intensity of only a factor ∼ 100 lower than that of porous silicon (pi-Si). The photocurrent spectroscopy (PC) shows a significant increase of absorption at high photon energy excitation most probably related to photon absorption within ns-Si quantized states. Moreover, the absorption characteristics obtained from PC spectra show a good agreement with the PL emission states unambiguously demonstrating a same origin, related to Q-confined excitons within ns-Si. Finally, the major asset of this material is the possibility to incorporate it to solar cells manufacturing processing for an insignificant cost

  2. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  3. Development of a user-friendly system for image processing of electron microscopy by integrating a web browser and PIONE with Eos.

    Science.gov (United States)

    Tsukamoto, Takafumi; Yasunaga, Takuo

    2014-11-01

    Eos (Extensible object-oriented system) is one of the powerful applications for image processing of electron micrographs. In usual cases, Eos works with only character user interfaces (CUI) under the operating systems (OS) such as OS-X or Linux, not user-friendly. Thus, users of Eos need to be expert at image processing of electron micrographs, and have a little knowledge of computer science, as well. However, all the persons who require Eos does not an expert for CUI. Thus we extended Eos to a web system independent of OS with graphical user interfaces (GUI) by integrating web browser.Advantage to use web browser is not only to extend Eos with GUI, but also extend Eos to work under distributed computational environment. Using Ajax (Asynchronous JavaScript and XML) technology, we implemented more comfortable user-interface on web browser. Eos has more than 400 commands related to image processing for electron microscopy, and the usage of each command is different from each other. Since the beginning of development, Eos has managed their user-interface by using the interface definition file of "OptionControlFile" written in CSV (Comma-Separated Value) format, i.e., Each command has "OptionControlFile", which notes information for interface and its usage generation. Developed GUI system called "Zephyr" (Zone for Easy Processing of HYpermedia Resources) also accessed "OptionControlFIle" and produced a web user-interface automatically, because its mechanism is mature and convenient,The basic actions of client side system was implemented properly and can supply auto-generation of web-form, which has functions of execution, image preview, file-uploading to a web server. Thus the system can execute Eos commands with unique options for each commands, and process image analysis. There remain problems of image file format for visualization and workspace for analysis: The image file format information is useful to check whether the input/output file is correct and we also

  4. Implementation of a Web-Based Organ Donation Educational Intervention: Development and Use of a Refined Process Evaluation Model.

    Science.gov (United States)

    Redmond, Nakeva; Harker, Laura; Bamps, Yvan; Flemming, Shauna St Clair; Perryman, Jennie P; Thompson, Nancy J; Patzer, Rachel E; Williams, Nancy S DeSousa; Arriola, Kimberly R Jacob

    2017-11-30

    The lack of available organs is often considered to be the single greatest problem in transplantation today. Internet use is at an all-time high, creating an opportunity to increase public commitment to organ donation through the broad reach of Web-based behavioral interventions. Implementing Internet interventions, however, presents challenges including preventing fraudulent respondents and ensuring intervention uptake. Although Web-based organ donation interventions have increased in recent years, process evaluation models appropriate for Web-based interventions are lacking. The aim of this study was to describe a refined process evaluation model adapted for Web-based settings and used to assess the implementation of a Web-based intervention aimed to increase organ donation among African Americans. We used a randomized pretest-posttest control design to assess the effectiveness of the intervention website that addressed barriers to organ donation through corresponding videos. Eligible participants were African American adult residents of Georgia who were not registered on the state donor registry. Drawing from previously developed process evaluation constructs, we adapted reach (the extent to which individuals were found eligible, and participated in the study), recruitment (online recruitment mechanism), dose received (intervention uptake), and context (how the Web-based setting influenced study implementation) for Internet settings and used the adapted model to assess the implementation of our Web-based intervention. With regard to reach, 1415 individuals completed the eligibility screener; 948 (67.00%) were determined eligible, of whom 918 (96.8%) completed the study. After eliminating duplicate entries (n=17), those who did not initiate the posttest (n=21) and those with an invalid ZIP code (n=108), 772 valid entries remained. Per the Internet protocol (IP) address analysis, only 23 of the 772 valid entries (3.0%) were within Georgia, and only 17 of those

  5. Web party effect: a cocktail party effect in the web environment

    OpenAIRE

    Sara Rigutti; Carlo Fantoni; Walter Gerbino

    2015-01-01

    In goal-directed web navigation, labels compete for selection: this process often involves knowledge integration and requires selective attention to manage the dizziness of web layouts. Here we ask whether the competition for selection depends on all web navigation options or only on those options that are more likely to be useful for information seeking, and provide evidence in favor of the latter alternative. Participants in our experiment navigated a representative set of real websites of ...

  6. Electrical properties of pressure quenched silicon by thermal spraying

    International Nuclear Information System (INIS)

    Tan, S.Y.; Gambino, R.J.; Sampath, S.; Herman, H.

    2007-01-01

    High velocity thermal spray deposition of polycrystalline silicon film onto single crystal substrates, yields metastable high pressure forms of silicon in nanocrystalline form within the deposit. The phases observed in the deposit include hexagonal diamond-Si, R-8, BC-8 and Si-IX. The peculiar attribute of this transformation is that it occurs only on orientation silicon substrate. The silicon deposits containing the high pressure phases display a substantially higher electrical conductivity. The resistivity profile of the silicon deposit containing shock induced metastable silicon phases identified by X-ray diffraction patterns. The density of the pressure induced polymorphic silicon is higher at deposit/substrate interface. A modified two-layer model is presented to explain the resistivity of the deposit impacted by the pressure induced polymorphic silicon generated by the thermal spraying process. The pressure quenched silicon deposits on the p - silicon substrate, with or without metastable phases, display the barrier potential of about 0.72 eV. The measured hall mobility value of pressure quenched silicon deposits is in the range of polycrystalline silicon. The significance of this work lies in the fact that the versatility of thermal spray may enable applications of these high pressure forms of silicon

  7. 17th Workshop on Crystalline Silicon Solar Cells and Modules: Materials and Processes; Workshop Proceedings

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.

    2007-08-01

    The National Center for Photovoltaics sponsored the 17th Workshop on Crystalline Silicon Solar Cells & Modules: Materials and Processes, held in Vail, CO, August 5-8, 2007. This meeting provided a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. The theme of this year's meeting was 'Expanding Technology for a Future Powered by Si Photovoltaics.'

  8. Vibrational modes of porous silicon

    International Nuclear Information System (INIS)

    Sabra, M.; Naddaf, M.

    2012-01-01

    On the basis of theoretical and experimental investigations, the origin of room temperature photoluminescence (PL) from porous silicon is found to related to chemical complexes constituted the surface, in particular, SiHx, SiOx and SiOH groups. Ab initio atomic and molecular electronic structure calculations on select siloxane compounds were used for imitation of infrared (IR) spectra of porous silicon. These are compared to the IR spectra of porous silicon recorded by using Fourier Transform Infrared Spectroscopy (FTIR). In contrast to linear siloxane, the suggested circular siloxane terminated with linear siloxane structure is found to well-imitate the experimental spectra. These results are augmented with EDX (energy dispersive x-ray spectroscopy) measurements, which showed that the increase of SiOx content in porous silicon due to rapid oxidation process results in considerable decrease in PL peak intensity and a blue shift in the peak position. (author)

  9. Going, going, still there: using the WebCite service to permanently archive cited web pages.

    Science.gov (United States)

    Eysenbach, Gunther; Trudel, Mathieu

    2005-12-30

    Scholars are increasingly citing electronic "web references" which are not preserved in libraries or full text archives. WebCite is a new standard for citing web references. To "webcite" a document involves archiving the cited Web page through www.webcitation.org and citing the WebCite permalink instead of (or in addition to) the unstable live Web page. This journal has amended its "instructions for authors" accordingly, asking authors to archive cited Web pages before submitting a manuscript. Almost 200 other journals are already using the system. We discuss the rationale for WebCite, its technology, and how scholars, editors, and publishers can benefit from the service. Citing scholars initiate an archiving process of all cited Web references, ideally before they submit a manuscript. Authors of online documents and websites which are expected to be cited by others can ensure that their work is permanently available by creating an archived copy using WebCite and providing the citation information including the WebCite link on their Web document(s). Editors should ask their authors to cache all cited Web addresses (Uniform Resource Locators, or URLs) "prospectively" before submitting their manuscripts to their journal. Editors and publishers should also instruct their copyeditors to cache cited Web material if the author has not done so already. Finally, WebCite can process publisher submitted "citing articles" (submitted for example as eXtensible Markup Language [XML] documents) to automatically archive all cited Web pages shortly before or on publication. Finally, WebCite can act as a focussed crawler, caching retrospectively references of already published articles. Copyright issues are addressed by honouring respective Internet standards (robot exclusion files, no-cache and no-archive tags). Long-term preservation is ensured by agreements with libraries and digital preservation organizations. The resulting WebCite Index may also have applications for research

  10. Low-cost silicon wafer dicing using a craft cutter

    KAUST Repository

    Fan, Yiqiang

    2014-05-20

    This paper reports a low-cost silicon wafer dicing technique using a commercial craft cutter. The 4-inch silicon wafers were scribed using a crafter cutter with a mounted diamond blade. The pre-programmed automated process can reach a minimum die feature of 3 mm by 3 mm. We performed this scribing process on the top polished surface of a silicon wafer; we also created a scribing method for the back-unpolished surface in order to protect the structures on the wafer during scribing. Compared with other wafer dicing methods, our proposed dicing technique is extremely low cost (lower than $1,000), and suitable for silicon wafer dicing in microelectromechanical or microfluidic fields, which usually have a relatively large die dimension. The proposed dicing technique is also usable for dicing multiple project wafers, a process where dies of different dimensions are diced on the same wafer.

  11. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    Energy Technology Data Exchange (ETDEWEB)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B

    2003-04-15

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T{sub S}=450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal {beta}-MoSi{sub 2} could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet.

  12. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    International Nuclear Information System (INIS)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B.

    2003-01-01

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T S =450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal β-MoSi 2 could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet

  13. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  14. Crystal growth for high-efficiency silicon solar cells workshop: Summary

    Science.gov (United States)

    Dumas, K. A.

    1985-01-01

    The state of the art in the growth of silicon crystals for high-efficiency solar cells are reviewed, sheet requirements are defined, and furture areas of research are identified. Silicon sheet material characteristics that limit cell efficiencies and yields were described as well as the criteria for the ideal sheet-growth method. The device engineers wish list to the material engineer included: silicon sheet with long minority carrier lifetime that is uniform throughout the sheet, and which doesn't change during processing; and sheet material that stays flat throughout device processing, has uniform good mechanical strength, and is low cost. Impurities in silicon solar cells depreciate cell performance by reducing diffusion length and degrading junctions. The impurity behavior, degradation mechanisms, and variations in degradation threshold with diffusion length for silicon solar cells were described.

  15. Development and Validation of the Social Information Processing Application: A Web-Based Measure of Social Information Processing Patterns in Elementary School-Age Boys

    Science.gov (United States)

    Kupersmidt, Janis B.; Stelter, Rebecca; Dodge, Kenneth A.

    2011-01-01

    The purpose of this study was to evaluate the psychometric properties of an audio computer-assisted self-interviewing Web-based software application called the Social Information Processing Application (SIP-AP) that was designed to assess social information processing skills in boys in RD through 5th grades. This study included a racially and…

  16. Electroless porous silicon formation applied to fabrication of boron–silica–glass cantilevers

    International Nuclear Information System (INIS)

    Teva, J; Davis, Z J; Hansen, O

    2010-01-01

    This work describes the characterization and optimization of anisotropic formation of porous silicon in large volumes (0.5–1 mm 3 ) of silicon by an electroless wet etching technique. The main goal is to use porous silicon as a sacrificial volume for bulk micromachining processes, especially in cases where etching of the full wafer thickness is needed. The porous silicon volume is formed by a metal-assisted etching in a wet chemical solution composed of hydrogen peroxide (30%), hydrofluoric acid (40%) and ethanol. This paper focuses on optimizing the etching conditions in terms of maximizing the etching rate and reproducibility of the etching. In addition to that, a study of the morphology of the pore that is obtained by this technique is presented. The results from the characterization of the process are applied to the fabrication of boron–silica–glass cantilevers that serve as a platform for bio-chemical sensors. The porous silicon volume is formed in an early step of the fabrication process, allowing easy handling of the wafer during all of the micromachining processes in the process flow. In the final process step, the porous silicon is quickly etched by immersing the wafer in a KOH solution

  17. Self-diffusion in single crystalline silicon nanowires

    Science.gov (United States)

    Südkamp, T.; Hamdana, G.; Descoins, M.; Mangelinck, D.; Wasisto, H. S.; Peiner, E.; Bracht, H.

    2018-04-01

    Self-diffusion experiments in single crystalline isotopically controlled silicon nanowires with diameters of 70 and 400 nm at 850 and 1000 °C are reported. The isotope structures were first epitaxially grown on top of silicon substrate wafers. Nanowires were subsequently fabricated using a nanosphere lithography process in combination with inductively coupled plasma dry reactive ion etching. Three-dimensional profiling of the nanosized structure before and after diffusion annealing was performed by means of atom probe tomography (APT). Self-diffusion profiles obtained from APT analyses are accurately described by Fick's law for self-diffusion. Data obtained for silicon self-diffusion in nanowires are equal to the results reported for bulk silicon crystals, i.e., finite size effects and high surface-to-volume ratios do not significantly affect silicon self-diffusion. This shows that the properties of native point defects determined from self-diffusion in bulk crystals also hold for nanosized silicon structures with diameters down to 70 nm.

  18. SaaS ve web designu

    OpenAIRE

    Míka, Filip

    2011-01-01

    This thesis is aimed to evaluate if the current SaaS market is able to meet functional re-quirements of web design in order to appropriately support web design's activities. The theoretical part introduces the web design model which describes web design's functional requirements. The next section presents a research concept that describes model assessment (i.e. solutions delivered as SaaS that support web design) and evaluation process. The results show that the current SaaS market is able to...

  19. Semiconductors and semimetals oxygen in silicon

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Shimura, Fumio

    1994-01-01

    This volume reviews the latest understanding of the behavior and roles of oxygen in silicon, which will carry the field into the ULSI era from the experimental and theoretical points of view. The fourteen chapters, written by recognized authorities representing industrial and academic institutions, cover thoroughly the oxygen related phenomena from the crystal growth to device fabrication processes, as well as indispensable diagnostic techniques for oxygen.Key Features* Comprehensive study of the behavior of oxygen in silicon* Discusses silicon crystals for VLSI and ULSI applications* Thorough coverage from crystal growth to device fabrication* Edited by technical experts in the field* Written by recognized authorities from industrial and academic institutions* Useful to graduate students, scientists in other disciplines, and active participants in the arena of silicon-based microelectronics research* 297 original line drawings

  20. Application of CMOS Technology to Silicon Photomultiplier Sensors

    Science.gov (United States)

    D’Ascenzo, Nicola; Zhang, Xi; Xie, Qingguo

    2017-01-01

    We use the 180 nm GLOBALFOUNDRIES (GF) BCDLite CMOS process for the production of a silicon photomultiplier prototype. We study the main characteristics of the developed sensor in comparison with commercial SiPMs obtained in custom technologies and other SiPMs developed with CMOS-compatible processes. We support our discussion with a transient modeling of the detection process of the silicon photomultiplier as well as with a series of static and dynamic experimental measurements in dark and illuminated environments. PMID:28946675

  1. Configurable Web Warehouses construction through BPM Systems

    Directory of Open Access Journals (Sweden)

    Andrea Delgado

    2016-08-01

    Full Text Available The process of building Data Warehouses (DW is well known with well defined stages but at the same time, mostly carried out manually by IT people in conjunction with business people. Web Warehouses (WW are DW whose data sources are taken from the web. We define a flexible WW, which can be configured accordingly to different domains, through the selection of the web sources and the definition of data processing characteristics. A Business Process Management (BPM System allows modeling and executing Business Processes (BPs providing support for the automation of processes. To support the process of building flexible WW we propose a two BPs level: a configuration process to support the selection of web sources and the definition of schemas and mappings, and a feeding process which takes the defined configuration and loads the data into the WW. In this paper we present a proof of concept of both processes, with focus on the configuration process and the defined data.

  2. Formation of porous surface layers in reaction bonded silicon nitride during processing

    Science.gov (United States)

    Shaw, N. J.; Glasgow, T. K.

    1979-01-01

    Microstructural examination of reaction bonded silicon nitride (RBSN) has shown that there is often a region adjacent to the as-nitrided surfaces that is even more porous than the interior of this already quite porous material. Because this layer of large porosity is considered detrimental to both the strength and oxidation resistance of RBSN, a study was undertaken to determine if its formation could be prevented during processing. All test bars studied were made from a single batch of Si powder which was milled for 4 hours in heptane in a vibratory mill using high density alumina cylinders as the grinding media. After air drying the powder, bars were compacted in a single acting die and hydropressed.

  3. Diorganosilacetylene-alt-diorganosilvinylene polymers and a process densifying porous silicon-carbide bodies

    Science.gov (United States)

    Barton, Thomas J.; Ijadi-Maghsoodi, Sina; Pang, Yi

    1994-05-17

    The present invention provides linear organosilicon polymers including acetylene and vinylene moieties, and a process for their preparation. These diorganosilacetylene-alt-diorganosilvinylene linear polymers can be represented by the formula: --[--(R.sup.1)(R.sup.2)Si--C.tbd.C--(R.sup.3)(R.sup.4)Si--CH=CH--].sub.n-- , wherein n.gtoreq.2; and each R.sup.1, R.sup.2, R.sup.3, and R.sup.4 is independently selected from the group consisting of hydrogen, halogen, alkyl, alkenyl, aryl, and aralkyl radicals. The polymers are soluble in organic solvents, air stable, and can be pulled into fibers or cast into films. They can be thermally converted into silicon carbide ceramic materials.

  4. Soft chemical synthesis of silicon nanosheets and their applications

    Energy Technology Data Exchange (ETDEWEB)

    Nakano, Hideyuki; Ikuno, Takashi [Toyota Central R& D Labs., Inc., 41-1 Yokomichi, Nagakute, Aichi 480-1192 (Japan)

    2016-12-15

    Two-dimensional silicon nanomaterials are expected to show different properties from those of bulk silicon materials by virtue of surface functionalization and quantum size effects. Since facile fabrication processes of large area silicon nanosheets (SiNSs) are required for practical applications, a development of soft chemical synthesis route without using conventional vacuum processes is a challenging issue. We have recently succeeded to prepare SiNSs with sub-nanometer thicknesses by exfoliating layered silicon compounds, and they are found to be composed of crystalline single-atom-thick silicon layers. In this review, we present the synthesis and modification methods of SiNSs. These SiNSs have atomically flat and smooth surfaces due to dense coverage of organic moieties, and they are easily self-assembled in a concentrated state to form a regularly stacked structure. We have also characterized the electron transport properties and the electronic structures of SiNSs. Finally, the potential applications of these SiNSs and organic modified SiNSs are also reviewed.

  5. Porous silicon based anode material formed using metal reduction

    Science.gov (United States)

    Anguchamy, Yogesh Kumar; Masarapu, Charan; Deng, Haixia; Han, Yongbong; Venkatachalam, Subramanian; Kumar, Sujeet; Lopez, Herman A.

    2015-09-22

    A porous silicon based material comprising porous crystalline elemental silicon formed by reducing silicon dioxide with a reducing metal in a heating process followed by acid etching is used to construct negative electrode used in lithium ion batteries. Gradual temperature heating ramp(s) with optional temperature steps can be used to perform the heating process. The porous silicon formed has a high surface area from about 10 m.sup.2/g to about 200 m.sup.2/g and is substantially free of carbon. The negative electrode formed can have a discharge specific capacity of at least 1800 mAh/g at rate of C/3 discharged from 1.5V to 0.005V against lithium with in some embodiments loading levels ranging from about 1.4 mg/cm.sup.2 to about 3.5 mg/cm.sup.2. In some embodiments, the porous silicon can be coated with a carbon coating or blended with carbon nanofibers or other conductive carbon material.

  6. Separation of Nuclear Fuel Surrogates from Silicon Carbide Inert Matrix

    International Nuclear Information System (INIS)

    Baney, Ronald

    2008-01-01

    The objective of this project has been to identify a process for separating transuranic species from silicon carbide (SiC). Silicon carbide has become one of the prime candidates for the matrix in inert matrix fuels, (IMF) being designed to reduce plutonium inventories and the long half-lives actinides through transmutation since complete reaction is not practical it become necessary to separate the non-transmuted materials from the silicon carbide matrix for ultimate reprocessing. This work reports a method for that required process

  7. Porosity-dependent fractal nature of the porous silicon surface

    Energy Technology Data Exchange (ETDEWEB)

    Rahmani, N.; Dariani, R. S., E-mail: dariani@alzahra.ac.ir [Department of Physics, Alzahra University, Tehran, 1993893973 (Iran, Islamic Republic of)

    2015-07-15

    Porous silicon films with porosity ranging from 42% to 77% were fabricated by electrochemical anodization under different current density. We used atomic force microscopy and dynamic scaling theory for deriving the surface roughness profile and processing the topography of the porous silicon layers, respectively. We first compared the topography of bare silicon surface with porous silicon and then studied the effect of the porosity of porous silicon films on their scaling behavior by using their self-affinity nature. Our work demonstrated that silicon compared to the porous silicon films has the highest Hurst parameter, indicating that the formation of porous layer due to the anodization etching of silicon surface leads to an increase of its roughness. Fractal analysis revealed that the evolution of the nanocrystallites’ fractal dimension along with porosity. Also, we found that both interface width and Hurst parameter are affected by the increase of porosity.

  8. MOS structures containing silicon nanoparticles for memory device applications

    International Nuclear Information System (INIS)

    Nedev, N; Zlatev, R; Nesheva, D; Manolov, E; Levi, Z; Brueggemann, R; Meier, S

    2008-01-01

    Metal-oxide-silicon structures containing layers with amorphous or crystalline silicon nanoparticles in a silicon oxide matrix are fabricated by sequential physical vapour deposition of SiO x (x = 1.15) and RF sputtering of SiO 2 on n-type crystalline silicon, followed by high temperature annealing in an inert gas ambient. Depending on the annealing temperature, 700 deg. C or 1000 deg. C, amorphous or crystalline silicon nanoparticles are formed in the silicon oxide matrix. The annealing process is used not only for growing nanoparticles but also to form a dielectric layer with tunnelling thickness at the silicon/insulator interface. High frequency C-V measurements demonstrate that both types of structures can be charged negatively or positively by applying a positive or negative voltage on the gate. The structures with amorphous silicon nanoparticles show several important advantages compared to the nanocrystal ones, such as lower defect density at the interface between the crystalline silicon wafer and the tunnel silicon oxide, better retention characteristics and better reliability

  9. Web processing service for climate impact and extreme weather event analyses. Flyingpigeon (Version 1.0)

    Science.gov (United States)

    Hempelmann, Nils; Ehbrecht, Carsten; Alvarez-Castro, Carmen; Brockmann, Patrick; Falk, Wolfgang; Hoffmann, Jörg; Kindermann, Stephan; Koziol, Ben; Nangini, Cathy; Radanovics, Sabine; Vautard, Robert; Yiou, Pascal

    2018-01-01

    Analyses of extreme weather events and their impacts often requires big data processing of ensembles of climate model simulations. Researchers generally proceed by downloading the data from the providers and processing the data files ;at home; with their own analysis processes. However, the growing amount of available climate model and observation data makes this procedure quite awkward. In addition, data processing knowledge is kept local, instead of being consolidated into a common resource of reusable code. These drawbacks can be mitigated by using a web processing service (WPS). A WPS hosts services such as data analysis processes that are accessible over the web, and can be installed close to the data archives. We developed a WPS named 'flyingpigeon' that communicates over an HTTP network protocol based on standards defined by the Open Geospatial Consortium (OGC), to be used by climatologists and impact modelers as a tool for analyzing large datasets remotely. Here, we present the current processes we developed in flyingpigeon relating to commonly-used processes (preprocessing steps, spatial subsets at continent, country or region level, and climate indices) as well as methods for specific climate data analysis (weather regimes, analogues of circulation, segetal flora distribution, and species distribution models). We also developed a novel, browser-based interactive data visualization for circulation analogues, illustrating the flexibility of WPS in designing custom outputs. Bringing the software to the data instead of transferring the data to the code is becoming increasingly necessary, especially with the upcoming massive climate datasets.

  10. A study on the development of silicon carbide materials for nuclear application

    International Nuclear Information System (INIS)

    Won, Dong Yeon; Kim, Chan Jung; Lee, Jae Choon; Kim, Joon Hyung; Lim, Kyung Soo; Kim, Ki Baik

    1987-12-01

    Silicon carbide was synthesized by reaction sintering process from carbon and silicon powders as starting materials. The effects of two processing parameters, i.e., heat treatment time and temperature, were examined (to characterize the reaction sintering process) in terms of the degree of reaction and phase developed during heat treatment. The final products after reaction of silicon and carbon powders were identified as β-SiC having ZnS crystal structure. Sintering of cordierite ceramics which was used as an high temperature inorganic binder to fabricate ceramically bound silicon carbide, and phase identification of the sintered ceramics by X-ray powder diffraction techniques. (Author)

  11. Ninth workshop on crystalline silicon solar cell materials and processes: Summary discussion sessions

    International Nuclear Information System (INIS)

    Sopori, B.; Tan, T.; Swanson, D.; Rosenblum, M.; Sinton, R.

    1999-01-01

    This report is a summary of the panel discussions included with the Ninth Workshop on Crystalline Silicon Solar Cell Materials and Processes. The theme for the workshop was ''R and D Challenges and Opportunities in Si Photovoltaics''. This theme was chosen because it appropriately reflects a host of challenges that the growing production of Si photovoltaics will be facing in the new millennium. The anticipated challenges will arise in developing strategies for cost reduction, increased production, higher throughput per manufacturing line, new sources of low-cost Si, and the introduction of new manufacturing processes for cell production. At the same time, technologies based on CdTe and CIS will come on line posing new competition. With these challenges come new opportunities for Si PV to wean itself from the microelectronics industry, to embark on a more aggressive program in thin-film Si solar cells, and to try new approaches to process monitoring

  12. Mechanically flexible optically transparent porous mono-crystalline silicon substrate

    KAUST Repository

    Rojas, Jhonathan Prieto; Syed, Ahad A.; Hussain, Muhammad Mustafa

    2012-01-01

    For the first time, we present a simple process to fabricate a thin (≥5μm), mechanically flexible, optically transparent, porous mono-crystalline silicon substrate. Relying only on reactive ion etching steps, we are able to controllably peel off a thin layer of the original substrate. This scheme is cost favorable as it uses a low-cost silicon <100> wafer and furthermore it has the potential for recycling the remaining part of the wafer that otherwise would be lost and wasted during conventional back-grinding process. Due to its porosity, it shows see-through transparency and potential for flexible membrane applications, neural probing and such. Our process can offer flexible, transparent silicon from post high-thermal budget processed device wafer to retain the high performance electronics on flexible substrates. © 2012 IEEE.

  13. Hydrothermal deposition and characterization of silicon oxide nanospheres

    International Nuclear Information System (INIS)

    Pei, L.Z.

    2008-01-01

    Silicon oxide nanospheres with the average diameter of about 100 nm have been synthesized by hydrothermal deposition process using silicon and silica as the starting materials. The silicon oxide nanospheres were characterized by field emission scanning electron microscopy (FESEM), energy dispersive X-ray spectrum (EDS), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) spectrum, respectively. The results show that large scale silicon oxide nanospheres with the uniform size are composed of Si and O showing the amorphous structure. Strong PL peak at 435 nm is observed demonstrating the good blue light emission property

  14. Implantation damage in silicon devices

    International Nuclear Information System (INIS)

    Nicholas, K.H.

    1977-01-01

    Ion implantation, is an attractive technique for producing doped layers in silicon devices but the implantation process involves disruption of the lattice and defects are formed, which can degrade device properties. Methods of minimizing such damage are discussed and direct comparisons made between implantation and diffusion techniques in terms of defects in the final devices and the electrical performance of the devices. Defects are produced in the silicon lattice during implantation but they are annealed to form secondary defects even at room temperature. The annealing can be at a low temperature ( 0 C) when migration of defects in silicon in generally small, or at high temperature when they can grow well beyond the implanted region. The defect structures can be complicated by impurity atoms knocked into the silicon from surface layers by the implantation. Defects can also be produced within layers on top of the silicon and these can be very important in device fabrication. In addition to affecting the electrical properties of the final device, defects produced during fabrication may influence the chemical properties of the materials. The use of these properties to improve devices are discussed as well as the degradation they can cause. (author)

  15. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Yuang-Tung Cheng

    2010-01-01

    Full Text Available The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD. The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been discussed in this research. Using our optimal acid etching solution ratio, we are able to fabricate mc-Si solar cells of 16.34% conversion efficiency with double layers silicon nitride (Si3N4 coating. From our experiment, we find that depositing double layers silicon nitride coating on mc-Si solar cells can get the optimal performance parameters. Open circuit (Voc is 616 mV, short circuit current (Jsc is 34.1 mA/cm2, and minority carrier diffusion length is 474.16 μm. The isotropic texturing and silicon nitride layers coating approach contribute to lowering cost and achieving high efficiency in mass production.

  16. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  17. Spider Silk Processing for Spidroin Recovery from Crossopriza Lyoni Web

    Science.gov (United States)

    Mohtar, J. A.; Ooi, W. L.; Yusuf, F.

    2018-03-01

    Spider silk is a potential biomaterial that can be used in various applications for its outstanding physicomechanical properties attributed by the spidroin composition. Efforts for commercializing spider silks have been mainly focused on the characterization of spidroins from the Entelegyne spiders for exceptional fibre construction. Hence, studies on silk proteins from the Haplogyne species remain neglected. The aim of this study is to isolate spidroin from Crossopriza lyoni web. Silk processing involved the pretreatment of fibres for the shell layer removal from the surface. A screening study was conducted to analyze the effect of temperature, incubation time and agitation speed on spidroin extraction using Ajisawa’s reagent by OFAT analysis followed by statistical optimization of the extraction process via RSM for maximal protein recovery. All parameters exerted significant effect on spidroin recovery (pspider silk to meet the demand for a variety of silk-based products in the near future.

  18. IL web tutorials

    DEFF Research Database (Denmark)

    Hyldegård, Jette; Lund, Haakon

    2012-01-01

    The paper presents the results from a study on information literacy in a higher education (HE) context based on a larger research project evaluating 3 Norwegian IL web tutorials at 6 universities and colleges in Norway. The aim was to evaluate how the 3 web tutorials served students’ information...... seeking and writing process in an study context and to identify barriers to the employment and use of the IL web tutorials, hence to the underlying information literacy intentions by the developer. Both qualitative and quantitative methods were employed. A clear mismatch was found between intention...... and use of the web tutorials. In addition, usability only played a minor role compared to relevance. It is concluded that the positive expectations of the IL web tutorials tend to be overrated by the developers. Suggestions for further research are presented....

  19. Aligning Web-Based Tools to the Research Process Cycle: A Resource for Collaborative Research Projects

    Science.gov (United States)

    Price, Geoffrey P.; Wright, Vivian H.

    2012-01-01

    Using John Creswell's Research Process Cycle as a framework, this article describes various web-based collaborative technologies useful for enhancing the organization and efficiency of educational research. Visualization tools (Cacoo) assist researchers in identifying a research problem. Resource storage tools (Delicious, Mendeley, EasyBib)…

  20. Characterization of electrical and optical properties of silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Jia, Guobin

    2009-12-04

    In this work, the electrical and luminescence properties of a series of silicon based materials used for photovoltaics, microelectronics and nanoelectronics have been investigated by means of electron beam induced current (EBIC), cathodoluminescence (CL), photoluminescence (PL) and electroluminescence (EL) methods. Photovoltaic materials produced by block casting have been investigated by EBIC on wafers sliced from different parts of the ingot. Various solar cell processings have been compared in parallel wafers by means of EBIC collection efficiency measurements and contrast-temperature C(T) behaviors of the extended defects, i. e. dislocations and grain boundaries (GBs). It was found that the solar cell processing with phosphorus diffusion gettering (PDG) followed with a SiN firing greatly reduces the recombination activity of extended defects at room temperature, and improves the bulk property simultaneously. A remaining activity of the dislocations indicates the limitation of the PDG at extended defects. Abnormal behavior of the dislocation activity after certain solar cell processes was also observed in the region with high dislocation density, the dislocations are activated after certain solar cell processings. In order to evaluate the properties of a thin polycrystalline silicon layer prepared by Al-induced layer exchange (Alile) technique, epitaxially layer grown on silicon substrate with different orientations was used as a model system to investigate the impact by the process temperature and the substrates. EBIC energy dependent collection efficiency measurements reveal an improvement of the epilayer quality with increasing substrate temperature during the growth from 450 C to 650 C, and a decrease of epilayer quality at 700 C. PL measurements on the epitaxially grown Si layer on silicon substrates revealed no characteristic dislocation-related luminescence (DRL) lines at room temperature and 77 K, while in the samples prepared by Alile process, intense

  1. Synthesis of Silicon Nanocrystals in Microplasma Reactor

    Science.gov (United States)

    Nozaki, Tomohiro; Sasaki, Kenji; Ogino, Tomohisa; Asahi, Daisuke; Okazaki, Ken

    Nanocrystalline silicon particles with a grain size of at least less than 10 nm are widely recognized as one of the key materials in optoelectronic devices, electrodes of lithium battery, bio-medical labels. There is also important character that silicon is safe material to the environment and easily gets involved in existing silicon technologies. To date, several synthesis methods such as sputtering, laser ablation, and plasma enhanced chemical vapor deposition (PECVD) based on low-pressure silane chemistry (SiH4) have been developed for precise control of size and density distributions of silicon nanocrystals. We explore the possibility of microplasma technologies for the efficient production of mono-dispersed nanocrystalline silicon particles in a micrometer-scale, continuous-flow plasma reactor operated at atmospheric pressure. Mixtures of argon, hydrogen, and silicon tetrachloride were activated using very high frequency (VHF = 144 MHz) power source in a capillary glass tube with a volume of less than 1 μ-liter. Fundamental plasma parameters of VHF capacitively coupled microplasma were characterized by optical emission spectroscopy, showing electron density of approximately 1015 cm-3 and rotational temperature of 1500 K, respectively. Such high-density non-thermal reactive plasma has a capability of decomposing silicon tetrachloride into atomic silicon to produce supersaturated atomic silicon vapor, followed by gas phase nucleation via three-body collision. The particle synthesis in high-density plasma media is beneficial for promoting nucleation process. In addition, further growth of silicon nuclei was able to be favorably terminated in a short-residence time reactor. Micro Raman scattering spectrum showed that as-deposited particles were mostly amorphous silicon with small fraction of silicon nanocrystals. Transmission electron micrograph confirmed individual silicon nanocrystals of 3-15 nm size. Although those particles were not mono-dispersed, they were

  2. An all-silicon passive optical diode.

    Science.gov (United States)

    Fan, Li; Wang, Jian; Varghese, Leo T; Shen, Hao; Niu, Ben; Xuan, Yi; Weiner, Andrew M; Qi, Minghao

    2012-01-27

    A passive optical diode effect would be useful for on-chip optical information processing but has been difficult to achieve. Using a method based on optical nonlinearity, we demonstrate a forward-backward transmission ratio of up to 28 decibels within telecommunication wavelengths. Our device, which uses two silicon rings 5 micrometers in radius, is passive yet maintains optical nonreciprocity for a broad range of input power levels, and it performs equally well even if the backward input power is higher than the forward input. The silicon optical diode is ultracompact and is compatible with current complementary metal-oxide semiconductor processing.

  3. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.; Rojas, Jhonathan Prieto; Ahmed, Sally; Hussain, Aftab M.; Inayat, Salman Bin; Hussain, Muhammad Mustafa

    2013-01-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  4. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.

    2013-06-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  5. Thermal processing and native oxidation of silicon nanoparticles

    International Nuclear Information System (INIS)

    Winters, Brandon J.; Holm, Jason; Roberts, Jeffrey T.

    2011-01-01

    In this study, Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), and electron energy loss spectroscopy (EELS) were used to investigate in-air oxidation of silicon nanoparticles ca. 11 nm in diameter. Particle samples were prepared first by extracting them from an RF plasma synthesis reactor, and then heating them in an inert carrier gas stream. The resulting particles had varying surface hydrogen coverages and relative amounts of SiH x (x = 1, 2, and 3), depending on the temperature to which they had been heated. The particles were allowed to oxidize in-air for several weeks. FTIR, XPS, and EELS analyses that were performed during this period clearly establish that adsorbed hydrogen retards oxidation, although in complex ways. In particular, particles that have been heated to intermediate hydrogen coverages oxidize more slowly in air than do freshly generated particles that have a much higher hydrogen content. In addition, the loss of surface hydride species at high processing temperatures results in fast initial oxidation and the formation of a self-limiting oxide layer. Analogous measurements made on deuterium-covered particles show broadly similar behavior; i.e., that oxidation is the slowest at some intermediate coverage of adsorbed deuterium.

  6. Processes and applications of silicon carbide nanocomposite fibers

    International Nuclear Information System (INIS)

    Shin, D G; Cho, K Y; Riu, D H; Jin, E J

    2011-01-01

    Various types of SiC such as nanowires, thin films, foam, and continuous fibers have been developed since the early 1980s, and their applications have been expanded into several new applications, such as for gas-fueled radiation heater, diesel particulate filter (DPF), ceramic fiber separators and catalyst/catalyst supports include for the military, aerospace, automobile and electronics industries. For these new applications, high specific surface area is demanded and it has been tried by reducing the diameter of SiC fiber. Furthermore, functional nanocomposites show potentials in various harsh environmental applications. In this study, silicon carbide fiber was prepared through electrospinning of the polycarbosilane (PCS) with optimum molecular weight distribution which was synthesized by new method adopting solid acid catalyst such as ZSM-5 and γ-Al 2 O 3 . Functional elements such as aluminum, titanium, tungsten and palladium easily doped in the precursor fiber and remained in the SiC fiber after pyrolysis. The uniform SiC fibers were produced at the condition of spinning voltage over 20 kV from the PCS solution as the concentration of 1.3 g/ml in DMF/Toluene (3:7) and pyrolysis at 1200deg. C. Pyrolyzed products were processed into several interesting applications such as thermal batteries, hydrogen sensors and gas filters.

  7. Processes and applications of silicon carbide nanocomposite fibers

    Energy Technology Data Exchange (ETDEWEB)

    Shin, D G; Cho, K Y; Riu, D H [Nanomaterials Team, Korea Institute of Ceramic Engineering and Technology, 233-5 Gasan-dong, Guemcheon-gu, Seoul 153-801 (Korea, Republic of); Jin, E J, E-mail: dhriu15@seoultech.ac.kr [Battelle-Korea Laborotary, Korea University, Anamdong, Seongbuk-gu, Seoul (Korea, Republic of)

    2011-10-29

    Various types of SiC such as nanowires, thin films, foam, and continuous fibers have been developed since the early 1980s, and their applications have been expanded into several new applications, such as for gas-fueled radiation heater, diesel particulate filter (DPF), ceramic fiber separators and catalyst/catalyst supports include for the military, aerospace, automobile and electronics industries. For these new applications, high specific surface area is demanded and it has been tried by reducing the diameter of SiC fiber. Furthermore, functional nanocomposites show potentials in various harsh environmental applications. In this study, silicon carbide fiber was prepared through electrospinning of the polycarbosilane (PCS) with optimum molecular weight distribution which was synthesized by new method adopting solid acid catalyst such as ZSM-5 and {gamma}-Al{sub 2}O{sub 3}. Functional elements such as aluminum, titanium, tungsten and palladium easily doped in the precursor fiber and remained in the SiC fiber after pyrolysis. The uniform SiC fibers were produced at the condition of spinning voltage over 20 kV from the PCS solution as the concentration of 1.3 g/ml in DMF/Toluene (3:7) and pyrolysis at 1200deg. C. Pyrolyzed products were processed into several interesting applications such as thermal batteries, hydrogen sensors and gas filters.

  8. Processes and applications of silicon carbide nanocomposite fibers

    Science.gov (United States)

    Shin, D. G.; Cho, K. Y.; Jin, E. J.; Riu, D. H.

    2011-10-01

    Various types of SiC such as nanowires, thin films, foam, and continuous fibers have been developed since the early 1980s, and their applications have been expanded into several new applications, such as for gas-fueled radiation heater, diesel particulate filter (DPF), ceramic fiber separators and catalyst/catalyst supports include for the military, aerospace, automobile and electronics industries. For these new applications, high specific surface area is demanded and it has been tried by reducing the diameter of SiC fiber. Furthermore, functional nanocomposites show potentials in various harsh environmental applications. In this study, silicon carbide fiber was prepared through electrospinning of the polycarbosilane (PCS) with optimum molecular weight distribution which was synthesized by new method adopting solid acid catalyst such as ZSM-5 and γ-Al2O3. Functional elements such as aluminum, titanium, tungsten and palladium easily doped in the precursor fiber and remained in the SiC fiber after pyrolysis. The uniform SiC fibers were produced at the condition of spinning voltage over 20 kV from the PCS solution as the concentration of 1.3 g/ml in DMF/Toluene (3:7) and pyrolysis at 1200°C. Pyrolyzed products were processed into several interesting applications such as thermal batteries, hydrogen sensors and gas filters.

  9. Formation of iron disilicide on amorphous silicon

    Science.gov (United States)

    Erlesand, U.; Östling, M.; Bodén, K.

    1991-11-01

    Thin films of iron disilicide, β-FeSi 2 were formed on both amorphous silicon and on crystalline silicon. The β-phase is reported to be semiconducting with a direct band-gap of about 0.85-0.89 eV. This phase is known to form via a nucleation-controlled growth process on crystalline silicon and as a consequence a rather rough silicon/silicide interface is usually formed. In order to improve the interface a bilayer structure of amorphous silicon and iron was sequentially deposited on Czochralski silicon in an e-gun evaporation system. Secondary ion mass spectrometry profiling (SIMS) and scanning electron micrographs revealed an improvement of the interface sharpness. Rutherford backscattering spectrometry (RBS) and X-ray diffractiometry showed β-FeSi 2 formation already at 525°C. It was also observed that the silicide growth was diffusion-controlled, similar to what has been reported for example in the formation of NiSi 2 for the reaction of nickel on amorphous silicon. The kinetics of the FeSi 2 formation in the temperature range 525-625°C was studied by RBS and the activation energy was found to be 1.5 ± 0.1 eV.

  10. Distributed Management of Concurrent Web Service Transactions

    DEFF Research Database (Denmark)

    Alrifai, Mohammad; Dolog, Peter; Balke, Wolf-Tilo

    2009-01-01

    Business processes involve dynamic compositions of interleaved tasks. Therefore, ensuring reliable transactional processing of Web services is crucial for the success of Web service-based B2B and B2C applications. But the inherent autonomy and heterogeneity of Web services render the applicability...... of conventional ACID transaction models for Web services far from being straightforward. Current Web service transaction models relax the isolation property and rely on compensation mechanisms to ensure atomicity of business transactions in the presence of service failures. However, ensuring consistency...... in the open and dynamic environment of Web services, where interleaving business transactions enter and exit the system independently, remains an open issue. In this paper, we address this problem and propose an architecture that supports concurrency control on the Web services level. An extension...

  11. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  12. Subsurface oxidation for micropatterning silicon (SOMS).

    Science.gov (United States)

    Zhang, Feng; Sautter, Ken; Davis, Robert C; Linford, Matthew R

    2009-02-03

    Here we present a straightforward patterning technique for silicon: subsurface oxidation for micropatterning silicon (SOMS). In this method, a stencil mask is placed above a silicon surface. Radio-frequency plasma oxidation of the substrate creates a pattern of thicker oxide in the exposed regions. Etching with HF or KOH produces very shallow or much higher aspect ratio features on silicon, respectively, where patterning is confirmed by atomic force microscopy, scanning electron microscopy, and optical microscopy. The oxidation process itself is studied under a variety of reaction conditions, including higher and lower oxygen pressures (2 and 0.5 Torr), a variety of powers (50-400 W), different times and as a function of reagent purity (99.5 or 99.994% oxygen). SOMS can be easily executed in any normal chemistry laboratory with a plasma generator. Because of its simplicity, it may have industrial viability.

  13. The silicon web physics for the internet age

    CERN Document Server

    Raymer, Michael G

    2009-01-01

    Introduction: Physics and Its Relation to Computer and Internet Technologies  Physics, Silicon, and the "Magic" behind the Internet Age  A Zoomed-In Look inside a Computer       Timeline of Great Discoveries and Inventions in Physics and Computer and Communication Technologies  The Methods and Significance of Science       The Relation of Science and Information Technology    Social Impacts: Science and Technology       Mathematics: The Language of Science and Technology    The Utility of Mathematics in Science and Technology   Graphs                Precision and Significant Digits          Large and Small Numbers and Scientific Notation     Real-World Example 2.1: Precision of Display Pixels    Units for Physical Quantities          Proportionality              Binary Numbers              The Concept of Information           Exponential Growth   Social Impacts: The Exponential Change of Ne...

  14. Quasimetallic silicon micromachined photonic crystals

    International Nuclear Information System (INIS)

    Temelkuran, B.; Bayindir, Mehmet; Ozbay, E.; Kavanaugh, J. P.; Sigalas, M. M.; Tuttle, G.

    2001-01-01

    We report on fabrication of a layer-by-layer photonic crystal using highly doped silicon wafers processed by semiconductor micromachining techniques. The crystals, built using (100) silicon wafers, resulted in an upper stop band edge at 100 GHz. The transmission and defect characteristics of these structures were found to be analogous to metallic photonic crystals. We also investigated the effect of doping concentration on the defect characteristics. The experimental results agree well with predictions of the transfer matrix method simulations

  15. Microencapsulation of silicon cavities using a pulsed excimer laser

    KAUST Repository

    Sedky, Sherif M.; Tawfik, Hani H.; Ashour, Mohamed; Graham, Andrew B.; Provine, John W.; Wang, Qingxiao; Zhang, Xixiang; Howe, Roger T.

    2012-01-01

    This work presents a novel low thermal-budget technique for sealing micromachined cavities in silicon. Cavities are sealed without deposition, similar to the silicon surface-migration sealing process. In contrast to the 1100°C furnace anneal

  16. Process evaluation of the Enabling Mothers toPrevent Pediatric Obesity Through Web-Based Learning and Reciprocal Determinism (EMPOWER) randomized control trial.

    Science.gov (United States)

    Knowlden, Adam P; Sharma, Manoj

    2014-09-01

    Family-and-home-based interventions are an important vehicle for preventing childhood obesity. Systematic process evaluations have not been routinely conducted in assessment of these interventions. The purpose of this study was to plan and conduct a process evaluation of the Enabling Mothers to Prevent Pediatric Obesity Through Web-Based Learning and Reciprocal Determinism (EMPOWER) randomized control trial. The trial was composed of two web-based, mother-centered interventions for prevention of obesity in children between 4 and 6 years of age. Process evaluation used the components of program fidelity, dose delivered, dose received, context, reach, and recruitment. Categorical process evaluation data (program fidelity, dose delivered, dose exposure, and context) were assessed using Program Implementation Index (PII) values. Continuous process evaluation variables (dose satisfaction and recruitment) were assessed using ANOVA tests to evaluate mean differences between groups (experimental and control) and sessions (sessions 1 through 5). Process evaluation results found that both groups (experimental and control) were equivalent, and interventions were administered as planned. Analysis of web-based intervention process objectives requires tailoring of process evaluation models for online delivery. Dissemination of process evaluation results can advance best practices for implementing effective online health promotion programs. © 2014 Society for Public Health Education.

  17. Supercritical fluid extraction of silicone oil from uranate microspheres prepared by sol-gel process

    International Nuclear Information System (INIS)

    Kumar, R.; Venkatakrishnan, R.; Sivaraman, N.; Srinivasan, T.G.; Vasudeva Rao, P.R.

    2005-01-01

    Supercritical fluid extraction of silicone oil from urania microspheres prepared through sol-gel route was investigated. The influence of pressure, temperature, and flow rate on the extraction efficiency was studied. Experimental conditions were optimised for the complete removal of silicone oil from urania microspheres. (author)

  18. White-light emission from porous-silicon-aluminium Schottky junctions

    International Nuclear Information System (INIS)

    Masini, G.; La Monica, S.; Maiello, G.

    1996-01-01

    Porous-silicon-based white-light-emitting devices are presented. The fabrication process on different substrates is described. The peculiarities of technological steps for device fabrication (porous-silicon formation and aluminium treatment) are underlined. Doping profile of the porous layer, current-voltage characteristics, time response, lifetime tests and electroluminescence emission spectrum of the device are presented. A model for electrical behaviour of Al/porous silicon Schottky junction is presented. Electroluminescence spectrum of the presented devices showed strong similarities with white emission from crystalline silicon junctions in the breakdown region

  19. High resolution deep level transient spectroscopy and process-induced defects in silicon

    International Nuclear Information System (INIS)

    Evans-Freeman, J.H.; Emiroglu, D.; Vernon-Parry, K.D.

    2004-01-01

    High resolution, or Laplace, deep level transient spectroscopy (LDLTS) enables the identification of very closely spaced energetic levels in a semiconductor bandgap. DLTS may resolve peaks with a separation of tens of electron volts, but LDLTS can resolve defect energy separations as low as a few MeV. In this paper, we present results from LDLTS applied to ion implantation-induced defects in silicon, with particular emphasis on characterisation of end-of-range interstitial type defects. Silicon was implanted with a variety of ions from mass 28 to 166. A combination of LDLTS and direct capture cross-section measurements was employed to show that electrically active small extended defects were present in the as-implanted samples. Larger dislocations were then generated in Si by oxygenation to act as a control sample. These stacking faults had typical lengths of microns, and their electrical activity was subsequently characterised by LDLTS. This was to establish the sensitivity of LDLTS to defects whose carrier capture is characterised by a non-exponential filling process and an evolving band structure as carrier capture proceeds. The LDLTS spectra show several components in capacitance transients originating from both the end-of-range defects, and the stacking faults, and also clearly show that the carrier emission rates reduce as these extended defects fill with carriers. The end-of-range defects and the stacking faults are shown to have the same electrical behaviour

  20. Tailorable stimulated Brillouin scattering in nanoscale silicon waveguides.

    Science.gov (United States)

    Shin, Heedeuk; Qiu, Wenjun; Jarecki, Robert; Cox, Jonathan A; Olsson, Roy H; Starbuck, Andrew; Wang, Zheng; Rakich, Peter T

    2013-01-01

    Nanoscale modal confinement is known to radically enhance the effect of intrinsic Kerr and Raman nonlinearities within nanophotonic silicon waveguides. By contrast, stimulated Brillouin-scattering nonlinearities, which involve coherent coupling between guided photon and phonon modes, are stifled in conventional nanophotonics, preventing the realization of a host of Brillouin-based signal-processing technologies in silicon. Here we demonstrate stimulated Brillouin scattering in silicon waveguides, for the first time, through a new class of hybrid photonic-phononic waveguides. Tailorable travelling-wave forward-stimulated Brillouin scattering is realized-with over 1,000 times larger nonlinearity than reported in previous systems-yielding strong Brillouin coupling to phonons from 1 to 18 GHz. Experiments show that radiation pressures, produced by subwavelength modal confinement, yield enhancement of Brillouin nonlinearity beyond those of material nonlinearity alone. In addition, such enhanced and wideband coherent phonon emission paves the way towards the hybridization of silicon photonics, microelectromechanical systems and CMOS signal-processing technologies on chip.

  1. Tailorable stimulated Brillouin scattering in nanoscale silicon waveguides

    Science.gov (United States)

    Shin, Heedeuk; Qiu, Wenjun; Jarecki, Robert; Cox, Jonathan A.; Olsson, Roy H.; Starbuck, Andrew; Wang, Zheng; Rakich, Peter T.

    2013-01-01

    Nanoscale modal confinement is known to radically enhance the effect of intrinsic Kerr and Raman nonlinearities within nanophotonic silicon waveguides. By contrast, stimulated Brillouin-scattering nonlinearities, which involve coherent coupling between guided photon and phonon modes, are stifled in conventional nanophotonics, preventing the realization of a host of Brillouin-based signal-processing technologies in silicon. Here we demonstrate stimulated Brillouin scattering in silicon waveguides, for the first time, through a new class of hybrid photonic–phononic waveguides. Tailorable travelling-wave forward-stimulated Brillouin scattering is realized—with over 1,000 times larger nonlinearity than reported in previous systems—yielding strong Brillouin coupling to phonons from 1 to 18 GHz. Experiments show that radiation pressures, produced by subwavelength modal confinement, yield enhancement of Brillouin nonlinearity beyond those of material nonlinearity alone. In addition, such enhanced and wideband coherent phonon emission paves the way towards the hybridization of silicon photonics, microelectromechanical systems and CMOS signal-processing technologies on chip. PMID:23739586

  2. Porous silicon: Synthesis and optical properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.

    2006-01-01

    Formation of porous silicon by electrochemical etching method of both p and n-type single crystal silicon wafers in HF based solutions has been performed by using three different modes. In addition to DC and pulsed voltage, a novel etching mode is developed to prepare light-emitting porous silicon by applying and holding-up a voltage in gradient steps form periodically, between the silicon wafer and a graphite electrode. Under same equivalent etching conditions, periodic gradient steps voltage etching can yield a porous silicon layer with stronger photoluminescence intensity and blue shift than the porous silicon layer prepared by DC or pulsed voltage etching. It has been found that the holding-up of the applied voltage during the etching process for defined interval of time is another significant future of this method, which highly affects the blue shift. This can be used for tailoring a porous layer with novel properties. The actual mechanism behind the blue shift is not clear exactly, even the experimental observation of atomic force microscope and purist measurements in support with quantum confinement model. It has been seen also from Fourier Transform Infrared study that interplays between O-Si-H and Si-H bond intensities play key role in deciding the efficiency of photoluminescence emission. Study of relative humidity sensing and photonic crystal properties of pours silicon samples has confirmed the advantages of the new adopted etching mode. The sensitivity at room temperature of porous silicon prepared by periodic gradient steps voltage etching was found to be about 70% as compared to 51% and 45% for the porous silicon prepared by DC and pulsed voltage etching, respectively. (author)

  3. Porous silicon: Synthesis and optical properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.

    2006-06-01

    Formation of porous silicon by electrochemical etching method of both p and n-type single crystal silicon wafers in HF based solutions has been performed by using three different modes. In addition to DC and pulsed voltage, a novel etching mode is developed to prepare light-emitting porous silicon by applying and holding-up a voltage in gradient steps form periodically, between the silicon wafer and a graphite electrode. Under same equivalent etching conditions, periodic gradient steps voltage etching can yield a porous silicon layer with stronger photoluminescence intensity and blue shift than the porous silicon layer prepared by DC or pulsed voltage etching. It has been found that the holding-up of the applied voltage during the etching process for defined interval of time is another significant future of this method, which highly affects the blue shift. This can be used for tailoring a porous layer with novel properties. The actual mechanism behind the blue shift is not clear exactly, even the experimental observation of atomic force microscope and purist measurements in support with quantum confinement model. It has been seen also from Fourier Transform Infrared study that interplays between O-Si-H and Si-H bond intensities play key role in deciding the efficiency of photoluminescence emission. Study of relative humidity sensing and photonic crystal properties of pours silicon samples has confirmed the advantages of the new adopted etching mode. The sensitivity at room temperature of porous silicon prepared by periodic gradient steps voltage etching was found to be about 70% as compared to 51% and 45% for the porous silicon prepared by DC and pulsed voltage etching, respectively. (author)

  4. A Web Centric Architecture for Deploying Multi-Disciplinary Engineering Design Processes

    Science.gov (United States)

    Woyak, Scott; Kim, Hongman; Mullins, James; Sobieszczanski-Sobieski, Jaroslaw

    2004-01-01

    There are continuous needs for engineering organizations to improve their design process. Current state of the art techniques use computational simulations to predict design performance, and optimize it through advanced design methods. These tools have been used mostly by individual engineers. This paper presents an architecture for achieving results at an organization level beyond individual level. The next set of gains in process improvement will come from improving the effective use of computers and software within a whole organization, not just for an individual. The architecture takes advantage of state of the art capabilities to produce a Web based system to carry engineering design into the future. To illustrate deployment of the architecture, a case study for implementing advanced multidisciplinary design optimization processes such as Bi-Level Integrated System Synthesis is discussed. Another example for rolling-out a design process for Design for Six Sigma is also described. Each example explains how an organization can effectively infuse engineering practice with new design methods and retain the knowledge over time.

  5. Silicone Resin Applications for Ceramic Precursors and Composites

    Directory of Open Access Journals (Sweden)

    Masaki Narisawa

    2010-06-01

    Full Text Available This article reviews the applications of silicone resins as ceramic precursors. The historical background of silicone synthesis chemistry is introduced to explain the production costs and supply availability of various silicones. Thermal degradation processes of silicones are classified in terms of the main chain structure and cyclic oligomer expulsion process, which determine the resulting ceramic yield and the chemical composition. The high temperature decomposition of Si-O-C beyond 1,400 °C in an inert atmosphere and formation of a protective silica layer on material surfaces beyond 1,200 °C in an oxidative atmosphere are discussed from the viewpoints of the wide chemical composition of the Si-O-C materials. Applications of the resins for binding agents, as starting materials for porous ceramics, matrix sources with impregnation, fiber spinning and ceramic adhesions are introduced. The recent development of the process of filler or cross-linking agent additions to resin compounds is also introduced. Such resin compounds are useful for obtaining thick coatings, MEMS parts and bulk ceramics, which are difficult to obtain by pyrolysis of simple organometallic precursors without additives.

  6. Numerical analysis of thermal stress and dislocation density distributions in large size multi-crystalline silicon ingots during the seeded growth process

    Science.gov (United States)

    Nguyen, Thi Hoai Thu; Chen, Jyh-Chen; Hu, Chieh; Chen, Chun-Hung; Huang, Yen-Hao; Lin, Huang-Wei; Yu, Andy; Hsu, Bruce

    2017-06-01

    In this study, a global transient numerical simulation of silicon growth from the beginning of the solidification process until the end of the cooling process is carried out modeling the growth of an 800 kg ingot in an industrial seeded directional solidification furnace. The standard furnace is modified by the addition of insulating blocks in the hot zone. The simulation results show that there is a significant decrease in the thermal stress and dislocation density in the modified model as compared to the standard one (a maximal decrease of 23% and 75% along the center line of ingot for thermal stress and dislocation density, respectively). This modification reduces the heating power consumption for solidification of the silicon melt by about 17% and shortens the growth time by about 2.5 h. Moreover, it is found that adjusting the operating conditions of modified model to obtain the lower growth rate during the early stages of the solidification process can lower dislocation density and total heater power.

  7. Effects of a modular two-step ozone-water and annealing process on silicon carbide graphene

    Energy Technology Data Exchange (ETDEWEB)

    Webb, Matthew J., E-mail: matthew.webb@cantab.net; Lundstedt, Anna; Grennberg, Helena [Department of Chemistry—BMC, Uppsala University, Box 576, SE-751 23 Uppsala (Sweden); Polley, Craig; Niu, Yuran; Zakharov, Alexei A.; Balasubramanian, Thiagarajan [MAX IV Laboratory, Lund University, 22100 Lund (Sweden); Dirscherl, Kai [DFM—Danish Fundamental Metrology, Matematiktorvet 307, DK-2800 Lyngby (Denmark); Burwell, Gregory; Guy, Owen J. [College of Engineering, Faraday Tower, Singleton Park, Swansea University, Swansea SA2 8PP (United Kingdom); Palmgren, Pål [VG Scienta Scientific AB, Box 15120, Vallongatan 1, SE-750 15 Uppsala (Sweden); Yakimova, Rositsa [Department of Physics, Chemistry, and Biology, Linköping University, SE-581 83 Linköping (Sweden)

    2014-08-25

    By combining ozone and water, the effect of exposing epitaxial graphene on silicon carbide to an aggressive wet-chemical process has been evaluated after high temperature annealing in ultra high vacuum. The decomposition of ozone in water produces a number of oxidizing species, however, despite long exposure times to the aqueous-ozone environment, no graphene oxide was observed after the two-step process. The systems were comprehensively characterized before and after processing using Raman spectroscopy, core level photoemission spectroscopy, and angle resolved photoemission spectroscopy together with low energy electron diffraction, low energy electron microscopy, and atomic force microscopy. In spite of the chemical potential of the aqueous-ozone reaction environment, the graphene domains were largely unaffected raising the prospect of employing such simple chemical and annealing protocols to clean or prepare epitaxial graphene surfaces.

  8. Double side multicrystalline silicon passivation by one step stain etching-based porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mohamed, Seifeddine Belhadj; Ben Rabha, Mohamed; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    In this paper, we investigate the effect of stain etching-based porous silicon on the double side multicrystalline silicon. Special attention is given to the use of the stain etched PS as an antireflection coating as well as for surface passivating capabilities. Stain etching of double side multicrystalline silicon leads to the formation of PS nanostructures, that dramatically decrease the surface reflectivity from 30% to about 7% and increase the effective lifetime from 1 {mu}s to 10 {mu}s at a minority carrier density ({Delta}n) of 10{sup 15} cm{sup -3}. These results let us correlate the rise of the lifetime values to the photoluminescence intensity to the hydrogen and oxide passivation as shown by FTIR analysis. This low-cost PS formation process can be applied in the photovoltaic cell technology as a standard procedure (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Varying temperature and silicon content in nanodiamond growth: effects on silicon-vacancy centres.

    Science.gov (United States)

    Choi, Sumin; Leong, Victor; Davydov, Valery A; Agafonov, Viatcheslav N; Cheong, Marcus W O; Kalashnikov, Dmitry A; Krivitsky, Leonid A

    2018-02-28

    Nanodidamonds containing colour centres open up many applications in quantum information processing, metrology, and quantum sensing. However, controlling the synthesis of nanodiamonds containing silicon vacancy (SiV) centres is still not well understood. Here we study nanodiamonds produced by a high-pressure high-temperature method without catalyst metals, focusing on two samples with clear SiV signatures. Different growth temperatures and relative content of silicon in the initial compound between the samples altered their nanodiamond size distributions and abundance of SiV centres. Our results show that nanodiamond growth can be controlled and optimised for different applications.

  10. Fluorescence and thermoluminescence in silicon oxide films rich in silicon; Fluorescencia y termoluminiscencia en peliculas de oxido de silicio rico en silicio

    Energy Technology Data Exchange (ETDEWEB)

    Berman M, D.; Piters, T. M. [Centro de Investigacion en Fisica, Universidad de Sonora, Apdo. Postal 5-088, Hermosillo 83190, Sonora (Mexico); Aceves M, M.; Berriel V, L. R. [Instituto Nacional de Astrofisica, Optica y Electronica, Apdo. Postal 51, Puebla 72000, Puebla (Mexico); Luna L, J. A. [CIDS, Benemerita Universidad Autonoma de Puebla, Apdo. Postal 1651, Puebla 72000, Puebla (Mexico)

    2009-10-15

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 {omega}-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N{sub 2} at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  11. A silicon-based electrical source for surface plasmon polaritons

    NARCIS (Netherlands)

    Walters, Robert J.; van Loon, Rob V.A.; Brunets, I.; Schmitz, Jurriaan; Polman, Albert

    2009-01-01

    This work demonstrates the fabrication of a silicon-based electrical source for surface plasmon polaritons (SPPs) at low temperatures using silicon nanocrystal doped alumina within a metal-insulator-metal (MIM) waveguide geometry. The fabrication method uses established microtechnology processes

  12. Optical characterization of nanocrystals in silicon rich oxide superlattices and porous silicon

    International Nuclear Information System (INIS)

    Agocs, E.; Petrik, P.; Milita, S.; Vanzetti, L.; Gardelis, S.; Nassiopoulou, A.G.; Pucker, G.; Balboni, R.; Fried, M.

    2011-01-01

    We propose to analyze ellipsometry data by using effective medium approximation (EMA) models. Thanks to EMA, having nanocrystalline reference dielectric functions and generalized critical point (GCP) model the physical parameters of two series of samples containing silicon nanocrystals, i.e. silicon rich oxide (SRO) superlattices and porous silicon layers (PSL), have been determined. The superlattices, consisting of ten SRO/SiO 2 layer pairs, have been prepared using plasma enhanced chemical vapor deposition. The porous silicon layers have been prepared using short monopulses of anodization current in the transition regime between porous silicon formation and electropolishing, in a mixture of hydrofluoric acid and ethanol. The optical modeling of both structures is similar. The effective dielectric function of the layer is calculated by EMA using nanocrystalline components (nc-Si and GCP) in a dielectric matrix (SRO) or voids (PSL). We discuss the two major problems occurring when modeling such structures: (1) the modeling of the vertically non-uniform layer structures (including the interface properties like nanoroughness at the layer boundaries) and (2) the parameterization of the dielectric function of nanocrystals. We used several techniques to reduce the large number of fit parameters of the GCP models. The obtained results are in good agreement with those obtained by X-ray diffraction and electron microscopy. We investigated the correlation of the broadening parameter and characteristic EMA components with the nanocrystal size and the sample preparation conditions, such as the annealing temperatures of the SRO superlattices and the anodization current density of the porous silicon samples. We found that the broadening parameter is a sensitive measure of the nanocrystallinity of the samples, even in cases, where the nanocrystals are too small to be visible for X-ray scattering. Major processes like sintering, phase separation, and intermixing have been

  13. Colloidal characterization of ultrafine silicon carbide and silicon nitride powders

    Science.gov (United States)

    Whitman, Pamela K.; Feke, Donald L.

    1986-01-01

    The effects of various powder treatment strategies on the colloid chemistry of aqueous dispersions of silicon carbide and silicon nitride are examined using a surface titration methodology. Pretreatments are used to differentiate between the true surface chemistry of the powders and artifacts resulting from exposure history. Silicon nitride powders require more extensive pretreatment to reveal consistent surface chemistry than do silicon carbide powders. As measured by titration, the degree of proton adsorption from the suspending fluid by pretreated silicon nitride and silicon carbide powders can both be made similar to that of silica.

  14. Design Process for Online Websites Created for Teaching Turkish as a Foreign Language in Web Based Environments

    Science.gov (United States)

    Türker, Fatih Mehmet

    2016-01-01

    In today's world, where online learning environments have increased their efficiency in education and training, the design of the websites prepared for education and training purposes has become an important process. This study is about the teaching process of the online learning environments created to teach Turkish in web based environments, and…

  15. Web Dynpro ABAP for practitioners

    CERN Document Server

    Gellert, Ulrich

    2013-01-01

    Web Dynpro ABAP, a NetWeaver web application user interface tool from SAP, enables web programming connected to SAP Systems. The authors' main focus was to create a book based on their own practical experience. Each chapter includes examples which lead through the content step-by-step and enable the reader to gradually explore and grasp the Web Dynpro ABAP process. The authors explain in particular how to design Web Dynpro components, the data binding and interface methods, and the view controller methods. They also describe the other SAP NetWeaver Elements (ABAP Dictionary, Authorization) and

  16. Defects in silicon effect on device performance and relationship to crystal growth conditions

    Science.gov (United States)

    Jastrzebski, L.

    1985-01-01

    A relationship between material defects in silicon and the performance of electronic devices will be described. A role which oxygen and carbon in silicon play during the defects generation process will be discussed. The electronic properties of silicon are a strong function of the oxygen state in the silicon. This state controls mechanical properties of silicon efficiency for internal gettering and formation of defects in the device's active area. In addition, to temperature, time, ambience, and the cooling/heating rates of high temperature treatments, the oxygen state is a function of the crystal growth process. The incorporation of carbon and oxygen into silicon crystal is controlled by geometry and rotation rates applied to crystal and crucible during crystal growths. Also, formation of nucleation centers for oxygen precipitation is influenced by the growth process, although there is still a controversy which parameters play a major role. All these factors will be reviewed with special emphasis on areas which are still ambiguous and controversial.

  17. Understanding the microwave annealing of silicon

    Directory of Open Access Journals (Sweden)

    Chaochao Fu

    2017-03-01

    Full Text Available Though microwave annealing appears to be very appealing due to its unique features, lacking an in-depth understanding and accurate model hinder its application in semiconductor processing. In this paper, the physics-based model and accurate calculation for the microwave annealing of silicon are presented. Both thermal effects, including ohmic conduction loss and dielectric polarization loss, and non-thermal effects are thoroughly analyzed. We designed unique experiments to verify the mechanism and extract relevant parameters. We also explicitly illustrate the dynamic interaction processes of the microwave annealing of silicon. This work provides an in-depth understanding that can expedite the application of microwave annealing in semiconductor processing and open the door to implementing microwave annealing for future research and applications.

  18. Stable configurations of graphene on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Javvaji, Brahmanandam; Shenoy, Bhamy Maithry [Department of Aerospace Engineering, Indian Institute of Science, Bangalore 560012 (India); Mahapatra, D. Roy, E-mail: droymahapatra@aero.iisc.ernet.in [Department of Aerospace Engineering, Indian Institute of Science, Bangalore 560012 (India); Ravikumar, Abhilash [Department of Metallurgical and Materials Engineering, National Institute of Technology Karnataka, Surathkal 575025 (India); Hegde, G.M. [Center for Nano Science and Engineering, Indian Institute of Science, Bangalore 560012 (India); Rizwan, M.R. [Department of Metallurgical and Materials Engineering, National Institute of Technology Karnataka, Surathkal 575025 (India)

    2017-08-31

    Highlights: • Simulations of epitaxial growth process for silicon–graphene system is performed. • Identified the most favourable orientation of graphene sheet on silicon substrate. • Atomic local strain due to the silicon–carbon bond formation is analyzed. - Abstract: Integration of graphene on silicon-based nanostructures is crucial in advancing graphene based nanoelectronic device technologies. The present paper provides a new insight on the combined effect of graphene structure and silicon (001) substrate on their two-dimensional anisotropic interface. Molecular dynamics simulations involving the sub-nanoscale interface reveal a most favourable set of temperature independent orientations of the monolayer graphene sheet with an angle of ∽15° between its armchair direction and [010] axis of the silicon substrate. While computing the favorable stable orientations, both the translation and the rotational vibrations of graphene are included. The possible interactions between the graphene atoms and the silicon atoms are identified from their coordination. Graphene sheet shows maximum bonding density with bond length 0.195 nm and minimum bond energy when interfaced with silicon substrate at 15° orientation. Local deformation analysis reveals probability distribution with maximum strain levels of 0.134, 0.047 and 0.029 for 900 K, 300 K and 100 K, respectively in silicon surface for 15° oriented graphene whereas the maximum probable strain in graphene is about 0.041 irrespective of temperature. Silicon–silicon dimer formation is changed due to silicon–carbon bonding. These results may help further in band structure engineering of silicon–graphene lattice.

  19. Antireflection/Passivation Step For Silicon Cell

    Science.gov (United States)

    Crotty, Gerald T.; Kachare, Akaram H.; Daud, Taher

    1988-01-01

    New process excludes usual silicon oxide passivation. Changes in principal electrical parameters during two kinds of processing suggest antireflection treatment almost as effective as oxide treatment in passivating cells. Does so without disadvantages of SiOx passivation.

  20. Interactions of structural defects with metallic impurities in multicrystalline silicon

    International Nuclear Information System (INIS)

    McHugo, S.A.; Thompson, A.C.; Hieslmair, H.

    1997-01-01

    Multicrystalline silicon is one of the most promising materials for terrestrial solar cells. It is critical to getter impurities from the material as well as inhibit contamination during growth and processing. Standard processing steps such as, phosphorus in-diffusion for p-n junction formation and aluminum sintering for backside ohmic contact fabrication, intrinsically possess gettering capabilities. These processes have been shown to improve L n values in regions of multicrystalline silicon with low structural defect densities but not in highly dislocated regions. Recent Deep Level Transient Spectroscopy (DLTS) results indirectly reveal higher concentrations of iron in highly dislocated regions while further work suggests that the release of impurities from structural defects, such as dislocations, is the rate limiting step for gettering in multicrystalline silicon. The work presented here directly demonstrates the relationship between metal impurities, structural defects and solar cell performance in multicrystalline silicon. Edge-defined Film-fed Growth (EFG) multicrystalline silicon in the as-grown state and after full solar cell processing was used in this study. Standard solar cell processing steps were carried out at ASE Americas Inc. Metal impurity concentrations and distributions were determined by use of the x-ray fluorescence microprobe (beamline 10.3.1) at the Advanced Light Source, Lawrence Berkeley National Laboratory. The sample was at atmosphere so only elements with Z greater than silicon could be detected, which includes all metal impurities of interest. Structural defect densities were determined by preferential etching and surface analysis using a Scanning Electron Microscope (SEM) in secondary electron mode. Mapped areas were exactly relocated between the XRF and SEM to allow for direct comparison of impurity and structural defect distributions