WorldWideScience

Sample records for silicon oxide substrate

  1. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  2. Spectroellipsometric detection of silicon substrate damage caused by radiofrequency sputtering of niobium oxide

    Science.gov (United States)

    Lohner, Tivadar; Serényi, Miklós; Szilágyi, Edit; Zolnai, Zsolt; Czigány, Zsolt; Khánh, Nguyen Quoc; Petrik, Péter; Fried, Miklós

    2017-11-01

    Substrate surface damage induced by deposition of metal atoms by radiofrequency (rf) sputtering or ion beam sputtering onto single-crystalline silicon (c-Si) surface has been characterized earlier by electrical measurements. The question arises whether it is possible to characterize surface damage using spectroscopic ellipsometry (SE). In our experiments niobium oxide layers were deposited by rf sputtering on c-Si substrates in gas mixture of oxygen and argon. Multiple angle of incidence spectroscopic ellipsometry measurements were performed, a four-layer optical model (surface roughness layer, niobium oxide layer, native silicon oxide layer and ion implantation-amorphized silicon [i-a-Si] layer on a c-Si substrate) was created in order to evaluate the spectra. The evaluations yielded thicknesses of several nm for the i-a-Si layer. Better agreement could be achieved between the measured and the generated spectra by inserting a mixed layer (with components of c-Si and i-a-Si applying the effective medium approximation) between the silicon oxide layer and the c-Si substrate. High depth resolution Rutherford backscattering (RBS) measurements were performed to investigate the interface disorder between the deposited niobium oxide layer and the c-Si substrate. Atomic resolution cross-sectional transmission electron microscopy investigation was applied to visualize the details of the damaged subsurface region of the substrate.

  3. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  4. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  5. Barrier layer arrangement for conductive layers on silicon substrates

    International Nuclear Information System (INIS)

    Hung, L.S.; Agostinelli, J.A.

    1990-01-01

    This patent describes a circuit element comprised of a silicon substrate and a conductive layer located on the substrate. It is characterized in that the conductive layer consists essentially of a rare earth alkaline earth copper oxide and a barrier layer triad is interposed between the silicon substrate and the conductive layer comprised of a first triad layer located adjacent the silicon substrate consisting essentially of silica, a third triad layer remote from the silicon substrate consisting essentially of a least one Group 4 heavy metal oxide, and a second triad layer interposed between the first and third triad layers consisting essentially of a mixture of silica and at lease one Group 4 heavy metal oxide

  6. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  7. Biofunctionalization on Alkylated Silicon Substrate Surfaces via “Click” Chemistry

    OpenAIRE

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J.; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-01-01

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the non-oxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3...

  8. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  9. Electron-spin-resonance study of radiation-induced paramagnetic defects in oxides grown on (100) silicon substrates

    International Nuclear Information System (INIS)

    Kim, Y.Y.; Lenahan, P.M.

    1988-01-01

    We have used electron-spin resonance to investigate radiation-induced point defects in Si/SiO 2 structures with (100) silicon substrates. We find that the radiation-induced point defects are quite similar to defects generated in Si/SiO 2 structures grown on (111) silicon substrates. In both cases, an oxygen-deficient silicon center, the E' defect, appears to be responsible for trapped positive charge. In both cases trivalent silicon (P/sub b/ centers) defects are primarily responsible for radiation-induced interface states. In earlier electron-spin-resonance studies of unirradiated (100) substrate capacitors two types of P/sub b/ centers were observed; in oxides prepared in three different ways only one of these centers, the P/sub b/ 0 defect, is generated in large numbers by ionizing radiation

  10. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  11. Plasma surface oxidation of 316L stainless steel for improving adhesion strength of silicone rubber coating to metal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Latifi, Afrooz, E-mail: afroozlatifi@yahoo.com [Department of Biomaterials, Biomedical Engineering Faculty, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Imani, Mohammad [Novel Drug Delivery Systems Dept., Iran Polymer and Petrochemical Institute, P.O. Box 14965/115, Tehran (Iran, Islamic Republic of); Khorasani, Mohammad Taghi [Biomaterials Dept., Iran Polymer and Petrochemical Institute, P.O. Box 14965/159, Tehran (Iran, Islamic Republic of); Daliri Joupari, Morteza [Animal and Marine Biotechnology Dept., National Institute of Genetic Engineering and Biotechnology, P.O. Box 14965/161, Tehran (Iran, Islamic Republic of)

    2014-11-30

    Highlights: • Stainless steel 316L was surface modified by plasma surface oxidation (PSO) and silicone rubber (SR) coating. • On the PSO substrates, concentration of oxide species was increased ca. 2.5 times comparing to non-PSO substrates. • The surface wettability was improved to 12.5°, in terms of water contact angle, after PSO. • Adhesion strength of SR coating on the PSO substrates was improved by more than two times comparing to non-PSO ones. • After pull-off test, the fractured area patterns for SR coating were dependent on the type of surface modifications received. - Abstract: Stainless steel 316L is one of the most widely used materials for fabricating of biomedical devices hence, improving its surface properties is still of great interest and challenging in biomaterial sciences. Plasma oxidation, in comparison to the conventional chemical or mechanical methods, is one of the most efficient methods recently used for surface treatment of biomaterials. Here, stainless steel specimens were surface oxidized by radio-frequency plasma irradiation operating at 34 MHz under pure oxygen atmosphere. Surface chemical composition of the samples was significantly changed after plasma oxidation by appearance of the chromium and iron oxides on the plasma-oxidized surface. A wettable surface, possessing high surface energy (83.19 mN m{sup −1}), was observed after plasma oxidation. Upon completion of the surface modification process, silicone rubber was spray coated on the plasma-treated stainless steel surface. Morphology of the silicone rubber coating was investigated by scanning electron microscopy (SEM). A uniform coating was formed on the oxidized surface with no delamination at polymer–metal interface. Pull-off tests showed the lowest adhesion strength of coating to substrate (0.12 MPa) for untreated specimens and the highest (0.89 MPa) for plasma-oxidized ones.

  12. Simulation of atomistic processes during silicon oxidation

    OpenAIRE

    Bongiorno, Angelo

    2003-01-01

    Silicon dioxide (SiO2) films grown on silicon monocrystal (Si) substrates form the gate oxides in current Si-based microelectronics devices. The understanding at the atomic scale of both the silicon oxidation process and the properties of the Si(100)-SiO2 interface is of significant importance in state-of-the-art silicon microelectronics manufacturing. These two topics are intimately coupled and are both addressed in this theoretical investigation mainly through first-principles calculations....

  13. Metal oxide nanorod arrays on monolithic substrates

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Pu-Xian; Guo, Yanbing; Ren, Zheng

    2018-01-02

    A metal oxide nanorod array structure according to embodiments disclosed herein includes a monolithic substrate having a surface and multiple channels, an interface layer bonded to the surface of the substrate, and a metal oxide nanorod array coupled to the substrate surface via the interface layer. The metal oxide can include ceria, zinc oxide, tin oxide, alumina, zirconia, cobalt oxide, and gallium oxide. The substrate can include a glass substrate, a plastic substrate, a silicon substrate, a ceramic monolith, and a stainless steel monolith. The ceramic can include cordierite, alumina, tin oxide, and titania. The nanorod array structure can include a perovskite shell, such as a lanthanum-based transition metal oxide, or a metal oxide shell, such as ceria, zinc oxide, tin oxide, alumina, zirconia, cobalt oxide, and gallium oxide, or a coating of metal particles, such as platinum, gold, palladium, rhodium, and ruthenium, over each metal oxide nanorod. Structures can be bonded to the surface of a substrate and resist erosion if exposed to high velocity flow rates.

  14. Study of thickness and uniformity of oxide passivation with DI-O3 on silicon substrate for electronic and photonic applications

    Science.gov (United States)

    Sharma, Mamta; Hazra, Purnima; Singh, Satyendra Kumar

    2018-05-01

    Since the beginning of semiconductor fabrication technology evolution, clean and passivated substrate surface is one of the prime requirements for fabrication of Electronic and optoelectronic device fabrication. However, as the scale of silicon circuits and device architectures are continuously decreased from micrometer to nanometer (from VLSI to ULSI technology), the cleaning methods to achieve better wafer surface qualities has raised research interests. The development of controlled and uniform silicon dioxide is the most effective and reliable way to achieve better wafer surface quality for fabrication of electronic devices. On the other hand, in order to meet the requirement of high environment safety/regulatory standards, the innovation of cleaning technology is also in demand. The controlled silicon dioxide layer formed by oxidant de-ionized ozonated water has better uniformity. As the uniformity of the controlled silicon dioxide layer is improved on the substrate, it enhances the performance of the devices. We can increase the thickness of oxide layer, by increasing the ozone time treatment. We reported first time to measurement of thickness of controlled silicon dioxide layer and obtained the uniform layer for same ozone time.

  15. Selective growth of carbon nanotube on silicon substrates

    Institute of Scientific and Technical Information of China (English)

    ZOU Xiao-ping; H. ABE; T. SHIMIZU; A. ANDO; H. TOKUMOT; ZHU Shen-ming; ZHOU Hao-shen

    2006-01-01

    The carbon nanotube (CNT) growth of iron oxide-deposited trench-patterns and the locally-ordered CNT arrays on silicon substrate were achieved by simple thermal chemical vapor deposition(STCVD) of ethanol vapor. The CNTs were uniformly synthesized with good selectivity on trench-patterned silicon substrates. This fabrication process is compatible with currently used semiconductor-processing technologies,and the carbon-nanotube fabrication process can be widely applied for the development of electronic devices using carbon-nanotube field emitters as cold cathodes and can revolutionize the area of field-emitting electronic devices. The site-selective growth of CNT from an iron oxide nanoparticle catalyst patterned were also achieved by drying-mediated self-assembly technique. The present method offers a simple and cost-effective method to grow carbon nanotubes with self-assembled patterns.

  16. Substrate and p-layer effects on polymorphous silicon solar cells

    Directory of Open Access Journals (Sweden)

    Abolmasov S.N.

    2014-07-01

    Full Text Available The influence of textured transparent conducting oxide (TCO substrate and p-layer on the performance of single-junction hydrogenated polymorphous silicon (pm-Si:H solar cells has been addressed. Comparative studies were performed using p-i-n devices with identical i/n-layers and back reflectors fabricated on textured Asahi U-type fluorine-doped SnO2, low-pressure chemical vapor deposited (LPCVD boron-doped ZnO and sputtered/etched aluminum-doped ZnO substrates. The p-layers were hydrogenated amorphous silicon carbon and microcrystalline silicon oxide. As expected, the type of TCO and p-layer both have a great influence on the initial conversion efficiency of the solar cells. However they have no effect on the defect density of the pm-Si:H absorber layer.

  17. Subsurface oxidation for micropatterning silicon (SOMS).

    Science.gov (United States)

    Zhang, Feng; Sautter, Ken; Davis, Robert C; Linford, Matthew R

    2009-02-03

    Here we present a straightforward patterning technique for silicon: subsurface oxidation for micropatterning silicon (SOMS). In this method, a stencil mask is placed above a silicon surface. Radio-frequency plasma oxidation of the substrate creates a pattern of thicker oxide in the exposed regions. Etching with HF or KOH produces very shallow or much higher aspect ratio features on silicon, respectively, where patterning is confirmed by atomic force microscopy, scanning electron microscopy, and optical microscopy. The oxidation process itself is studied under a variety of reaction conditions, including higher and lower oxygen pressures (2 and 0.5 Torr), a variety of powers (50-400 W), different times and as a function of reagent purity (99.5 or 99.994% oxygen). SOMS can be easily executed in any normal chemistry laboratory with a plasma generator. Because of its simplicity, it may have industrial viability.

  18. Multifunctional epitaxial systems on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968 (United States); Prater, John Thomas [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such as threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  19. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    Science.gov (United States)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  20. Tribology study of reduced graphene oxide sheets on silicon substrate synthesized via covalent assembly.

    Science.gov (United States)

    Ou, Junfei; Wang, Jinqing; Liu, Sheng; Mu, Bo; Ren, Junfang; Wang, Honggang; Yang, Shengrong

    2010-10-19

    Reduced graphene oxide (RGO) sheets were covalently assembled onto silicon wafers via a multistep route based on the chemical adsorption and thermal reduction of graphene oxide (GO). The formation and microstructure of RGO were analyzed by X-ray photoelectron spectroscopy (XPS), attenuated total reflectance Fourier transform infrared (ATR-FTIR) spectroscopy, Raman spectroscopy, and water contact angle (WCA) measurements. Characterization by atomic force microscopy (AFM) was performed to evaluate the morphology and microtribological behaviors of the samples. Macrotribological performance was tested on a ball-on-plate tribometer. Results show that the assembled RGO possesses good friction reduction and antiwear ability, properties ascribed to its intrinsic structure, that is, the covalent bonding to the substrate and self-lubricating property of RGO.

  1. Optical and electrical characteristics of zirconium oxide thin films deposited on silicon substrates by spray pyrolysis

    International Nuclear Information System (INIS)

    Aguilar-Frutis, M.; Araiza, J.J.; Falcony, C.; Garcia, M.

    2002-01-01

    The optical and electrical characteristics of zirconium oxide thin films deposited by spray pyrolysis on silicon substrates are reported. The films were deposited from a spraying solution of zirconium acetylacetonate in N,N-dimethylformamide using an ultrasonic mist generator on (100) Si substrates. The substrate temperature during deposition was in the range of 400 to 600 grad C. Deposition rates up to 16 A/sec were obtained depending on the spraying solution concentration and on the substrate temperature. A refraction index of the order of 2.0 was measured on these films by ellipsometry. The electrical characteristics of the films were determined from the capacitance and current versus voltage measurements. The addition of water mist during the spraying deposition process was also studied in the characteristics of the films. (Authors)

  2. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa

    2014-08-28

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  3. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto

    2014-01-01

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  4. Preparation of Mica and Silicon Substrates for DNA Origami Analysis and Experimentation

    Science.gov (United States)

    Pillers, Michelle A.; Shute, Rebecca; Farchone, Adam; Linder, Keenan P.; Doerfler, Rose; Gavin, Corey; Goss, Valerie; Lieberman, Marya

    2015-01-01

    The designed nature and controlled, one-pot synthesis of DNA origami provides exciting opportunities in many fields, particularly nanoelectronics. Many of these applications require interaction with and adhesion of DNA nanostructures to a substrate. Due to its atomically flat and easily cleaned nature, mica has been the substrate of choice for DNA origami experiments. However, the practical applications of mica are relatively limited compared to those of semiconductor substrates. For this reason, a straightforward, stable, and repeatable process for DNA origami adhesion on derivatized silicon oxide is presented here. To promote the adhesion of DNA nanostructures to silicon oxide surface, a self-assembled monolayer of 3-aminopropyltriethoxysilane (APTES) is deposited from an aqueous solution that is compatible with many photoresists. The substrate must be cleaned of all organic and metal contaminants using Radio Corporation of America (RCA) cleaning processes and the native oxide layer must be etched to ensure a flat, functionalizable surface. Cleanrooms are equipped with facilities for silicon cleaning, however many components of DNA origami buffers and solutions are often not allowed in them due to contamination concerns. This manuscript describes the set-up and protocol for in-lab, small-scale silicon cleaning for researchers who do not have access to a cleanroom or would like to incorporate processes that could cause contamination of a cleanroom CMOS clean bench. Additionally, variables for regulating coverage are discussed and how to recognize and avoid common sample preparation problems is described. PMID:26274888

  5. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  6. High performance multilayered nano-crystalline silicon/silicon-oxide light-emitting diodes on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Darbari, S; Shahmohammadi, M; Mortazavi, M; Mohajerzadeh, S [Thin Film and Nano-Electronic Laboratory, School of ECE, University of Tehran, Tehran (Iran, Islamic Republic of); Abdi, Y [Nano-Physics Research Laboratory, Department of Physics, University of Tehran, Tehran (Iran, Islamic Republic of); Robertson, M; Morrison, T, E-mail: mohajer@ut.ac.ir [Department of Physics, Acadia University, Wolfville, NS (Canada)

    2011-09-16

    A low-temperature hydrogenation-assisted sequential deposition and crystallization technique is reported for the preparation of nano-scale silicon quantum dots suitable for light-emitting applications. Radio-frequency plasma-enhanced deposition was used to realize multiple layers of nano-crystalline silicon while reactive ion etching was employed to create nano-scale features. The physical characteristics of the films prepared using different plasma conditions were investigated using scanning electron microscopy, transmission electron microscopy, room temperature photoluminescence and infrared spectroscopy. The formation of multilayered structures improved the photon-emission properties as observed by photoluminescence and a thin layer of silicon oxy-nitride was then used for electrical isolation between adjacent silicon layers. The preparation of light-emitting diodes directly on glass substrates has been demonstrated and the electroluminescence spectrum has been measured.

  7. Biofunctionalization on alkylated silicon substrate surfaces via "click" chemistry.

    Science.gov (United States)

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-11-24

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the nonoxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3-dipolar cycloaddition (CuAAC, a "click" reaction) were reported. However, yields of the CuAAC reactions on these monolayer platforms were low. Also, the nonspecific adsorption of proteins on the resultant surfaces remained a major obstacle for many potential biological applications. Herein, we report a new type of "clickable" monolayers grown by selective, photoactivated surface hydrosilylation of α,ω-alkenynes, where the alkynyl terminal is protected with a trimethylgermanyl (TMG) group, on hydrogen-terminated silicon substrates. The TMG groups on the film are readily removed in aqueous solutions in the presence of Cu(I). Significantly, the degermanylation and the subsequent CuAAC reaction with various azides could be combined into a single step in good yields. Thus, oligo(ethylene glycol) (OEG) with an azido tag was attached to the TMG-alkyne surfaces, leading to OEG-terminated surfaces that reduced the nonspecific adsorption of protein (fibrinogen) by >98%. The CuAAC reaction could be performed in microarray format to generate arrays of mannose and biotin with varied densities on the protein-resistant OEG background. We also demonstrated that the monolayer platform could be functionalized with mannose for highly specific capturing of living targets (Escherichia coli expressing fimbriae) onto the silicon substrates.

  8. Design and Fabrication of Silicon-on-Silicon-Carbide Substrates and Power Devices for Space Applications

    Directory of Open Access Journals (Sweden)

    Gammon P.M.

    2017-01-01

    Full Text Available A new generation of power electronic semiconductor devices are being developed for the benefit of space and terrestrial harsh-environment applications. 200-600 V lateral transistors and diodes are being fabricated in a thin layer of silicon (Si wafer bonded to silicon carbide (SiC. This novel silicon-on-silicon-carbide (Si/SiC substrate solution promises to combine the benefits of silicon-on-insulator (SOI technology (i.e device confinement, radiation tolerance, high and low temperature performance with that of SiC (i.e. high thermal conductivity, radiation hardness, high temperature performance. Details of a process are given that produces thin films of silicon 1, 2 and 5 μm thick on semi-insulating 4H-SiC. Simulations of the hybrid Si/SiC substrate show that the high thermal conductivity of the SiC offers a junction-to-case temperature ca. 4× less that an equivalent SOI device; reducing the effects of self-heating, and allowing much greater power density. Extensive electrical simulations are used to optimise a 600 V laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOSFET implemented entirely within the silicon thin film, and highlight the differences between Si/SiC and SOI solutions.

  9. Fluorescence and thermoluminescence in silicon oxide films rich in silicon

    International Nuclear Information System (INIS)

    Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.

    2009-10-01

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  10. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    Science.gov (United States)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  11. A CMOS-compatible silicon substrate optimization technique and its application in radio frequency crosstalk isolation

    International Nuclear Information System (INIS)

    Li Chen; Liao Huailin; Huang Ru; Wang Yangyuan

    2008-01-01

    In this paper, a complementary metal-oxide semiconductor (CMOS)-compatible silicon substrate optimization technique is proposed to achieve effective isolation. The selective growth of porous silicon is used to effectively suppress the substrate crosstalk. The isolation structures are fabricated in standard CMOS process and then this post-CMOS substrate optimization technique is carried out to greatly improve the performances of crosstalk isolation. Three-dimensional electro-magnetic simulation is implemented to verify the obvious effect of our substrate optimization technique. The morphologies and growth condition of porous silicon fabricated have been investigated in detail. Furthermore, a thick selectively grown porous silicon (SGPS) trench for crosstalk isolation has been formed and about 20dB improvement in substrate isolation is achieved. These results demonstrate that our post-CMOS SGPS technique is very promising for RF IC applications. (cross-disciplinary physics and related areas of science and technology)

  12. Annealing behavior of oxygen in-diffusion from SiO2 film to silicon substrate

    International Nuclear Information System (INIS)

    Abe, T.; Yamada-Kaneta, H.

    2004-01-01

    Diffusion behavior of oxygen at (near) the Si/SiO 2 interface was investigated. We first oxidized the floating-zone-grown silicon substrates, and then annealed the SiO 2 -covered substrates in an argon ambient. We examined two different conditions for oxidation: wet and dry oxidation. By the secondary-ion-mass spectrometry, we measured the depth profiles of the oxygen in-diffusion of these heat-treated silicon substrates: We found that the energy of dissolution (in-diffusion) of an oxygen atom that dominates the oxygen concentration at the Si/SiO 2 interface depends on the oxidation condition: 2.0 and 1.7 eV for wet and dry oxidation, respectively. We also found that the barrier heights for the oxygen diffusion in argon anneal were significantly different for different ambients adopted for the SiO 2 formation: 3.3 and 1.8 eV for wet and dry oxidation, respectively. These findings suggest that the microscopic behavior of the oxygen atoms at the Si/SiO 2 interface during the argon anneal depends on the ambient adopted for the SiO 2 formation

  13. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    Science.gov (United States)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  14. A convenient way of manufacturing silicon nanotubes on a silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Changchang; Cheng, Heming; Liu, Xiang, E-mail: liuxiang@ahut.edu.cn

    2016-07-01

    A convenient approach of preparing silicon nanotubes (SiNTs) on a silicon substrate is described in this work in detail. Firstly, a porous silicon (PSi) slice is prepared by a galvanic displacement reaction. Then it is put into aqueous solutions of 20% (w%) ammonium fluoride and 2.5 mM cobalt nitrate for a predetermined time. The cobalt ions are reduced and the resulted cobalt particles are deposited on the PSi slice. After the cobalt particles are removed with 5 M nitric acid a plenty of SiNTs come out and exhibit disorderly on the silicon substrate, which are illustrated by scanning electron microscopy (SEM). The compositions of the SiNTs are examined by energy-dispersive X-ray spectroscopy. Based on the SEM images, a suggested mechanism is put forward to explain the generation of the SiNTs on the PSi substrate. - Highlights: • A facile approach of preparing silicon nano tubes was invented. • The experimental results demonstrated the strong reducibility of Si-H{sub x} species. • It provided a new way of manufacturing silicon-contained hybrids.

  15. Chemical resistivity of self-assembled monolayer covalently attached to silicon substrate to hydrofluoric acid and ammonium fluoride

    Science.gov (United States)

    Saito, N.; Youda, S.; Hayashi, K.; Sugimura, H.; Takai, O.

    2003-06-01

    Self-assembled monolayers (SAMs) were prepared on hydrogen-terminated silicon substrates through chemical vapor deposition using 1-hexadecene (HD) as a precursor. The HD-SAMs prepared in an atmosphere under a reduced pressure (≈50 Pa) showed better chemical resistivities to hydrofluoric acid and ammonium fluoride (NH 4F) solutions than that of an organosilane SAM formed on oxide-covered silicon substrates. The surface covered with the HD-SAM was micro-patterned by vacuum ultraviolet photolithography and consequently divided into two areas terminated with HD-SAM or silicon dioxide. This micro-patterned sample was immersed in a 40 vol.% NH 4F aqueous solution. Surface images obtained by an optical microscopy clearly show that the micro-patterns of HD-SAM/silicon dioxide were successfully transferred into the silicon substrate.

  16. Carbon nanotube network-silicon oxide non-volatile switches.

    Science.gov (United States)

    Liao, Albert D; Araujo, Paulo T; Xu, Runjie; Dresselhaus, Mildred S

    2014-12-08

    The integration of carbon nanotubes with silicon is important for their incorporation into next-generation nano-electronics. Here we demonstrate a non-volatile switch that utilizes carbon nanotube networks to electrically contact a conductive nanocrystal silicon filament in silicon dioxide. We form this device by biasing a nanotube network until it physically breaks in vacuum, creating the conductive silicon filament connected across a small nano-gap. From Raman spectroscopy, we observe coalescence of nanotubes during breakdown, which stabilizes the system to form very small gaps in the network~15 nm. We report that carbon nanotubes themselves are involved in switching the device to a high resistive state. Calculations reveal that this switching event occurs at ~600 °C, the temperature associated with the oxidation of nanotubes. Therefore, we propose that, in switching to a resistive state, the nanotube oxidizes by extracting oxygen from the substrate.

  17. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  18. Titanium disilicide formation by sputtering of titanium on heated silicon substrate

    Science.gov (United States)

    Tanielian, M.; Blackstone, S.

    1984-09-01

    We have sputter deposited titanium on bare silicon substrates at elevated temperatures. We find that at a substrate temperature of about 515 °C titanium silicide is formed due to the reaction of the titanium with the Si. The resistivity of the silicide is about 15 μΩ cm and it is not etchable in a selective titanium etch. This process can have applications in low-temperature, metal-oxide-semiconductor self-aligned silicide formation for very large scale integrated

  19. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    International Nuclear Information System (INIS)

    Duraia, El-Shazly M.; Mansurov, Z.A.; Tokmolden, S.; Beall, Gary W.

    2010-01-01

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm -1 and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  20. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M., E-mail: duraia_physics@yahoo.co [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, Almaty (Kazakhstan); Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Mansurov, Z.A. [Al-Farabi Kazakh National University, Almaty (Kazakhstan); Tokmolden, S. [Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Beall, Gary W. [Texas State University-San Marcos, Department of Chemistry and Biochemistry, 601 University Dr., San Marcos, TX 78666 (United States)

    2010-02-15

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm{sup -1} and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  1. Y-Ba-Cu-O superconducting film on oxidized silicon

    International Nuclear Information System (INIS)

    Gupta, R.P.; Khokle, W.S.; Dubey, R.C.; Singhal, S.; Nagpal, K.C.; Rao, G.S.T.; Jain, J.D.

    1988-01-01

    We report thick superconducting films of Y-Ba-Cu-O on oxidized silicon substrates. The critical temperatures for onset and zero resistance are 96 and 77 K, respectively. X-ray diffraction analysis predicts 1, 2, 3 composition and orthorhombic phase of the film

  2. Superhydrophobic SERS substrates based on silicon hierarchical nanostructures

    Science.gov (United States)

    Chen, Xuexian; Wen, Jinxiu; Zhou, Jianhua; Zheng, Zebo; An, Di; Wang, Hao; Xie, Weiguang; Zhan, Runze; Xu, Ningsheng; Chen, Jun; She, Juncong; Chen, Huanjun; Deng, Shaozhi

    2018-02-01

    Silicon nanostructures have been cultivated as promising surface enhanced Raman scattering (SERS) substrates in terms of their low-loss optical resonance modes, facile functionalization, and compatibility with today’s state-of-the-art CMOS techniques. However, unlike their plasmonic counterparts, the electromagnetic field enhancements induced by silicon nanostructures are relatively small, which restrict their SERS sensing limit to around 10-7 M. To tackle this problem, we propose here a strategy for improving the SERS performance of silicon nanostructures by constructing silicon hierarchical nanostructures with a superhydrophobic surface. The hierarchical nanostructures are binary structures consisted of silicon nanowires (NWs) grown on micropyramids (MPs). After being modified with perfluorooctyltriethoxysilane (PFOT), the nanostructure surface shows a stable superhydrophobicity with a high contact angle of ˜160°. The substrate can allow for concentrating diluted analyte solutions into a specific area during the evaporation of the liquid droplet, whereby the analytes are aggregated into a small volume and can be easily detected by the silicon nanostructure SERS substrate. The analyte molecules (methylene blue: MB) enriched from an aqueous solution lower than 10-8 M can be readily detected. Such a detection limit is ˜100-fold lower than the conventional SERS substrates made of silicon nanostructures. Additionally, the detection limit can be further improved by functionalizing gold nanoparticles onto silicon hierarchical nanostructures, whereby the superhydrophobic characteristics and plasmonic field enhancements can be combined synergistically to give a detection limit down to ˜10-11 M. A gold nanoparticle-functionalized superhydrophobic substrate was employed to detect the spiked melamine in liquid milk. The results showed that the detection limit can be as low as 10-5 M, highlighting the potential of the proposed superhydrophobic SERS substrate in

  3. Free-standing silicon micro machined resistors from (110) substrate

    International Nuclear Information System (INIS)

    Bernardini, R.; Diligenti, A.; Nannini, A.; Piotto, M.

    1998-01-01

    A simple process to obtain silicon planes released from the substrate and provided with large area pads for ohmic contacts is described. Resistors 500 μm long with a 40 μm x 1 μm cross section were obtained. Resistance measurements showed that the current flows in a reduced cross section, probably owing to the presence of a superficial depletion layer. Preliminary magnetoresistance measurements are presented. Reduction of the resistor cross section can be obtained by thermal oxidation

  4. Fabrication of amorphous silicon nanoribbons by atomic force microscope tip-induced local oxidation for thin film device applications

    International Nuclear Information System (INIS)

    Pichon, L; Rogel, R; Demami, F

    2010-01-01

    We demonstrate the feasibility of induced local oxidation of amorphous silicon by atomic force microscopy. The resulting local oxide is used as a mask for the elaboration of a thin film silicon resistor. A thin amorphous silicon layer deposited on a glass substrate is locally oxidized following narrow continuous lines. The corresponding oxide line is then used as a mask during plasma etching of the amorphous layer leading to the formation of a nanoribbon. Such an amorphous silicon nanoribbon is used for the fabrication of the resistor

  5. Non-silicon substrate bonding mediated by poly(dimethylsiloxane) interfacial coating

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Hainan [Department of BioNano Technology, Gachon University, Gyeonggi-do 461-701 (Korea, Republic of); Lee, Nae Yoon, E-mail: nylee@gachon.ac.kr [Department of BioNano Technology, Gachon University, Gyeonggi-do 461-701 (Korea, Republic of); Gachon Medical Research Institute, Gil Medical Center, Inchon 405-760 (Korea, Republic of)

    2015-02-01

    Graphical abstract: Low-molecular-weight PDMS coating on the surfaces of non-silicon substrates such as thermoplastics ensures permanent sealing with a silicone elastomer, PDMS, simply by surface oxidization followed by ambient condition bonding, mediated by a robust siloxane bond formation at the interface. - Highlights: • Non-silicon thermoplastic was bonded with poly(dimethylsiloxane) silicone elastomer. • Low-molecular-weight PDMS interfacial layer was chemically coated on thermoplastic. • Bonding was realized by corona treatment and physical contact under ambient condition. • Bonding is universally applicable regardless of thermoplastic type and property. • Homogeneous PDMS-like microchannel was obtained inside the thermoplastic-PDMS microdevice. - Abstract: In this paper, we introduce a simple and robust strategy for bonding poly(dimethylsiloxane) (PDMS) with various thermoplastic substrates to fabricate a thermoplastic-based closed microfluidic device and examine the feasibility of using the proposed method for realizing plastic–plastic bonding. The proposed bonding strategy was realized by first coating amine functionality on an oxidized thermoplastic surface. Next, the amine-functionalized surface was reacted with a monolayer of low-molecular-weight PDMS, terminated with epoxy functionality, by forming a robust amine-epoxy bond. Both the PDMS-coated thermoplastic and PDMS were then oxidized and permanently assembled at 25 °C under a pressure of 0.1 MPa for 15 min, resulting in PDMS-like surfaces on all four inner walls of the microchannel. Surface characterizations were conducted, including water contact angle measurement, X-ray photoelectron spectroscopy (XPS), and fluorescence measurement, to confirm the successful coating of the thin PDMS layer on the plastic surface, and the bond strength was analyzed by conducting a peel test, burst test, and leakage test. Using the proposed method, we could successfully bond various thermoplastics such

  6. Porous-shaped silicon carbide ultraviolet photodetectors on porous silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Naderi, N., E-mail: naderi.phd@gmail.com [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2013-03-05

    Highlights: ► Porous-shaped silicon carbide thin film was deposited on porous silicon substrate. ► Thermal annealing was followed to enhance the physical properties of samples. ► Metal–semiconductor-metal ultraviolet detectors were fabricated on samples. ► The effect of annealing temperature on electrical performance of devices was studied. ► The efficiency of photodetectors was enhanced by annealing at elevated temperatures. -- Abstract: A metal–semiconductor-metal (MSM) ultraviolet photodetector was fabricated based on a porous-shaped structure of silicon carbide (SiC). For increasing the surface roughness of SiC and hence enhancing the light absorption effect in fabricated devices, porous silicon (PS) was chosen as a template; SiC was deposited on PS substrates via radio frequency magnetron sputtering. Therefore, the deposited layers followed the structural pattern of PS skeleton and formed a porous-shaped SiC layer on PS substrate. The structural properties of samples showed that the as-deposited SiC was amorphous. Thus, a post-deposition annealing process with elevated temperatures was required to convert its amorphous phase to crystalline phase. The morphology of the sputtered samples was examined via scanning electron and atomic force microscopies. The grain size and roughness of the deposited layers clearly increased upon an increase in the annealing temperature. The optical properties of sputtered SiC were enhanced due to applying high temperatures. The most intense photoluminescence peak was observed for the sample with 1200 °C of annealing temperature. For the metallization of the SiC substrates to fabricate MSM photodetectors, two interdigitated Schottky contacts of Ni with four fingers for each electrode were deposited onto all the porous substrates. The optoelectronic characteristics of MSM UV photodetectors with porous-shaped SiC substrates were studied in the dark and under UV illumination. The electrical characteristics of fabricated

  7. Porous-shaped silicon carbide ultraviolet photodetectors on porous silicon substrates

    International Nuclear Information System (INIS)

    Naderi, N.; Hashim, M.R.

    2013-01-01

    Highlights: ► Porous-shaped silicon carbide thin film was deposited on porous silicon substrate. ► Thermal annealing was followed to enhance the physical properties of samples. ► Metal–semiconductor-metal ultraviolet detectors were fabricated on samples. ► The effect of annealing temperature on electrical performance of devices was studied. ► The efficiency of photodetectors was enhanced by annealing at elevated temperatures. -- Abstract: A metal–semiconductor-metal (MSM) ultraviolet photodetector was fabricated based on a porous-shaped structure of silicon carbide (SiC). For increasing the surface roughness of SiC and hence enhancing the light absorption effect in fabricated devices, porous silicon (PS) was chosen as a template; SiC was deposited on PS substrates via radio frequency magnetron sputtering. Therefore, the deposited layers followed the structural pattern of PS skeleton and formed a porous-shaped SiC layer on PS substrate. The structural properties of samples showed that the as-deposited SiC was amorphous. Thus, a post-deposition annealing process with elevated temperatures was required to convert its amorphous phase to crystalline phase. The morphology of the sputtered samples was examined via scanning electron and atomic force microscopies. The grain size and roughness of the deposited layers clearly increased upon an increase in the annealing temperature. The optical properties of sputtered SiC were enhanced due to applying high temperatures. The most intense photoluminescence peak was observed for the sample with 1200 °C of annealing temperature. For the metallization of the SiC substrates to fabricate MSM photodetectors, two interdigitated Schottky contacts of Ni with four fingers for each electrode were deposited onto all the porous substrates. The optoelectronic characteristics of MSM UV photodetectors with porous-shaped SiC substrates were studied in the dark and under UV illumination. The electrical characteristics of fabricated

  8. Characterization of nanostructured CuO-porous silicon matrixformed on copper coated silicon substrate via electrochemical etching

    International Nuclear Information System (INIS)

    Naddaf, M.; Mrad, O.; Al-Zier, A.

    2015-01-01

    A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak (blue) PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.(author)

  9. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  10. Characterization of nanostructured CuO-porous silicon matrix formed on copper-coated silicon substrate via electrochemical etching

    Science.gov (United States)

    Naddaf, M.; Mrad, O.; Al-zier, A.

    2014-06-01

    A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak `blue' PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.

  11. Characterization of 10 μm thick porous silicon dioxide obtained by complex oxidation process for RF application

    International Nuclear Information System (INIS)

    Park, Jeong-Yong; Lee, Jong-Hyun

    2003-01-01

    This paper proposes a 10 μm thick oxide layer structure, which can be used as a substrate for RF circuits. The structure has been fabricated by anodic reaction and complex oxidation, which is a combined process of low temperature thermal oxidation (500 deg. C, for 1 h at H 2 O/O 2 ) and a rapid thermal oxidation (RTO) process (1050 deg. C, for 1 min). The electrical characteristics of oxidized porous silicon layer (OPSL) were almost the same as those of standard thermal silicon dioxide. The leakage current through the OPSL of 10 μm was about 100-500 pA in the range of 0-50 V. The average value of breakdown field was about 3.9 MV cm -1 . From the X-ray photo-electron spectroscopy (XPS) analysis, surface and internal oxide films of OPSL, prepared by complex process were confirmed to be completely oxidized and also the role of RTO process was important for the densification of porous silicon layer (PSL) oxidized at a lower temperature. For the RF-test of Si substrate with thick silicon dioxide layer, we have fabricated high performance passive devices such as coplanar waveguide (CPW) on OPSL substrate. The insertion loss of CPW on OPSL prepared by complex oxidation process was -0.39 dB at 4 GHz and similar to that of CPW on OPSL prepared by a temperature of 1050 deg. C (1 h at H 2 O/O 2 ). Also the return loss of CPW on OPSL prepared by complex oxidation process was -23 dB at 10 GHz, which is similar to that of CPW on OPSL prepared by high temperature

  12. Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

    NARCIS (Netherlands)

    Doeswijk, L.M.; de Moor, Hugo H.C.; Rogalla, Horst; Blank, David H.A.

    2002-01-01

    Since most commercially available solar cells are still made from silicon, we are exploring the introduction of passivating qualities in oxides, with the potential to serve as an antireflection coating. Pulsed laser deposition (PLD) was used to deposit TiO2 and SrTiO3 coatings on silicon substrates.

  13. Growth and characterization of thick cBN coatings on silicon and tool substrates

    International Nuclear Information System (INIS)

    Bewilogua, K.; Keunecke, M.; Weigel, K.; Wiemann, E.

    2004-01-01

    Recently some research groups have achieved progress in the deposition of cubic boron nitride (cBN) coatings with a thickness of 2 μm and more, which is necessary for cutting tool applications. In our laboratory, thick cBN coatings were sputter deposited on silicon substrates using a boron carbide target. Following a boron carbide interlayer (few 100 nm thick), a gradient layer with continuously increasing nitrogen content was prepared. After the cBN nucleation, the process parameters were modified for the cBN film growth to a thickness of more than 2 μm. However, the transfer of this technology to technically relevant substrates, like cemented carbide cutting inserts, required some further process modifications. At first, a titanium interlayer had to be deposited followed by a more than 1-μm-thick boron carbide layer. The next steps were identical to those on silicon substrates. The total coating thickness was in the range of 3 μm with a 0.5- to nearly 1-μm-thick cBN top layer. In spite of the enormous intrinsic stress, both the coatings on silicon and on cemented carbide exhibited a good adhesion and a prolonged stability in humid air. Oxidation experiments revealed a stability of the coating system on cemented carbide up to 700 deg. C and higher. Coated cutting inserts were tested in turning operations with different metallic workpiece materials. The test results will be compared to those of well-established cutting materials, like polycrystalline cubic boron nitride (PCBN) and oxide ceramics, considering the wear of coated tools

  14. Tuning of structural, light emission and wetting properties of nanostructured copper oxide-porous silicon matrix formed on electrochemically etched copper-coated silicon substrates

    Science.gov (United States)

    Naddaf, M.

    2017-01-01

    Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.

  15. Study on defects and impurities in cast-grown polycrystalline silicon substrates for solar cells

    International Nuclear Information System (INIS)

    Arafune, K.; Sasaki, T.; Wakabayashi, F.; Terada, Y.; Ohshita, Y.; Yamaguchi, M.

    2006-01-01

    We focused on the defects and impurities in polycrystalline silicon substrates, which deteriorate solar cell efficiency. Comparison of the minority carrier lifetime with the grain size showed that the region with short minority carrier lifetimes did not correspond to the region with small grains. Conversely, the minority carrier lifetime decreased as the etch-pit density (EPD) increased, suggesting that the minority carrier lifetime is strongly affected by the EPD. Electron beam induced current measurements revealed that a combination of grain boundaries and point defects had high recombination activity. Regarding impurities, the interstitial oxygen concentration was relatively low compared with that in a Czochralski-grown silicon substrate, the total carbon concentration exceeded the solubility limit of silicon melt. X-ray microprobe fluorescence measurements revealed a large amount of iron in the regions where there were many etch-pits and grain boundaries with etch-pits. X-ray absorption near edge spectrum analysis revealed trapped iron in the form of oxidized iron

  16. High-density oxidized porous silicon

    International Nuclear Information System (INIS)

    Gharbi, Ahmed; Souifi, Abdelkader; Remaki, Boudjemaa; Halimaoui, Aomar; Bensahel, Daniel

    2012-01-01

    We have studied oxidized porous silicon (OPS) properties using Fourier transform infraRed (FTIR) spectroscopy and capacitance–voltage C–V measurements. We report the first experimental determination of the optimum porosity allowing the elaboration of high-density OPS insulators. This is an important contribution to the research of thick integrated electrical insulators on porous silicon based on an optimized process ensuring dielectric quality (complete oxidation) and mechanical and chemical reliability (no residual pores or silicon crystallites). Through the measurement of the refractive indexes of the porous silicon (PS) layer before and after oxidation, one can determine the structural composition of the OPS material in silicon, air and silica. We have experimentally demonstrated that a porosity approaching 56% of the as-prepared PS layer is required to ensure a complete oxidation of PS without residual silicon crystallites and with minimum porosity. The effective dielectric constant values of OPS materials determined from capacitance–voltage C–V measurements are discussed and compared to FTIR results predictions. (paper)

  17. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  18. High quality silicon-based substrates for microwave and millimeter wave passive circuits

    Science.gov (United States)

    Belaroussi, Y.; Rack, M.; Saadi, A. A.; Scheen, G.; Belaroussi, M. T.; Trabelsi, M.; Raskin, J.-P.

    2017-09-01

    Porous silicon substrate is very promising for next generation wireless communication requiring the avoidance of high-frequency losses originating from the bulk silicon. In this work, new variants of porous silicon (PSi) substrates have been introduced. Through an experimental RF performance, the proposed PSi substrates have been compared with different silicon-based substrates, namely, standard silicon (Std), trap-rich (TR) and high resistivity (HR). All of the mentioned substrates have been fabricated where identical samples of CPW lines have been integrated on. The new PSi substrates have shown successful reduction in the substrate's effective relative permittivity to values as low as 3.7 and great increase in the substrate's effective resistivity to values higher than 7 kΩ cm. As a concept proof, a mm-wave bandpass filter (MBPF) centred at 27 GHz has been integrated on the investigated substrates. Compared with the conventional MBPF implemented on standard silicon-based substrates, the measured S-parameters of the PSi-based MBPF have shown high filtering performance, such as a reduction in insertion loss and an enhancement of the filter selectivity, with the joy of having the same filter performance by varying the temperature. Therefore, the efficiency of the proposed PSi substrates has been well highlighted. From 1994 to 1995, she was assistant of physics at (USTHB), Algiers . From 1998 to 2011, she was a Researcher at characterization laboratory in ionized media and laser division at the Advanced Technologies Development Center. She has integrated the Analog Radio Frequency Integrated Circuits team as Researcher since 2011 until now in Microelectronic and Nanotechnology Division at Advanced Technologies Development Center (CDTA), Algiers. She has been working towards her Ph.D. degree jointly at CDTA and Ecole Nationale Polytechnique, Algiers, since 2012. Her research interest includes fabrication and characterization of microwave passive devices on porous

  19. Size modulation of nanocrystalline silicon embedded in amorphous silicon oxide by Cat-CVD

    International Nuclear Information System (INIS)

    Matsumoto, Y.; Godavarthi, S.; Ortega, M.; Sanchez, V.; Velumani, S.; Mallick, P.S.

    2011-01-01

    Different issues related to controlling size of nanocrystalline silicon (nc-Si) embedded in hydrogenated amorphous silicon oxide (a-SiO x :H) deposited by catalytic chemical vapor deposition (Cat-CVD) have been reported. Films were deposited using tantalum (Ta) and tungsten (W) filaments and it is observed that films deposited using tantalum filament resulted in good control on the properties. The parameters which can affect the size of nc-Si domains have been studied which include hydrogen flow rate, catalyst and substrate temperatures. The deposited samples are characterized by X-ray diffraction, HRTEM and micro-Raman spectroscopy, for determining the size of the deposited nc-Si. The crystallite formation starts for Ta-catalyst around the temperature of 1700 o C.

  20. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    Science.gov (United States)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  1. Development of an oxidized porous silicon vacuum microtriode

    Energy Technology Data Exchange (ETDEWEB)

    Smith, II, Don Deewayne [Texas A & M Univ., College Station, TX (United States)

    1994-05-01

    In order to realize a high-power microwave amplifier design known as a gigatron, a gated field emission array must be developed that can deliver a high-intensity electron beam at gigahertz frequencies. No existing field emission device meets the requirements for a gigatron cathode. In the present work, a porous silicon-based approach is evaluated. The use of porous silicon reduces the size of a single emitter to the nanometer scale, and a true two-dimensional array geometry can be approached. A wide number of applications for such a device exist in various disciplines. Oxidized porous silicon vacuum diodes were first developed in 1990. No systematic study had been done to characterize the performance of these devices as a function of the process parameters. The author has done the first such study, fabricating diodes from p<100>, p<111>, and n<100> silicon substrates. Anodization current densities from 11 mA/cm2 to 151 mA/cm2 were used, and Fowler-Nordheim behavior was observed in over 80% of the samples. In order to effectively adapt this technology to mainstream vacuum microelectronic applications, a means of creating a gated triodic structure must be found. No previous attempts had successfully yielded such a device. The author has succeeded in utilizing a novel metallization method to fabricate the first operational oxidized porous silicon vacuum microtriodes, and results are encouraging.

  2. Numerical study of self-heating effects of small-size MOSFETs fabricated on silicon-on-aluminum nitride substrate

    International Nuclear Information System (INIS)

    Ding Yanfang; Zhu Ziqiang; Zhu Ming; Lin Chenglu

    2006-01-01

    Compared with bulk-silicon technology, silicon-on-insulator (SOI) technology possesses many advantages but it is inevitable that the buried silicon dioxide layer also thermally insulates the metal-oxide-silicon field-effect transistors (MOSFETs) from the bulk due to the low thermal conductivity. One of the alternative insulator to replace the buried oxide layer is aluminum nitride (MN), which has a thermal conductivity that is about 200 times higher than that of SiO 2 (320 W·m -1 ·K -1 versus 1.4 W·m -1 ·K -l ). To investigate the self-heating effects of small-size MOSFETs fabricated on silicon-on-aluminum nitride (SOAN) substrate, a two-dimensional numerical analysis is performed by using a device simulator called MEDICI run on a Solaris workstation to simulate the electrical characteristics and temperature distribution by comparing with those of bulk and standard SOI MOSFETs. Our study suggests that AIN is a suitable alternative to silicon dioxide as a buried dielectric in SOI and expands the applications of SOI to high temperature conditions. (authors)

  3. Non-agglomerated silicon nanoparticles on (0 0 1) silicon substrate formed by PLA and their photoluminescence properties

    International Nuclear Information System (INIS)

    Du Jun; Tu Hailing; Wang Lei

    2009-01-01

    In this work, non-agglomerated silicon nanoparticles formed on Si(0 0 1) substrate were synthesized by pulsed laser ablation (PLA) and their photoluminescence (PL) properties were studied. The controllable parameters in PLA process include mainly pulsed laser energy, target-to-substrate distance and buffer gas pressure. In particular, the effect of buffer gas pressure on the formation of non-agglomerated and size-controlled silicon nanoparticles has been discussed. The results show that non-agglomerated and size-controlled silicon nanoparticles can be fabricated with particle size in the range of 2-10 nm when Ar buffer gas pressure was varied from 50 to 10 Pa. Most of these nanoparticles are in form of single crystal with less surface oxidation in the as-deposited samples. The PL peak positions are located at 581-615 nm for Si nanoparticles with size of 2-10 nm. When exposed to air for up to 60 days, the core/shell structure of Si nanoparticles would be formed, which in turn could be responsible for the blue shift of PL peak position. Pt noble metal coating has passivation effect for surface stabilization of Si nanoparticles and shows relatively satisfied time-stability of PL intensity. These results suggest that the Si nanoparticles prepared by PLA have a large potential for the fabrication of optically active photonic devices based on the Si technology.

  4. Mechanically flexible optically transparent porous mono-crystalline silicon substrate

    KAUST Repository

    Rojas, Jhonathan Prieto; Syed, Ahad A.; Hussain, Muhammad Mustafa

    2012-01-01

    For the first time, we present a simple process to fabricate a thin (≥5μm), mechanically flexible, optically transparent, porous mono-crystalline silicon substrate. Relying only on reactive ion etching steps, we are able to controllably peel off a thin layer of the original substrate. This scheme is cost favorable as it uses a low-cost silicon <100> wafer and furthermore it has the potential for recycling the remaining part of the wafer that otherwise would be lost and wasted during conventional back-grinding process. Due to its porosity, it shows see-through transparency and potential for flexible membrane applications, neural probing and such. Our process can offer flexible, transparent silicon from post high-thermal budget processed device wafer to retain the high performance electronics on flexible substrates. © 2012 IEEE.

  5. Electrical properties improvement of multicrystalline silicon solar cells using a combination of porous silicon and vanadium oxide treatment

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2013-01-01

    In this paper, we will report the enhancement of the conversion efficiency of multicrystalline silicon solar cells after coating the front surface with a porous silicon layer treated with vanadium oxide. The incorporation of vanadium oxide into the porous silicon (PS) structure, followed by a thermal treatment under oxygen ambient, leads to an important decrease of the surface reflectivity, a significant enhancement of the effective minority carrier lifetime (τ eff ) and a significant enhancement of the photoluminescence (PL) of the PS structure. We Obtained a noticeable increase of (τ eff ) from 3.11 μs to 134.74 μs and the surface recombination velocity (S eff ) have decreased from 8441 cm s −1 to 195 cm s −1 . The reflectivity spectra of obtained films, performed in the 300–1200 nm wavelength range, show an important decrease of the average reflectivity from 40% to 5%. We notice a significant improvement of the internal quantum efficiency (IQE) in the used multicrystalline silicon substrates. Results are analyzed and compared to those carried out on a reference (untreated) sample. The electrical properties of the treated silicon solar cells were improved noticeably as regard to the reference (untreated) sample.

  6. Direct growth of cerium oxide nanorods on diverse substrates for superhydrophobicity and corrosion resistance

    International Nuclear Information System (INIS)

    Cho, Young Jun; Jang, Hanmin; Lee, Kwan-Soo; Kim, Dong Rip

    2015-01-01

    Graphical abstract: - Highlights: • Cerium oxide nanorods were uniformly grown on diverse substrates. • Changes in growth conditions led to morphology evolution of cerium oxide nanostructures. • The grown cerium oxide nanostructures were single or poly crystalline. • Direct growth of cerium oxide nanorods made the diverse substrates superhydrophobic and anti-corrosive without any surface modifiers. - Abstract: Superhydrophobic surfaces with anti-corrosion properties have attracted great interest in many industrial fields, particularly to enhance the thermal performance of offshore applications such as heat exchangers, pipelines, power plants, and platform structures. Nanostructures with hydrophobic materials have been widely utilized to realize superhydrophobicity of surfaces, and cerium oxide has been highlighted due to its good corrosion resistive and intrinsically hydrophobic properties. However, few studies of direct growth of cerium oxide nanostructures on diverse substrates have been reported. Herein we report a facile hydrothermal method to directly grow cerium oxide nanorods on diverse substrates, such as aluminum alloy, stainless steel, titanium, and silicon. Diverse substrates with cerium oxide nanorods exhibited superhydrophobicity with no hydrophobic modifiers on their surfaces, and showed good corrosion resistive properties in corrosive medium. We believe our method could pave the way for realization of scalable and sustainable corrosion resistive superhydrophobic surfaces in many industrial fields

  7. Direct growth of cerium oxide nanorods on diverse substrates for superhydrophobicity and corrosion resistance

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Young Jun; Jang, Hanmin; Lee, Kwan-Soo [School of Mechanical Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Kim, Dong Rip, E-mail: dongrip@hanyang.ac.kr [School of Mechanical Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Institute of Nano Science and Technology, Hanyang University, Seoul 133-791 (Korea, Republic of)

    2015-06-15

    Graphical abstract: - Highlights: • Cerium oxide nanorods were uniformly grown on diverse substrates. • Changes in growth conditions led to morphology evolution of cerium oxide nanostructures. • The grown cerium oxide nanostructures were single or poly crystalline. • Direct growth of cerium oxide nanorods made the diverse substrates superhydrophobic and anti-corrosive without any surface modifiers. - Abstract: Superhydrophobic surfaces with anti-corrosion properties have attracted great interest in many industrial fields, particularly to enhance the thermal performance of offshore applications such as heat exchangers, pipelines, power plants, and platform structures. Nanostructures with hydrophobic materials have been widely utilized to realize superhydrophobicity of surfaces, and cerium oxide has been highlighted due to its good corrosion resistive and intrinsically hydrophobic properties. However, few studies of direct growth of cerium oxide nanostructures on diverse substrates have been reported. Herein we report a facile hydrothermal method to directly grow cerium oxide nanorods on diverse substrates, such as aluminum alloy, stainless steel, titanium, and silicon. Diverse substrates with cerium oxide nanorods exhibited superhydrophobicity with no hydrophobic modifiers on their surfaces, and showed good corrosion resistive properties in corrosive medium. We believe our method could pave the way for realization of scalable and sustainable corrosion resistive superhydrophobic surfaces in many industrial fields.

  8. Superparamagnetic iron oxide nanoparticle attachment on array of micro test tubes and microbeakers formed on p-type silicon substrate for biosensor applications

    Directory of Open Access Journals (Sweden)

    Raja Sufi

    2011-01-01

    Full Text Available Abstract A uniformly distributed array of micro test tubes and microbeakers is formed on a p-type silicon substrate with tunable cross-section and distance of separation by anodic etching of the silicon wafer in N, N-dimethylformamide and hydrofluoric acid, which essentially leads to the formation of macroporous silicon templates. A reasonable control over the dimensions of the structures could be achieved by tailoring the formation parameters, primarily the wafer resistivity. For a micro test tube, the cross-section (i.e., the pore size as well as the distance of separation between two adjacent test tubes (i.e., inter-pore distance is typically approximately 1 μm, whereas, for a microbeaker the pore size exceeds 1.5 μm and the inter-pore distance could be less than 100 nm. We successfully synthesized superparamagnetic iron oxide nanoparticles (SPIONs, with average particle size approximately 20 nm and attached them on the porous silicon chip surface as well as on the pore walls. Such SPION-coated arrays of micro test tubes and microbeakers are potential candidates for biosensors because of the biocompatibility of both silicon and SPIONs. As acquisition of data via microarray is an essential attribute of high throughput bio-sensing, the proposed nanostructured array may be a promising step in this direction.

  9. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  10. Direct growth of cerium oxide nanorods on diverse substrates for superhydrophobicity and corrosion resistance

    Science.gov (United States)

    Cho, Young Jun; Jang, Hanmin; Lee, Kwan-Soo; Kim, Dong Rip

    2015-06-01

    Superhydrophobic surfaces with anti-corrosion properties have attracted great interest in many industrial fields, particularly to enhance the thermal performance of offshore applications such as heat exchangers, pipelines, power plants, and platform structures. Nanostructures with hydrophobic materials have been widely utilized to realize superhydrophobicity of surfaces, and cerium oxide has been highlighted due to its good corrosion resistive and intrinsically hydrophobic properties. However, few studies of direct growth of cerium oxide nanostructures on diverse substrates have been reported. Herein we report a facile hydrothermal method to directly grow cerium oxide nanorods on diverse substrates, such as aluminum alloy, stainless steel, titanium, and silicon. Diverse substrates with cerium oxide nanorods exhibited superhydrophobicity with no hydrophobic modifiers on their surfaces, and showed good corrosion resistive properties in corrosive medium. We believe our method could pave the way for realization of scalable and sustainable corrosion resistive superhydrophobic surfaces in many industrial fields.

  11. Electrical characterization of high-pressure reactive sputtered ScOx films on silicon

    International Nuclear Information System (INIS)

    Castan, H.; Duenas, S.; Gomez, A.; Garcia, H.; Bailon, L.; Feijoo, P.C.; Toledano-Luque, M.; Prado, A. del; San Andres, E.; Lucia, M.L.

    2011-01-01

    Al/ScO x /SiN x /n-Si and Al/ScO x /SiO x /n-Si metal-insulator-semiconductor capacitors have been electrically characterized. Scandium oxide was grown by high-pressure sputtering on different substrates to study the dielectric/insulator interface quality. The substrates were silicon nitride and native silicon oxide. The use of a silicon nitride interfacial layer between the silicon substrate and the scandium oxide layer improves interface quality, as interfacial state density and defect density inside the insulator are decreased.

  12. Effect of preliminary annealing of silicon substrates on the spectral sensitivity of photodetectors in bipolar integrated circuits

    International Nuclear Information System (INIS)

    Blynskij, V.I.; Bozhatkin, O.A.; Golub, E.S.; Lemeshevskaya, A.M.; Shvedov, S.V.

    2010-01-01

    We examine the results of an effect of preliminary annealing on the spectral sensitivity of photodetectors in bipolar integrated circuits, formed in silicon grown by the Czochralski method. We demonstrate the possibility of substantially improving the sensitivity of photodetectors in the infrared region of the spectrum with twostep annealing. The observed effect is explained by participation of oxidation in the gettering process, where oxidation precedes formation of a buried n + layer in the substrate. (authors)

  13. ZnO nanocoral reef grown on porous silicon substrates without catalyst

    International Nuclear Information System (INIS)

    Abdulgafour, H.I.; Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J.

    2011-01-01

    Research highlights: → Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. → Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. → The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. → This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 μm and from 217-229 nm to 0.6-0.7 μm, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.

  14. ZnO nanocoral reef grown on porous silicon substrates without catalyst

    Energy Technology Data Exchange (ETDEWEB)

    Abdulgafour, H.I., E-mail: hind_alshaikh@yahoo.com [School of Physics, University Sains Malaysia 11800 Penang (Malaysia); Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J. [School of Physics, University Sains Malaysia 11800 Penang (Malaysia)

    2011-05-05

    Research highlights: > Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. > Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. > The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. > This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 {mu}m and from 217-229 nm to 0.6-0.7 {mu}m, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.

  15. Room temperature NO2-sensing properties of porous silicon/tungsten oxide nanorods composite

    International Nuclear Information System (INIS)

    Wei, Yulong; Hu, Ming; Wang, Dengfeng; Zhang, Weiyi; Qin, Yuxiang

    2015-01-01

    Highlights: • Porous silicon/WO 3 nanorods composite is synthesized via hydrothermal method. • The morphology of WO 3 nanorods depends on the amount of oxalic acid (pH value). • The sensor can detect ppb level NO 2 at room temperature. - Abstract: One-dimensional single crystalline WO 3 nanorods have been successfully synthesized onto the porous silicon substrates by a seed-induced hydrothermal method. The controlled morphology of porous silicon/tungsten oxide nanorods composite was obtained by using oxalic acid as an organic inducer. The reaction was carried out at 180 °C for 2 h. The influence of oxalic acid (pH value) on the morphology of porous silicon/tungsten oxide nanorods composite was investigated by scanning electron microscopy (SEM), X-ray diffraction (XRD) and transmission electron microscopy (TEM). The NO 2 -sensing properties of the sensor based on porous silicon/tungsten oxide nanorods composite were investigated at different temperatures ranging from room temperature (∼25 °C) to 300 °C. At room temperature, the sensor behaved as a typical p-type semiconductor and exhibited high gas response, good repeatability and excellent selectivity characteristics toward NO 2 gas due to its high specific surface area, special structure, and large amounts of oxygen vacancies

  16. Indium-bump-free antimonide superlattice membrane detectors on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zamiri, M., E-mail: mzamiri@chtm.unm.edu, E-mail: skrishna@chtm.unm.edu; Klein, B.; Schuler-Sandy, T.; Dahiya, V.; Cavallo, F. [Center for High Technology Materials, Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, New Mexico 87106 (United States); Myers, S. [SKINfrared, LLC, Lobo Venture Lab, 801 University Blvd., Suite 10, Albuquerque, New Mexico 87106 (United States); Krishna, S., E-mail: mzamiri@chtm.unm.edu, E-mail: skrishna@chtm.unm.edu [Center for High Technology Materials, Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, New Mexico 87106 (United States); SKINfrared, LLC, Lobo Venture Lab, 801 University Blvd., Suite 10, Albuquerque, New Mexico 87106 (United States)

    2016-02-29

    We present an approach to realize antimonide superlattices on silicon substrates without using conventional Indium-bump hybridization. In this approach, PIN superlattices are grown on top of a 60 nm Al{sub 0.6}Ga{sub 0.4}Sb sacrificial layer on a GaSb host substrate. Following the growth, the individual pixels are transferred using our epitaxial-lift off technique, which consists of a wet-etch to undercut the pixels followed by a dry-stamp process to transfer the pixels to a silicon substrate prepared with a gold layer. Structural and optical characterization of the transferred pixels was done using an optical microscope, scanning electron microscopy, and photoluminescence. The interface between the transferred pixels and the new substrate was abrupt, and no significant degradation in the optical quality was observed. An Indium-bump-free membrane detector was then fabricated using this approach. Spectral response measurements provided a 100% cut-off wavelength of 4.3 μm at 77 K. The performance of the membrane detector was compared to a control detector on the as-grown substrate. The membrane detector was limited by surface leakage current. The proposed approach could pave the way for wafer-level integration of photonic detectors on silicon substrates, which could dramatically reduce the cost of these detectors.

  17. Comparative study on electrical properties of atomic layer deposited high-permittivity materials on silicon substrates

    International Nuclear Information System (INIS)

    Duenas, S.; Castan, H.; Garcia, H.; Barbolla, J.; Kukli, K.; Ritala, M.; Leskelae, M.

    2005-01-01

    Deep level transient spectroscopy, capacitance-voltage and conductance transient measurement techniques have been applied in order to evaluate the electrical quality of thin high-permittivity oxide layers on silicon. The oxides studied included HfO 2 film grown from two different oxygen-free metal precursors and Ta 2 O 5 and Nb 2 O 5 nanolaminates. The interface trap densities correlated to the oxide growth chemistry and semiconductor substrate treatment. No gap state densities induced by structural disorder were measured in the films grown on chemical SiO 2 . Trap densities were also clearly lower in HfO 2 films compared to Ta 2 O 5 -Nb 2 O 5

  18. Micro knife-edge optical measurement device in a silicon-on-insulator substrate.

    Science.gov (United States)

    Chiu, Yi; Pan, Jiun-Hung

    2007-05-14

    The knife-edge method is a commonly used technique to characterize the optical profiles of laser beams or focused spots. In this paper, we present a micro knife-edge scanner fabricated in a silicon-on-insulator substrate using the micro-electromechanical-system technology. A photo detector can be fabricated in the device to allow further integration with on-chip signal conditioning circuitry. A novel backside deep reactive ion etching process is proposed to solve the residual stress effect due to the buried oxide layer. Focused optical spot profile measurement is demonstrated.

  19. Fluorescence and thermoluminescence in silicon oxide films rich in silicon; Fluorescencia y termoluminiscencia en peliculas de oxido de silicio rico en silicio

    Energy Technology Data Exchange (ETDEWEB)

    Berman M, D.; Piters, T. M. [Centro de Investigacion en Fisica, Universidad de Sonora, Apdo. Postal 5-088, Hermosillo 83190, Sonora (Mexico); Aceves M, M.; Berriel V, L. R. [Instituto Nacional de Astrofisica, Optica y Electronica, Apdo. Postal 51, Puebla 72000, Puebla (Mexico); Luna L, J. A. [CIDS, Benemerita Universidad Autonoma de Puebla, Apdo. Postal 1651, Puebla 72000, Puebla (Mexico)

    2009-10-15

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 {omega}-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N{sub 2} at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  20. Solution growth of microcrystalline silicon on amorphous substrates

    Energy Technology Data Exchange (ETDEWEB)

    Heimburger, Robert

    2010-07-05

    This work deals with low-temperature solution growth of micro-crystalline silicon on glass. The task is motivated by the application in low-cost solar cells. As glass is an amorphous material, conventional epitaxy is not applicable. Therefore, growth is conducted in a two-step process. The first step aims at the spatial arrangement of silicon seed crystals on conductive coated glass substrates, which is realized by means of vapor-liquid-solid processing using indium as the solvent. Seed crystals are afterwards enlarged by applying a specially developed steady-state solution growth apparatus. This laboratory prototype mainly consists of a vertical stack of a silicon feeding source and the solvent (indium). The growth substrate can be dipped into the solution from the top. The system can be heated to a temperature below the softening point of the utilized glass substrate. A temperature gradient between feeding source and growth substrate promotes both, supersaturation and material transport by solvent convection. This setup offers advantages over conventional liquid phase epitaxy at low temperatures in terms of achievable layer thickness and required growth times. The need for convective solute transport to gain the desired thickness of at least 50 {mu}m is emphasized by equilibrium calculations in the binary system indium-silicon. Material transport and supersaturation conditions inside the utilized solution growth crucible are analyzed. It results that the solute can be transported from the lower feeding source to the growth substrate by applying an appropriate heating regime. These findings are interpreted by means of a hydrodynamic analysis of fluid flow and supporting FEM simulation. To ensure thermodynamic stability of all materials involved during steady-state solution growth, the ternary phase equilibrium between molybdenum, indium and silicon at 600 C was considered. Based on the obtained results, the use of molybdenum disilicide as conductive coating

  1. Oxide film assisted dopant diffusion in silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Tin, Chin-Che, E-mail: cctin@physics.auburn.ed [Department of Physics, Auburn University, Alabama 36849 (United States); Mendis, Suwan [Department of Physics, Auburn University, Alabama 36849 (United States); Chew, Kerlit [Department of Electrical and Electronic Engineering, Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Kuala Lumpur (Malaysia); Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin [Physical Technical Institute, Uzbek Academy of Sciences, 700084 Tashkent (Uzbekistan); Atabaev, Bakhtiyar [Institute of Electronics, Uzbek Academy of Sciences, 700125 Tashkent (Uzbekistan); Adedeji, Victor [Department of Chemistry, Geology and Physics, Elizabeth City State University, North Carolina 27909 (United States); Rusli [School of Electrical and Electronic Engineering, Nanyang Technological University (Singapore)

    2010-10-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  2. Oxide film assisted dopant diffusion in silicon carbide

    International Nuclear Information System (INIS)

    Tin, Chin-Che; Mendis, Suwan; Chew, Kerlit; Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin; Atabaev, Bakhtiyar; Adedeji, Victor; Rusli

    2010-01-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  3. Investigation of the interface region between a porous silicon layer and a silicon substrate

    International Nuclear Information System (INIS)

    Lee, Ki-Won; Park, Dae-Kyu; Kim, Young-You; Shin, Hyun-Joon

    2005-01-01

    Atomic force microscopy (AFM) measurement and X-ray diffraction (XRD) analysis were performed to investigate the physical and structural characteristics of the interface region between a porous silicon layer and a silicon substrate. We discovered that, when anodization time was increased under a constant current density, the Si crystallites in the interface region became larger and formed different lattice parameters than observed in the porous silicon layer. Secondary ion mass spectrometry (SIMS) analysis also revealed that the Si was more concentrated in the interface region than in the porous silicon layer. These results were interpreted by the deficiency of the HF solution in reaching to the interface through the pores during the porous silicon formation

  4. Use of porous silicon to minimize oxidation induced stacking fault defects in silicon

    International Nuclear Information System (INIS)

    Shieh, S.Y.; Evans, J.W.

    1992-01-01

    This paper presents methods for minimizing stacking fault defects, generated during oxidation of silicon, include damaging the back of the wafer or depositing poly-silicon on the back. In either case a highly defective structure is created and this is capable of gettering either self-interstitials or impurities which promote nucleation of stacking fault defects. A novel method of minimizing these defects is to form a patch of porous silicon on the back of the wafer by electrochemical etching. Annealing under inert gas prior to oxidation may then result in the necessary gettering. Experiments were carried out in which wafers were subjected to this treatment. Subsequent to oxidation, the wafers were etched to remove oxide and reveal defects. The regions of the wafer adjacent to the porous silicon patch were defect-free, whereas remote regions had defects. Deep level transient spectroscopy has been used to examine the gettering capability of porous silicon, and the paper discusses the mechanism by which the porous silicon getters

  5. Fabrication of High-Frequency pMUT Arrays on Silicon Substrates

    DEFF Research Database (Denmark)

    Pedersen, Thomas; Zawada, Tomasz; Hansen, Karsten

    2010-01-01

    A novel technique based on silicon micromachining for fabrication of linear arrays of high-frequency piezoelectric micromachined ultrasound transducers (pMUT) is presented. Piezoelectric elements are formed by deposition of lead zirconia titanate into etched features of a silicon substrate...

  6. Oxide-Free Bonding of III-V-Based Material on Silicon and Nano-Structuration of the Hybrid Waveguide for Advanced Optical Functions

    Directory of Open Access Journals (Sweden)

    Konstantinos Pantzas

    2015-10-01

    Full Text Available Oxide-free bonding of III-V-based materials for integrated optics is demonstrated on both planar Silicon (Si surfaces and nanostructured ones, using Silicon on Isolator (SOI or Si substrates. The hybrid interface is characterized electrically and mechanically. A hybrid InP-on-SOI waveguide, including a bi-periodic nano structuration of the silicon guiding layer is demonstrated to provide wavelength selective transmission. Such an oxide-free interface associated with the nanostructured design of the guiding geometry has great potential for both electrical and optical operation of improved hybrid devices.

  7. Characterization of silicon-oxide interfaces and organic monolayers by IR-UV ellipsometry and FTIR spectroscopy

    Science.gov (United States)

    Hess, P.; Patzner, P.; Osipov, A. V.; Hu, Z. G.; Lingenfelser, D.; Prunici, P.; Schmohl, A.

    2006-08-01

    VUV-laser-induced oxidation of Si(111)-(1×1):H, Si(100):H, and a-Si:H at 157 nm (F II laser) in pure O II and pure H IIO atmospheres was studied between 30°C and 250°C. The oxidation process was monitored in real time by spectroscopic ellipsometry (NIR-UV) and FTIR spectroscopy. The ellipsometric measurements could be simulated with a three-layer model, providing detailed information on the variation of the suboxide interface with the nature of the silicon substrate surface. Besides the silicon-dioxide and suboxide layer, a dense, disordered, roughly monolayer thick silicon layer was included, as found previously by molecular dynamics calculations. The deviations from the classical Deal-Grove mechanism and the self-limited growth of the ultrathin dioxide layers (TMS) groups and n-alkylthiol monolayers on gold-coated silicon. The C-H stretching vibrations of the methylene and methyl groups could be identified by FTIR spectroscopy and IR ellipsometry.

  8. The oxidation of titanium nitride- and silicon nitride-coated stainless steel in carbon dioxide environments

    International Nuclear Information System (INIS)

    Mitchell, D.R.G.; Stott, F.H.

    1992-01-01

    A study has been undertaken into the effects of thin titanium nitride and silicon nitride coatings, deposited by physical vapour deposition and chemical vapour deposition processes, on the oxidation resistance of 321 stainless steel in a simulated advanced gas-cooled reactor carbon dioxide environment for long periods at 550 o C and 700 o C under thermal-cycling conditions. The uncoated steel contains sufficient chromium to develop a slow-growing chromium-rich oxide layer at these temperatures, particularly if the surfaces have been machine-abraded. Failure of this layer in service allows formation of less protective iron oxide-rich scales. The presence of a thin (3-4 μm) titanium nitride coating is not very effective in increasing the oxidation resistance since the ensuing titanium oxide scale is not a good barrier to diffusion. Even at 550 o C, iron oxide-rich nodules are able to develop following relatively rapid oxidation and breakdown of the coating. At 700 o C, the coated specimens oxidize at relatively similar rates to the uncoated steel. A thin silicon nitride coating gives improved oxidation resistance, with both the coating and its slow-growing oxide being relatively electrically insulating. The particular silicon nitride coating studied here was susceptible to spallation on thermal cycling, due to an inherently weak coating/substrate interface. (Author)

  9. Intrinsic gettering of nickel impuriy deep levels in silicon substrate ...

    African Journals Online (AJOL)

    The intrinsic gettering of nickel impurity in p-type silicon substrate has been investigated. The density of electrically active nickel in intentionally contaminated silicon was determined before and after oxygen precipitation by means of resistivity measurements. These data, coupled with minority carrier lifetime and infrared ...

  10. Vapor phase epitaxy of silicon on meso porous silicon for deposition on economical substrate and low cost photovoltaic application

    International Nuclear Information System (INIS)

    Quoizola, S.

    2003-01-01

    The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)

  11. Thin Single Crystal Silicon Solar Cells on Ceramic Substrates: November 2009 - November 2010

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A.; Ravi, K. V.

    2011-06-01

    In this program we have been developing a technology for fabricating thin (< 50 micrometres) single crystal silicon wafers on foreign substrates. We reverse the conventional approach of depositing or forming silicon on foreign substrates by depositing or forming thick (200 to 400 micrometres) ceramic materials on high quality single crystal silicon films ~ 50 micrometres thick. Our key innovation is the fabrication of thin, refractory, and self-adhering 'handling layers or substrates' on thin epitaxial silicon films in-situ, from powder precursors obtained from low cost raw materials. This 'handling layer' has sufficient strength for device and module processing and fabrication. Successful production of full sized (125 mm X 125 mm) silicon on ceramic wafers with 50 micrometre thick single crystal silicon has been achieved and device process flow developed for solar cell fabrication. Impurity transfer from the ceramic to the silicon during the elevated temperature consolidation process has resulted in very low minority carrier lifetimes and resulting low cell efficiencies. Detailed analysis of minority carrier lifetime, metals analysis and device characterization have been done. A full sized solar cell efficiency of 8% has been demonstrated.

  12. A novel approach for osteocalcin detection by competitive ELISA using porous silicon as a substrate.

    Science.gov (United States)

    Rahimi, Fereshteh; Mohammadnejad Arough, Javad; Yaghoobi, Mona; Davoodi, Hadi; Sepehri, Fatemeh; Amirabadizadeh, Masood

    2017-11-01

    In this study, porous silicon (PSi) was utilized instead of prevalent polystyrene platforms, and its capability in biomolecule screening was examined. Here, two types of porous structure, macroporous silicon (Macro-PSi) and mesoporous silicon (Meso-PSi), were produced on silicon wafers by electrochemical etching using different electrolytes. Moreover, both kinds of fresh and oxidized PSi samples were investigated. Next, osteocalcin as a biomarker of the bone formation process was used as a model biomarker, and the colorimetric detection was performed by competitive enzyme-linked immunosorbent assay (ELISA). Both Macro-PSi and Meso-PSi substrates in the oxidized state, specifically the Meso-porous structure, were reported to have higher surface area to volume ratio, more capacitance of surface-antigen interaction, and more ability to capture antigen in comparison with the prevalent platforms. Moreover, the optical density signal of osteocalcin detected by the ELISA technique was notably higher than the common platforms. Based on the findings of this study, PSi can potentially be used in the ELISA to achieve better results and consequently more sensitivity. A further asset of incorporating such a nanometer structure in the ELISA technique is that the system response to analyte concentration could be maintained by consuming lower monoclonal antibody (or antigen) and consequently reduces the cost of the experiment. © 2016 International Union of Biochemistry and Molecular Biology, Inc.

  13. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    Science.gov (United States)

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  14. Synthesis and analysis of gold nanoclusters on silicon substrates by ion beams

    International Nuclear Information System (INIS)

    Sood, D.K.; Venkatachalam, D.K.; Bhargava, S.K.; Evans, P.J.

    2005-01-01

    To facilitate the growth of silica nanowires on silicon substrates, two different seeding techniques: 1) ion implantation and 2) chemical deposition of as-synthesised gold colloids have been compared for the formation of catalysing gold nanoclusters. The prepared substrates of both types were analysed using Rutherford backscattering spectrometry at ANSTO to determine the amount of gold and its depth distribution. The topography of the substrates deposited with chemically synthesised gold nanoparticles were studied under SEM. The preliminary ion beam (RBS) analysis has shown ion implantation as a novel technique for seeding Au nanoclusters on silicon substrates facilitating growth of nanowires. This method holds a great potential for using any metal across the periodic table that can act as catalysing seed nanoclusters for nanowire growth. The use of chemical deposition as a seeding technique to deposit as-synthesised gold nanoparticles requires further investigations. RBS results show significant difference in the depth distribution of the gold nanoparticles on silicon substrates seeded by two different techniques. (author). 6 refs., 4 figs

  15. Investigation of carbon nanotube-containing film on silicon substrates and its tribological behavior

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Zhiyong [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Cheng, Xianhua, E-mail: xhcheng@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2015-11-15

    Highlights: • CNT-containing film was self-assembled on silicon substrates. • CNTs are strongly bonded with the substrates by chemical combination between La and oxygen-containing functional groups. • CNT-containing film has excellent friction reduction, load-carrying capacity and anti-wear ability. - Abstract: Carbon nanotubes (CNTs) were functionalized with Lanthanum (La) modifier and appropriate acid-treatment methods. CNT-containing film was deposited on silicon substrates via a self-assembly process. The formation and microstructure of La treated CNTs and CNT-containing film were characterized by high-resolution transmission electron microscopy (HRTEM), scanning electron microscopy (SEM), X-ray photoelectron spectrometry (XPS) and water contact angle (WCA). Its tribological properties were evaluated with a UMT-2MT reciprocating friction tester. The results show that CNTs were adsorbed on silicon substrates by means of chemically bonding between La and oxygen-containing functional groups. The friction coefficient of the silicon substrates is reduced from 0.87 to 0.12 after the deposition of CNT-containing film on its surface. CNT-containing film shows excellent antiwear, friction reducing ability and load-carrying capacity due to excellent mechanical and self-lubrication properties of CNTs.

  16. Hydrothermal deposition and characterization of silicon oxide nanospheres

    International Nuclear Information System (INIS)

    Pei, L.Z.

    2008-01-01

    Silicon oxide nanospheres with the average diameter of about 100 nm have been synthesized by hydrothermal deposition process using silicon and silica as the starting materials. The silicon oxide nanospheres were characterized by field emission scanning electron microscopy (FESEM), energy dispersive X-ray spectrum (EDS), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) spectrum, respectively. The results show that large scale silicon oxide nanospheres with the uniform size are composed of Si and O showing the amorphous structure. Strong PL peak at 435 nm is observed demonstrating the good blue light emission property

  17. Orientationally ordered ridge structures of aluminum films on hydrogen terminated silicon

    DEFF Research Database (Denmark)

    Quaade, Ulrich; Pantleon, Karen

    2006-01-01

    Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the < 110 > direct......Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the ... > directions on the silicon substrate. The ridge structure appears when the film thickness is above 500 nm, and increasing the film thickness makes the structure more distinct. Anodic oxidation enhances the structure even further. X-ray diffraction indicates that grains in the film have mostly (110) facets...

  18. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  19. Intercalation of metals and silicon at the interface of epitaxial graphene and its substrates

    International Nuclear Information System (INIS)

    Huang Li; Xu Wen-Yan; Que Yan-De; Mao Jin-Hai; Meng Lei; Pan Li-Da; Li Geng; Wang Ye-Liang; Du Shi-Xuan; Gao Hong-Jun; Liu Yun-Qi

    2013-01-01

    Intercalations of metals and silicon between epitaxial graphene and its substrates are reviewed. For metal intercalation, seven different metals have been successfully intercalated at the interface of graphene/Ru(0001) and form different intercalated structures. Meanwhile, graphene maintains its original high quality after the intercalation and shows features of weakened interaction with the substrate. For silicon intercalation, two systems, graphene on Ru(0001) and on Ir(111), have been investigated. In both cases, graphene preserves its high quality and regains its original superlative properties after the silicon intercalation. More importantly, we demonstrate that thicker silicon layers can be intercalated at the interface, which allows the atomic control of the distance between graphene and the metal substrates. These results show the great potential of the intercalation method as a non-damaging approach to decouple epitaxial graphene from its substrates and even form a dielectric layer for future electronic applications. (topical review - low-dimensional nanostructures and devices)

  20. Fabrication and characterization of surface barrier detector from commercial silicon substrate

    International Nuclear Information System (INIS)

    Costa, Fabio Eduardo da; Silva, Julio Batista Rodrigues da

    2015-01-01

    This work used 5 silicon substrates, n-type with resistivity between 500-20,000 Ω.cm, with 12 mm diameter and 1 mm thickness, from Wacker - Chemitronic, Germany. To produce the surface barrier detectors, the substrates were first cleaned, then, they were etched with HNO 3 solution. After this, a deposition of suitable materials on the crystal was made, to produce the desired population inversion of the crystal characteristics. The substrates received a 10 mm diameter gold contact in one of the surfaces and a 5 mm diameter aluminum in the other. The curves I x V and the energy spectra for 28 keV and 59 keV, for each of the produced detectors, were measured. From the 5 substrates, 4 of them resulted in detectors and one did not present even diode characteristics. The results showed that the procedures used are suitable to produce detectors with this type of silicon substrates. (author)

  1. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    International Nuclear Information System (INIS)

    Díaz-Becerril, T.; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A.; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-01-01

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta 2 O 5 and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta 2 O 5- SiO 2 -Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si + and Ta + states respectively. Ta 2 O 5 and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta 2 O 5 /Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  2. Silicon-on-insulator (SOI) active pixel sensors with the photosite implemented in the substrate

    Science.gov (United States)

    Zheng, Xinyu (Inventor); Pain, Bedabrata (Inventor)

    2005-01-01

    Active pixel sensors for a high quality imager are fabricated using a silicon-on-insulator (SOI) process by integrating the photodetectors on the SOI substrate and forming pixel readout transistors on the SOI thin-film. The technique can include forming silicon islands on a buried insulator layer disposed on a silicon substrate and selectively etching away the buried insulator layer over a region of the substrate to define a photodetector area. Dopants of a first conductivity type are implanted to form a signal node in the photodetector area and to form simultaneously drain/source regions for a first transistor in at least a first one of the silicon islands. Dopants of a second conductivity type are implanted to form drain/source regions for a second transistor in at least a second one of the silicon islands. Isolation rings around the photodetector also can be formed when dopants of the second conductivity type are implanted. Interconnections among the transistors and the photodetector are provided to allow signals sensed by the photodetector to be read out via the transistors formed on the silicon islands.

  3. Silicon effects on formation of EPO oxide coatings on aluminum alloys

    International Nuclear Information System (INIS)

    Wang, L.; Nie, X.

    2006-01-01

    Electrolytic plasma processes (EPP) can be used for cleaning, metal-coating, carburizing, nitriding, and oxidizing. Electrolytic plasma oxidizing (EPO) is an advanced technique to deposit thick and hard ceramic coatings on a number of aluminum alloys. However, the EPO treatment on Al-Si alloys with a high Si content has rarely been reported. In this research, an investigation was conducted to clarify the effects of silicon contents on the EPO coating formation, morphology, and composition. Cast hypereutectic 390 alloys (∼ 17% Si) and hypoeutectic 319 alloys (∼ 7% Si) were chosen as substrates. The coating morphology, composition, and microstructure of the EPO coatings on those substrates were investigated using scanning electron microscopy (SEM) with energy dispersive X-ray (EDX) analysis and X-ray diffraction (XRD). A stylus roughness tester was used for surface roughness measurement. It was found that the EPO process had four stages where each stage was corresponding to various coating surface morphology, composition, and phase structures, characterised by different coating growth mechanisms

  4. Silicon oxide barrier films deposited on PET foils in pulsed plasmas: influence of substrate bias on deposition process and film properties

    International Nuclear Information System (INIS)

    Steves, S; Bibinov, N; Awakowicz, P; Ozkaya, B; Liu, C-N; Ozcan, O; Grundmeier, G

    2013-01-01

    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of n e = 3.8 ± 0.8 × 10 17 m −3 and electron temperature of k B T e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than n O = 1.8 × 10 21 m −3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. (paper)

  5. Catalytic oxidation of silicon by cesium ion bombardment

    International Nuclear Information System (INIS)

    Souzis, A.E.; Huang, H.; Carr, W.E.; Seidl, M.

    1991-01-01

    Results for room-temperature oxidation of silicon using cesium ion bombardment and low oxygen exposure are presented. Bombardment with cesium ions is shown to allow oxidation at O 2 pressures orders of magnitude smaller than with noble gas ion bombardment. Oxide layers of up to 30 A in thickness are grown with beam energies ranging from 20--2000 eV, O 2 pressures from 10 -9 to 10 -6 Torr, and total O 2 exposures of 10 0 to 10 4 L. Results are shown to be consistent with models indicating that initial oxidation of silicon is via dissociative chemisorption of O 2 , and that the low work function of the cesium- and oxygen-coated silicon plays the primary role in promoting the oxidation process

  6. Evaluation of substrate noise suppression method to mitigate crosstalk among trough-silicon vias

    Science.gov (United States)

    Araga, Yuuki; Kikuchi, Katsuya; Aoyagi, Masahiro

    2018-04-01

    Substrate noise from a single through-silicon via (TSV) and the noise attenuation by a substrate tap and a guard ring are clarified. A CMOS test vehicle is designed, and 6-µm-diameter TSVs are manufactured on a 20-µm-thick silicon substrate by the via-last method. An on-chip waveform-capturing circuitry is embedded in the test vehicle to capture transient waveforms of substrate noise. The embedded waveform-capturing circuitry demonstrates small and local noise propagation. Experimental results show increased substrate noise level induced by TSVs and the effectiveness of the substrate tap and guard ring for mitigating the crosstalk among TSVs. An analytical model to explain substrate noise propagation is developed to validate experimental results. Results obtained using the substrate model with a multilayer mesh shows good consistency with experimental results, indicating that the model can be used for examination of noise suppression methods.

  7. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Díaz-Becerril, T., E-mail: tomas.diaz.be@gmail.com; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A., E-mail: acoyopol@gmail.com; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-04-15

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta{sub 2}O{sub 5} and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta{sub 2}O{sub 5-}SiO{sub 2}-Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si{sup +} and Ta{sup +} states respectively. Ta{sub 2}O{sub 5} and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta{sub 2}O{sub 5}/Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  8. Nanopatterned Silicon Substrate Use in Heterojunction Thin Film Solar Cells Made by Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Shao-Ze Tseng

    2014-01-01

    Full Text Available This paper describes a method for fabricating silicon heterojunction thin film solar cells with an ITO/p-type a-Si : H/n-type c-Si structure by radiofrequency magnetron sputtering. A short-circuit current density and efficiency of 28.80 mA/cm2 and 8.67% were achieved. Novel nanopatterned silicon wafers for use in cells are presented. Improved heterojunction cells are formed on a nanopatterned silicon substrate that is prepared with a self-assembled monolayer of SiO2 nanospheres with a diameter of 550 nm used as an etching mask. The efficiency of the nanopattern silicon substrate heterojunction cells was 31.49% greater than that of heterojunction cells on a flat silicon wafer.

  9. Oxidation under electron bombardment. A tool for studying the initial states of silicon oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Carriere, B.; Deville, J.P.; El Maachi, A.

    1987-06-01

    The exciting beam of an Auger electron spectrometer has been used to monitor the oxidation of silicon single crystals at room temperature and very low pressures of oxygen (approx. 10/sup -7/ Torr). This process allows us to build ultra-thin layers of silica on silicon (down to 30 A) but it is mostly used to investigate the mechanisms of the initial stages of oxidation. Auger spectra recorded continuously during the oxidation process provide information on (1) the nature of the silicon-oxygen chemical bonds which are interpreted through fine structure in the Auger peak, and (2) the kinetics of oxide formation which are deduced from curves of Auger signal versus time. An account is given of the contribution of these Auger studies to the description of the intermediate oxide layer during the reaction between silicon and oxygen and the influence of surface structural disorder, induced mainly by argon-ion bombardment, is discussed in terms of reactivity and oxide coverage.

  10. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  11. Processing of n{sup +}/p{sup −}/p{sup +} strip detectors with atomic layer deposition (ALD) grown Al{sub 2}O{sub 3} field insulator on magnetic Czochralski silicon (MCz-si) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, J., E-mail: jaakko.harkonen@helsinki.fi [Helsinki Institute of Physics (Finland); Tuovinen, E. [Helsinki Institute of Physics (Finland); VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T. [Helsinki Institute of Physics (Finland); Junkes, A. [Institute for Experimental Physics, University of Hamburg (Germany); Wu, X. [VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Picosun Oy, Tietotie 3, FI-02150 Espoo Finland (Finland); Li, Z. [School of Materials Science and Engineering, Xiangtan University, Xiangtan, Hunan 411105 (China)

    2016-08-21

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n{sup +} segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO{sub 2} interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al{sub 2}O{sub 3}) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current–voltage and capacitance−voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×10{sup 15} n{sub eq}/cm{sup 2} proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  12. Bidisperse silica nanoparticles close-packed monolayer on silicon substrate by three step spin method

    Science.gov (United States)

    Khanna, Sakshum; Marathey, Priyanka; Utsav, Chaliawala, Harsh; Mukhopadhyay, Indrajit

    2018-05-01

    We present the studies on the structural properties of monolayer Bidisperse silica (SiO2) nanoparticles (BDS) on Silicon (Si-100) substrate using spin coating technique. The Bidisperse silica nanoparticle was synthesised by the modified sol-gel process. Nanoparticles on the substrate are generally assembled in non-close/close-packed monolayer (CPM) form. The CPM form is obtained by depositing the colloidal suspension onto the silicon substrate using complex techniques. Here we report an effective method for forming a monolayer of bidisperse silica nanoparticle by three step spin coating technique. The samples were prepared by mixing the monodisperse solutions of different particles size 40 and 100 nm diameters. The bidisperse silica nanoparticles were self-assembled on the silicon substrate forming a close-packed monolayer film. The scanning electron microscope images of bidisperse films provided in-depth film structure of the film. The maximum surface coverage obtained was around 70-80%.

  13. Broadband dielectric spectroscopy of oxidized porous silicon

    International Nuclear Information System (INIS)

    Axelrod, Ekaterina; Urbach, Benayahu; Sa'ar, Amir; Feldman, Yuri

    2006-01-01

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals

  14. Broadband dielectric spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Axelrod, Ekaterina [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Urbach, Benayahu [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Sa' ar, Amir [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Feldman, Yuri [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel)

    2006-04-07

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals.

  15. Thermal oxidation of silicon with two oxidizing species

    International Nuclear Information System (INIS)

    Vild-Maior, A.A.; Filimon, S.

    1979-01-01

    A theoretical model for the thermal oxidation of silicon in wet oxygen is presented. It is shown that the presence of oxygen in the oxidation furnace has an important effect when the water temperature is not too high (less than about 65 deg C). The model is in good agreement with the experimental data. (author)

  16. Formation of Al2O3-HfO2 Eutectic EBC Film on Silicon Carbide Substrate

    Directory of Open Access Journals (Sweden)

    Kyosuke Seya

    2015-01-01

    Full Text Available The formation mechanism of Al2O3-HfO2 eutectic structure, the preparation method, and the formation mechanism of the eutectic EBC layer on the silicon carbide substrate are summarized. Al2O3-HfO2 eutectic EBC film is prepared by optical zone melting method on the silicon carbide substrate. At high temperature, a small amount of silicon carbide decomposed into silicon and carbon. The components of Al2O3 and HfO2 in molten phase also react with the free carbon. The Al2O3 phase reacts with free carbon and vapor species of AlO phase is formed. The composition of the molten phase becomes HfO2 rich from the eutectic composition. HfO2 phase also reacts with the free carbon and HfC phase is formed on the silicon carbide substrate; then a high density intermediate layer is formed. The adhesion between the intermediate layer and the substrate is excellent by an anchor effect. When the solidification process finished before all of HfO2 phase is reduced to HfC phase, HfC-HfO2 functionally graded layer is formed on the silicon carbide substrate and the Al2O3-HfO2 eutectic structure grows from the top of the intermediate layer.

  17. Coated silicon comprising material for protection against environmental corrosion

    Science.gov (United States)

    Hazel, Brian Thomas (Inventor)

    2009-01-01

    In accordance with an embodiment of the invention, an article is disclosed. The article comprises a gas turbine engine component substrate comprising a silicon material; and an environmental barrier coating overlying the substrate, wherein the environmental barrier coating comprises cerium oxide, and the cerium oxide reduces formation of silicate glass on the substrate upon exposure to corrodant sulfates.

  18. Electronic devices containing switchably conductive silicon oxides as a switching element and methods for production and use thereof

    Science.gov (United States)

    Tour, James M; Yao, Jun; Natelson, Douglas; Zhong, Lin; He, Tao

    2013-11-26

    In various embodiments, electronic devices containing switchably conductive silicon oxide as a switching element are described herein. The electronic devices are two-terminal devices containing a first electrical contact and a second electrical contact in which at least one of the first electrical contact or the second electrical contact is deposed on a substrate to define a gap region therebetween. A switching layer containing a switchably conductive silicon oxide resides in the the gap region between the first electical contact and the second electrical contact. The electronic devices exhibit hysteretic current versus voltage properties, enabling their use in switching and memory applications. Methods for configuring, operating and constructing the electronic devices are also presented herein.

  19. Characterization of Urea Versus hmta in the Preparation of Zinc Oxide NANOSTRUCTURES by Catalytic Immersion Method Grown on Gold-seeded Silicon Substrate

    International Nuclear Information System (INIS)

    Azlinda Abdul Aziz; Khusaimi, Z.; Rusop, M.

    2011-01-01

    Zinc oxide (ZnO) nano structured prepared by immersed method were successfully grown on gold-seeded silicon substrate using Zinc nitrate hexahydrate (Zn(NO 3 ) 2 .6H 2 O) as a precursor was stabilized by a non-toxic urea (CH 4 N 2 O) in a ratio of 1:2 and 1:1 ratio of hexamethylene tetraamine (HMTA). The effect of changing the stabilizer of ZnO solution on the crystal structure, morphology and photoluminescence properties of the resultant ZnO is investigated. X-ray diffraction of the synthesized ZnO shows hexagonal zincite structure. The morphology of the ZnO was characterizing using Field Emission Scanning Electron Microscope (FESEM). The growth of ZnO using urea as stabilizer shows the clusters of ZnO nano flower with serrated broad petals and sharp tips of approximately 25 nm were interestingly formed. ZnO in HMTA showed growth of nano rods. The structures has high surface area, is a potential metal oxide nano structures to be develop for optoelectronic devices and chemical sensors. The formation of ZnO nano structures is found to be significantly affected by the stabilizer. (author)

  20. Preparation of thin hexagonal highly-ordered anodic aluminum oxide (AAO) template onto silicon substrate and growth ZnO nanorod arrays by electrodeposition

    Science.gov (United States)

    Chahrour, Khaled M.; Ahmed, Naser M.; Hashim, M. R.; Elfadill, Nezar G.; Qaeed, M. A.; Bououdina, M.

    2014-12-01

    In this study, anodic aluminum oxide (AAO) templates of Aluminum thin films onto Ti-coated silicon substrates were prepared for growth of nanostructure materials. Hexagonally highly ordered thin AAO templates were fabricated under controllable conditions by using a two-step anodization. The obtained thin AAO templates were approximately 70 nm in pore diameter and 250 nm in length with 110 nm interpore distances within an area of 3 cm2. The difference between first and second anodization was investigated in details by in situ monitoring of current-time curve. A bottom barrier layer of the AAO templates was removed during dropping the voltage in the last period of the anodization process followed by a wet etching using phosphoric acid (5 wt%) for several minutes at ambient temperature. As an application, Zn nanorod arrays embedded in anodic alumina (AAO) template were fabricated by electrodeposition. Oxygen was used to oxidize the electrodeposited Zn nanorods in the AAO template at 700 °C. The morphology, structure and photoluminescence properties of ZnO/AAO assembly were analyzed using Field-emission scanning electron microscope (FESEM), Energy dispersive X-ray spectroscopy (EDX), Atomic force microscope (AFM), X-ray diffraction (XRD) and photoluminescence (PL).

  1. Oxide layers for silicon detector protection against enviroment effects

    International Nuclear Information System (INIS)

    Bel'tsazh, E.; Brylovska, I.; Valerian, M.

    1986-01-01

    It is shown that for protection of silicon detectors of nuclear radiations oxide layers could be used. The layers are produced by electrochemical oxidation of silicon surface with the following low-temperature annealing. These layers have characteristics similar to those for oxide layers produced by treatment of silicon samples at elevated temperature in oxygen flow. To determine properties of oxide layers produced by electrochemical oxidation the α-particle back-scattering method and the method of volt-farad characteristics were used. Protection properties of such layers were checked on the surface-barrier detectors. It was shown that protection properties of such detectors were conserved during long storage at room temperature and during their storage under wet-bulb temperature. Detectors without protection layer have worsened their characteristics

  2. ZnO transparent conductive oxide for thin film silicon solar cells

    Science.gov (United States)

    Söderström, T.; Dominé, D.; Feltrin, A.; Despeisse, M.; Meillaud, F.; Bugnon, G.; Boccard, M.; Cuony, P.; Haug, F.-J.; Faÿ, S.; Nicolay, S.; Ballif, C.

    2010-03-01

    There is general agreement that the future production of electric energy has to be renewable and sustainable in the long term. Photovoltaic (PV) is booming with more than 7GW produced in 2008 and will therefore play an important role in the future electricity supply mix. Currently, crystalline silicon (c-Si) dominates the market with a share of about 90%. Reducing the cost per watt peak and energy pay back time of PV was the major concern of the last decade and remains the main challenge today. For that, thin film silicon solar cells has a strong potential because it allies the strength of c-Si (i.e. durability, abundancy, non toxicity) together with reduced material usage, lower temperature processes and monolithic interconnection. One of the technological key points is the transparent conductive oxide (TCO) used for front contact, barrier layer or intermediate reflector. In this paper, we report on the versatility of ZnO grown by low pressure chemical vapor deposition (ZnO LP-CVD) and its application in thin film silicon solar cells. In particular, we focus on the transparency, the morphology of the textured surface and its effects on the light in-coupling for micromorph tandem cells in both the substrate (n-i-p) and superstrate (p-i-n) configurations. The stabilized efficiencies achieved in Neuchâtel are 11.2% and 9.8% for p-i-n (without ARC) and n-i-p (plastic substrate), respectively.

  3. Optimization and characterization of biomolecule immobilization on silicon substrates using (3-aminopropyl)triethoxysilane (APTES) and glutaraldehyde linker

    International Nuclear Information System (INIS)

    Gunda, Naga Siva Kumar; Singh, Minashree; Norman, Lana; Kaur, Kamaljit; Mitra, Sushanta K.

    2014-01-01

    In the present work, we developed and optimized a technique to produce a thin, stable silane layer on silicon substrate in a controlled environment using (3-aminopropyl)triethoxysilane (APTES). The effect of APTES concentration and silanization time on the formation of silane layer is studied using spectroscopic ellipsometry and Fourier transform infrared spectroscopy (FTIR). Biomolecules of interest are immobilized on optimized silane layer formed silicon substrates using glutaraldehyde linker. Surface analytical techniques such as ellipsometry, FTIR, contact angle measurement system, and atomic force microscopy are employed to characterize the bio-chemically modified silicon surfaces at each step of the biomolecule immobilization process. It is observed that a uniform, homogenous and highly dense layer of biomolecules are immobilized with optimized silane layer on the silicon substrate. The developed immobilization method is successfully implemented on different silicon substrates (flat and pillar). Also, different types of biomolecules such as anti-human IgG (rabbit monoclonal to human IgG), Listeria monocytogenes, myoglobin and dengue capture antibodies were successfully immobilized. Further, standard sandwich immunoassay (antibody–antigen–antibody) is employed on respective capture antibody coated silicon substrates. Fluorescence microscopy is used to detect the respective FITC tagged detection antibodies bound to the surface after immunoassay.

  4. Optimization and characterization of biomolecule immobilization on silicon substrates using (3-aminopropyl)triethoxysilane (APTES) and glutaraldehyde linker

    Energy Technology Data Exchange (ETDEWEB)

    Gunda, Naga Siva Kumar [Department of Mechanical Engineering, University of Alberta, Edmonton, Canada T6G 2G8 (Canada); Singh, Minashree [Department of Pharmacy and Pharmaceutical Sciences, University of Alberta, Edmonton, Canada T6G 1C9 (Canada); Norman, Lana [Department of Chemical and Materials Engineering, University of Alberta, Edmonton, AB, Canada T6G 2V4 (Canada); Kaur, Kamaljit [Department of Pharmacy and Pharmaceutical Sciences, University of Alberta, Edmonton, Canada T6G 1C9 (Canada); Mitra, Sushanta K., E-mail: sushanta.mitra@ualberta.ca [Department of Mechanical Engineering, University of Alberta, Edmonton, Canada T6G 2G8 (Canada)

    2014-06-01

    In the present work, we developed and optimized a technique to produce a thin, stable silane layer on silicon substrate in a controlled environment using (3-aminopropyl)triethoxysilane (APTES). The effect of APTES concentration and silanization time on the formation of silane layer is studied using spectroscopic ellipsometry and Fourier transform infrared spectroscopy (FTIR). Biomolecules of interest are immobilized on optimized silane layer formed silicon substrates using glutaraldehyde linker. Surface analytical techniques such as ellipsometry, FTIR, contact angle measurement system, and atomic force microscopy are employed to characterize the bio-chemically modified silicon surfaces at each step of the biomolecule immobilization process. It is observed that a uniform, homogenous and highly dense layer of biomolecules are immobilized with optimized silane layer on the silicon substrate. The developed immobilization method is successfully implemented on different silicon substrates (flat and pillar). Also, different types of biomolecules such as anti-human IgG (rabbit monoclonal to human IgG), Listeria monocytogenes, myoglobin and dengue capture antibodies were successfully immobilized. Further, standard sandwich immunoassay (antibody–antigen–antibody) is employed on respective capture antibody coated silicon substrates. Fluorescence microscopy is used to detect the respective FITC tagged detection antibodies bound to the surface after immunoassay.

  5. Defects study of hydrogenated amorphous silicon samples and their relation with the substrate and deposition conditions

    International Nuclear Information System (INIS)

    Darwich, R.

    2009-07-01

    The goal of this work is to study the properties of the defects aiming to explore the types of defects and the effect of various deposition parameters such as substrate temperature, the kind of the substrate, gas pressure and deposition rate. Two kinds of samples have been used; The first one was a series of Schottky diodes, and the second one a series of solar cells (p-i-n junction) deposited on crystalline silicon or on corning glass substrates with different deposition parameters. The deposition parameters were chosen to obtain materials whose their structures varying from amorphous to microcrystalline silicon including polymorphous silicon. Our results show that the polymorphous silicon samples deposited at high deposition rates present the best photovoltaic properties in comparison with those deposited at low rates. Also we found that the defects concentration in high deposition rate samples is less at least by two orders than that obtained in low deposition rate polymorphous, microcrystalline and amorphous samples. This study shows also that there is no effect of the substrate, or the thin films of highly doped amorphous silicon deposited on the substrate, on the creation and properties of these defects. Finally, different experimental methods have been used; a comparison between their results has been presented. (author)

  6. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  7. Investigations of different doping concentration of phosphorus and boron into silicon substrate on the variable temperature Raman characteristics

    Science.gov (United States)

    Li, Xiaoli; Ding, Kai; Liu, Jian; Gao, Junxuan; Zhang, Weifeng

    2018-01-01

    Different doped silicon substrates have different device applications and have been used to fabricate solar panels and large scale integrated circuits. The thermal transport in silicon substrates are dominated by lattice vibrations, doping type, and doping concentration. In this paper, a variable-temperature Raman spectroscopic system is applied to record the frequency and linewidth changes of the silicon peak at 520 cm-1 in five chips of silicon substrate with different doping concentration of phosphorus and boron at the 83K to 1473K temperature range. The doping has better heat sensitive to temperature on the frequency shift over the low temperature range from 83K to 300K but on FWHM in high temperature range from 300K to 1473K. The results will be helpful for fundamental study and practical applications of silicon substrates.

  8. Microcrystalline silicon oxides for silicon-based solar cells: impact of the O/Si ratio on the electronic structure

    Science.gov (United States)

    Bär, M.; Starr, D. E.; Lambertz, A.; Holländer, B.; Alsmeier, J.-H.; Weinhardt, L.; Blum, M.; Gorgoi, M.; Yang, W.; Wilks, R. G.; Heske, C.

    2014-10-01

    Hydrogenated microcrystalline silicon oxide (μc-SiOx:H) layers are one alternative approach to ensure sufficient interlayer charge transport while maintaining high transparency and good passivation in Si-based solar cells. We have used a combination of complementary x-ray and electron spectroscopies to study the chemical and electronic structure of the (μc-SiOx:H) material system. With these techniques, we monitor the transition from a purely Si-based crystalline bonding network to a silicon oxide dominated environment, coinciding with a significant decrease of the material's conductivity. Most Si-based solar cell structures contain emitter/contact/passivation layers. Ideally, these layers fulfill their desired task (i.e., induce a sufficiently high internal electric field, ensure a good electric contact, and passivate the interfaces of the absorber) without absorbing light. Usually this leads to a trade-off in which a higher transparency can only be realized at the expense of the layer's ability to properly fulfill its task. One alternative approach is to use hydrogenated microcrystalline silicon oxide (μc-SiOx:H), a mixture of microcrystalline silicon and amorphous silicon (sub)oxide. The crystalline Si regions allow charge transport, while the oxide matrix maintains a high transparency. To date, it is still unclear how in detail the oxygen content influences the electronic structure of the μc-SiOx:H mixed phase material. To address this question, we have studied the chemical and electronic structure of the μc-SiOx:H (0 0.5, we observe a pronounced decrease of Si 3s - Si 3p hybridization in favor of Si 3p - O 2p hybridization in the upper valence band. This coincides with a significant increase of the material's resistivity, possibly indicating the breakdown of the conducting crystalline Si network. Silicon oxide layers with a thickness of several hundred nanometres were deposited in a PECVD (plasma-enhanced chemical vapor deposition) multi chamber system

  9. Thermal radiative near field transport between vanadium dioxide and silicon oxide across the metal insulator transition

    Energy Technology Data Exchange (ETDEWEB)

    Menges, F.; Spieser, M.; Riel, H.; Gotsmann, B., E-mail: bgo@zurich.ibm.com [IBM Research-Zurich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Dittberner, M. [IBM Research-Zurich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Photonics Laboratory, ETH Zurich, 8093 Zurich (Switzerland); Novotny, L. [Photonics Laboratory, ETH Zurich, 8093 Zurich (Switzerland); Passarello, D.; Parkin, S. S. P. [IBM Almaden Research Center, 650 Harry Road, San Jose, California 95120 (United States)

    2016-04-25

    The thermal radiative near field transport between vanadium dioxide and silicon oxide at submicron distances is expected to exhibit a strong dependence on the state of vanadium dioxide which undergoes a metal-insulator transition near room temperature. We report the measurement of near field thermal transport between a heated silicon oxide micro-sphere and a vanadium dioxide thin film on a titanium oxide (rutile) substrate. The temperatures of the 15 nm vanadium dioxide thin film varied to be below and above the metal-insulator-transition, and the sphere temperatures were varied in a range between 100 and 200 °C. The measurements were performed using a vacuum-based scanning thermal microscope with a cantilevered resistive thermal sensor. We observe a thermal conductivity per unit area between the sphere and the film with a distance dependence following a power law trend and a conductance contrast larger than 2 for the two different phase states of the film.

  10. Flexible and tunable silicon photonic circuits on plastic substrates

    Science.gov (United States)

    Chen, Yu; Li, Huan; Li, Mo

    2012-09-01

    Flexible microelectronics has shown tremendous promise in a broad spectrum of applications, especially those that cannot be addressed by conventional microelectronics in rigid materials and constructions. These unconventional yet important applications range from flexible consumer electronics to conformal sensor arrays and biomedical devices. A recent paradigm shift in implementing flexible electronics is to physically transfer highly integrated devices made in high-quality, crystalline semiconductors on to plastic substrates. Here we demonstrate a flexible form of silicon photonics using the transfer-and-bond fabrication method. Photonic circuits including interferometers and resonators have been transferred onto flexible plastic substrates with preserved functionalities and performance. By mechanically deforming, the optical characteristics of the devices can be tuned reversibly over a remarkably large range. The demonstration of the new flexible photonic systems based on the silicon-on-plastic (SOP) platform could open the door to many future applications, including tunable photonics, optomechanical sensors and biomechanical and bio-photonic probes.

  11. Characterization of defects in hydrogenated amorphous silicon deposited on different substrates by capacitance techniques

    International Nuclear Information System (INIS)

    Darwich, R.; Roca i Cabarrocas, P.

    2011-01-01

    Hydrogenated amorphous silicon (a-Si:H) thin films deposited on crystalline silicon and Corning glass substrate were analyzed using different capacitance techniques. The distribution of localized states and some electronic properties were studied using the temperature, frequency and bias dependence of the Schottky barrier capacitance and deep level transient spectroscopy. Our results show that the distribution of the gap states depends on the type of substrate. We have found that the films deposited on c-Si substrate represent only one positively charged or prerelaxed neutral deep state and one interface state, while the films deposited on glass substrate have one interface state and three types of deep defect states, positively or prerelaxed neutral, neutral and negatively charged.

  12. Suppression of interfacial voids formation during silane (SiH4)-based silicon oxide bonding with a thin silicon nitride capping layer

    Science.gov (United States)

    Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan

    2018-01-01

    The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.

  13. Growth of misfit dislocation-free p/p+ thick epitaxial silicon wafers on Ge-B-codoped substrates

    International Nuclear Information System (INIS)

    Jiang Huihua; Yang Deren; Ma Xiangyang; Tian Daxi; Li Liben; Que Duanlin

    2006-01-01

    The growth of p/p + silicon epitaxial silicon wafers (epi-wafers) without misfit dislocations has been successfully achieved by using heavily boron-doped Czochralski (CZ) silicon wafers codoped with desirable level of germanium as the substrates. The lattice compensation by codoping of germanium and boron into the silicon matrix to reduce the lattice mismatch between the substrate (heavily boron-doped) and epi-layer (lightly boron-doped) is the basic idea underlying in the present achievement. In principle, the codoping of germanium and boron in the CZ silicon can be tailored to achieve misfit dislocation-free epi-layer with required thickness. It is reasonably expected that the presented solution to elimination of misfit dislocations in the p/p + silicon wafers can be applied in the volume production

  14. Graphene oxide-Ag nanoparticles-pyramidal silicon hybrid system for homogeneous, long-term stable and sensitive SERS activity

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Jia [School of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Xu, Shicai [Shandong Provincial Key Laboratory of Biophysics, College of Physics and Electronic Information, Dezhou University, Dezhou 253023 (China); Liu, Xiaoyun; Li, Zhe; Hu, Litao; Li, Zhen; Chen, Peixi; Ma, Yong [School of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Jiang, Shouzhen, E-mail: jiang_sz@126.com [School of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Shandong Provincial Key Laboratory of Optics and Photonic Device, Jinan 250014 (China); Ning, Tingyin [School of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Shandong Provincial Key Laboratory of Optics and Photonic Device, Jinan 250014 (China)

    2017-02-28

    Highlights: • We directly grown AgNPs on substrate by annealing method in the quartz tube. Compare with spin-coating Ag nanoparticles solution method, we got more uniform distribution of AgNPs and the AgNPs better adsorption on the substrate. • We use a simple and lost-cost method to obtain the pyramidal silicon (PSi). The PSi possessing well-separated pyramid arrays can make contribution to the homogeneity and sensitivity of the substrate. • In our work, graphene oxide (GO) film is uniformly deposited on AgNPs and PSi by using a spin-coating method. The GO films endow the hybrid system a good stability and enhance the homogeneity and sensitivity of the substrate. - Abstract: In our work, few layers graphene oxide (GO) were directly synthesized on Ag nanoparticles (AgNPs) by spin-coating method to fabricate a GO-AgNPs hybrid structure on a pyramidal silicon (PSi) substrate for surface-enhanced Raman scattering (SERS). The GO-AgNPs-PSi substrate showed excellent Raman enhancement effect, the minimum detected concentration for Rhodamine 6G (R6G) can reach 10{sup −12} M, which is one order of magnitude lower than the AgNPs-PSi substrate and two order of magnitude lower than the GO-AgNPs-flat-Si substrate. The linear fit calibration curve with error bars is presented and the value of R{sup 2} of 612 and 773 cm{sup −1} can reach 0.986 and 0.980, respectively. The excellent linear response between the Raman intensity and R6G concentrations prove that the prepared GO-AgNPs-PSi substrates can serve as good SERS substrate for molecule detection. The maximum deviations of SERS intensities from 20 positions of the GO-AgNPs-PSi substrate are less than 8%, revealing the high homogeneity of the SERS substrate. The excellent homogeneity of the enhanced Raman signals can be attributed to well-separated pyramid arrays of PSi, the uniform morphology of AgNPs and multi-functions of GO layer. Besides, the uniform GO film can effectively protect AgNPs from oxidation and endow

  15. III/V nano ridge structures for optical applications on patterned 300 mm silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Kunert, B.; Guo, W.; Mols, Y.; Pantouvaki, M.; Van Campenhout, J.; Langer, R.; Barla, K. [imec, Kapeldreef 75, 3001 Heverlee (Belgium); Tian, B.; Wang, Z.; Shi, Y.; Van Thourhout, D. [Photonics Research Group, Ghent University, Technologiepark-Zwijnaarde 15, 9052 Gent (Belgium)

    2016-08-29

    We report on an integration approach of III/V nano ridges on patterned silicon (Si) wafers by metal organic vapor phase epitaxy (MOVPE). Trenches of different widths (≤500 nm) were processed in a silicon oxide (SiO{sub 2}) layer on top of a 300 mm (001) Si substrate. The MOVPE growth conditions were chosen in a way to guarantee an efficient defect trapping within narrow trenches and to form a box shaped ridge with increased III/V volume when growing out of the trench. Compressively strained InGaAs/GaAs multi-quantum wells with 19% indium were deposited on top of the fully relaxed GaAs ridges as an active material for optical applications. Transmission electron microcopy investigation shows that very flat quantum well (QW) interfaces were realized. A clear defect trapping inside the trenches is observed whereas the ridge material is free of threading dislocations with only a very low density of planar defects. Pronounced QW photoluminescence (PL) is detected from different ridge sizes at room temperature. The potential of these III/V nano ridges for laser integration on Si substrates is emphasized by the achieved ridge volume which could enable wave guidance and by the high crystal quality in line with the distinct PL.

  16. Substrate and Passivation Techniques for Flexible Amorphous Silicon-Based X-ray Detectors.

    Science.gov (United States)

    Marrs, Michael A; Raupp, Gregory B

    2016-07-26

    Flexible active matrix display technology has been adapted to create new flexible photo-sensing electronic devices, including flexible X-ray detectors. Monolithic integration of amorphous silicon (a-Si) PIN photodiodes on a flexible substrate poses significant challenges associated with the intrinsic film stress of amorphous silicon. This paper examines how altering device structuring and diode passivation layers can greatly improve the electrical performance and the mechanical reliability of the device, thereby eliminating one of the major weaknesses of a-Si PIN diodes in comparison to alternative photodetector technology, such as organic bulk heterojunction photodiodes and amorphous selenium. A dark current of 0.5 pA/mm² and photodiode quantum efficiency of 74% are possible with a pixelated diode structure with a silicon nitride/SU-8 bilayer passivation structure on a 20 µm-thick polyimide substrate.

  17. Room temperature NO2 gas sensing of Au-loaded tungsten oxide nanowires/porous silicon hybrid structure

    International Nuclear Information System (INIS)

    Wang Deng-Feng; Liang Ji-Ran; Li Chang-Qing; Yan Wen-Jun; Hu Ming

    2016-01-01

    In this work, we report an enhanced nitrogen dioxide (NO 2 ) gas sensor based on tungsten oxide (WO 3 ) nanowires/porous silicon (PS) decorated with gold (Au) nanoparticles. Au-loaded WO 3 nanowires with diameters of 10 nm–25 nm and lengths of 300 nm–500 nm are fabricated by the sputtering method on a porous silicon substrate. The high-resolution transmission electron microscopy (HRTEM) micrographs show that Au nanoparticles are uniformly distributed on the surfaces of WO 3 nanowires. The effect of the Au nanoparticles on the NO 2 -sensing performance of WO 3 nanowires/porous silicon is investigated over a low concentration range of 0.2 ppm–5 ppm of NO 2 at room temperature (25 °C). It is found that the 10-Å Au-loaded WO 3 nanowires/porous silicon-based sensor possesses the highest gas response characteristic. The underlying mechanism of the enhanced sensing properties of the Au-loaded WO 3 nanowires/porous silicon is also discussed. (paper)

  18. Electronic detection of surface plasmon polaritons by metal-oxide-silicon capacitor

    Directory of Open Access Journals (Sweden)

    Robert E. Peale

    2016-09-01

    Full Text Available An electronic detector of surface plasmon polaritons (SPPs is reported. SPPs optically excited on a metal surface using a prism coupler are detected by using a close-coupled metal-oxide-silicon (MOS capacitor. Incidence-angle dependence is explained by Fresnel transmittance calculations, which also are used to investigate the dependence of photo-response on structure dimensions. Electrodynamic simulations agree with theory and experiment and additionally provide spatial intensity distributions on and off the SPP excitation resonance. Experimental dependence of the photoresponse on substrate carrier type, carrier concentration, and back-contact biasing is qualitatively explained by simple theory of MOS capacitors.

  19. Novel method of separating macroporous arrays from p-type silicon substrate

    International Nuclear Information System (INIS)

    Peng Bobo; Wang Fei; Liu Tao; Yang Zhenya; Wang Lianwei; Fu, Ricky K. Y.; Chu, Paul K.

    2012-01-01

    This paper presents a novel method to fabricate separated macroporous silicon using a single step of photo-assisted electrochemical etching. The method is applied to fabricate silicon microchannel plates in 100 mm p-type silicon wafers, which can be used as electron multipliers and three-dimensional Li-ion microbatteries. Increasing the backside illumination intensity and decreasing the bias simultaneously can generate additional holes during the electrochemical etching which will create lateral etching at the pore tips. In this way the silicon microchannel can be separated from the substrate when the desired depth is reached, then it can be cut into the desired shape by using a laser cutting machine. Also, the mechanism of lateral etching is proposed. (semiconductor materials)

  20. Silicon nitride and intrinsic amorphous silicon double antireflection coatings for thin-film solar cells on foreign substrates

    International Nuclear Information System (INIS)

    Li, Da; Kunz, Thomas; Wolf, Nadine; Liebig, Jan Philipp; Wittmann, Stephan; Ahmad, Taimoor; Hessmann, Maik T.; Auer, Richard; Göken, Mathias; Brabec, Christoph J.

    2015-01-01

    Hydrogenated intrinsic amorphous silicon (a-Si:H) was investigated as a surface passivation method for crystalline silicon thin film solar cells on graphite substrates. The results of the experiments, including quantum efficiency and current density-voltage measurements, show improvements in cell performance. This improvement is due to surface passivation by an a-Si:H(i) layer, which increases the open circuit voltage and the fill factor. In comparison with our previous work, we have achieved an increase of 0.6% absolute cell efficiency for a 40 μm thick 4 cm 2 aperture area on the graphite substrate. The optical properties of the SiN x /a-Si:H(i) stack were studied using spectroscopic ellipsometer techniques. Scanning transmission electron microscopy inside a scanning electron microscope was applied to characterize the cross section of the SiN x /a-Si:H(i) stack using focus ion beam preparation. - Highlights: • We report a 10.8% efficiency for thin-film silicon solar cell on graphite. • Hydrogenated intrinsic amorphous silicon was applied for surface passivation. • SiN x /a-Si:H(i) stacks were characterized by spectroscopic ellipsometer techniques. • Cross-section micrograph was obtained by scanning transmission electron microscopy. • Quantum efficiency and J-V measurements show improvements in the cell performance

  1. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-06-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard bulk mono-crystalline silicon substrate. A lifetime projection is extracted using statistical analysis of the ramping voltage (Vramp) breakdown and time dependent dielectric breakdown data. The obtained flexible MOSCAPs operational voltages satisfying the 10 years lifetime benchmark are compared to those of the control MOSCAPs, which are not peeled off from the silicon wafer. © 2014 IEEE.

  2. Formation of silicon Oxide nano thickness on Si (III) with the assistance of Cs

    International Nuclear Information System (INIS)

    Bahari, A.; Bagheri, M.

    2006-01-01

    : The possibility of controlling the growth of a uniform ultra thin oxide on silicon via oxygen dosing at low temperatures, would be a great interest for the projected further development of nano electronics. One way to achieve this is to be able to control the conversion of chemically adsorbed oxygen and retained at room temperature into oxide during subsequent heating. Oxygen is chemisorbed at room temperature on Si(111) surface to saturation ( >100 L O 2 ), and the experimental chamber is then evacuated. This leaves adsorbed oxygen as atomically inserted on Si surface which sits on the back bonds. This surface is then used as a base for further processing which in one case consists of annealing to 600- 700 d eg C and subsequent exposures equivalent to the first step. This is repeated again. As the focus of this work, a series of experiments are done with adsorbed Cs, which assists in retaining oxygen and in transforming the adsorbed oxygen into oxide upon heating. It was found that the oxide formed on the surface at low coverage clusters. Without any external influence, the clusters may be made to coalesce upon further oxygen adsorption at room temperature, and annealing terminates as a continuous monolayer of amorphous oxide on top of a well-ordered silicon substrate. This configuration is inert to further uptake of oxygen. A higher oxide thickness could be obtained with Cs. Also in this case, the oxide growth saturates in an inert oxide Iayer

  3. Fabrication of heterojunction solar cells by improved tin oxide deposition on insulating layer

    Science.gov (United States)

    Feng, Tom; Ghosh, Amal K.

    1980-01-01

    Highly efficient tin oxide-silicon heterojunction solar cells are prepared by heating a silicon substrate, having an insulating layer thereon, to provide a substrate temperature in the range of about 300.degree. C. to about 400.degree. C. and thereafter spraying the so-heated substrate with a solution of tin tetrachloride in a organic ester boiling below about 250.degree. C. Preferably the insulating layer is naturally grown silicon oxide layer.

  4. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  5. Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon.

    Science.gov (United States)

    Bandarenka, Hanna V; Girel, Kseniya V; Zavatski, Sergey A; Panarin, Andrei; Terekhov, Sergei N

    2018-05-21

    The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS) with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs), and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.

  6. Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Hanna V. Bandarenka

    2018-05-01

    Full Text Available The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs, and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.

  7. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.

    2010-06-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  8. Silicon nanowire hybrid photovoltaics

    KAUST Repository

    Garnett, Erik C.; Peters, Craig; Brongersma, Mark; Cui, Yi; McGehee, Mike

    2010-01-01

    Silicon nanowire Schottky junction solar cells have been fabricated using n-type silicon nanowire arrays and a spin-coated conductive polymer (PEDOT). The polymer Schottky junction cells show superior surface passivation and open-circuit voltages compared to standard diffused junction cells with native oxide surfaces. External quantum efficiencies up to 88% were measured for these silicon nanowire/PEDOT solar cells further demonstrating excellent surface passivation. This process avoids high temperature processes which allows for low-cost substrates to be used. © 2010 IEEE.

  9. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  10. The silicon-silicon oxide multilayers utilization as intrinsic layer on pin solar cells

    International Nuclear Information System (INIS)

    Colder, H.; Marie, P.; Gourbilleau, F.

    2008-01-01

    Silicon nanostructures are promising candidate for the intrinsic layer on pin solar cells. In this work we report on new material: silicon-rich silicon oxide (SRSO) deposited by reactive magnetron sputtering of a pure silica target and an interesting structure: multilayers consisting of a stack of SRSO and pure silicon oxide layers. Two thicknesses of the SRSO sublayer, t SRSO , are studied 3 nm and 5 nm whereas the thickness of silica sublayer is maintaining at 3 nm. The presence of nanocrystallites of silicon, evidenced by X-Ray diffraction (XRD), leads to photoluminescence (PL) emission at room temperature due to the quantum confinement of the carriers. The PL peak shifts from 1.3 eV to 1.5 eV is correlated to the decreasing of t SRSO from 5 nm down to 3 nm. In the purpose of their potential utilization for i-layer, the optical properties are studied by absorption spectroscopy. The achievement a such structures at promising absorption properties. Moreover by favouring the carriers injection by the tunnel effect between silicon nanograins and silica sublayers, the multilayers seem to be interesting for solar cells

  11. Self-assembled monolayers of perfluoroalkylsilane on plasma-hydroxylated silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Lin; Cai, Lu; Liu, Anqi; Wang, Wei; Yuan, Yanhua [College of Textile, Clothing Engineering, Soochow University, Suzhou 215021 (China); National Engineering Laboratory for Modern Silk, Suzhou 215123 (China); Li, Zhanxiong, E-mail: lizhanxiong@suda.edu.cn [College of Textile, Clothing Engineering, Soochow University, Suzhou 215021 (China); State Key Laboratory of Disaster Prevention & Mitigation of Explosion & Impact, Nanjing 210007 (China)

    2015-09-15

    Highlights: • A novel kind of fluoroalkylsilane monomers with different fluoroalkyl chain length was synthesized. • The fluoroalkyl-terminated self-assembled monolayers (SAMs) on silanol-terminated silicon substrates were chemically fabricated using the liquid phase deposition method. • Fluoroalkylsilanes were used for the self-assembly rather than the silane coupling agents and fluorochemicals to fabricate controllable, ordered SAMs. • The angle-dependent XPS study was conducted to investigate the changes of surface structures as well as elemental compositions of the SAMs. • The results indicated that fluoroalkyl groups would migrate from the inner part of the monolayers to the outermost interface after heat treatment, resulting into the microphase separation of the SAMs surface. - Abstract: In this study, a novel kind of fluoroalkylsilane monomers with different fluoroalkyl chain lengths was synthesized via three steps method and characterized by Fourier transform infrared (FT-IR) spectroscopy, {sup 1}H and {sup 19}F nuclear magnetic resonance ({sup 1}H NMR and {sup 19}F NMR), and mass spectra (MS). Fluoroalkyl-terminated self-assembled monolayers (SAMs) on silanol-terminated silicon substrates (O{sub 2} plasma treatment) were chemically fabricated via –Si–O– covalent bonds using the liquid phase deposition method (LPD). The wetabilities of the SAMs were characterized by water contact angles (CA), surface free energies and adhesive force (AF) measurements. 3-(1H,1H,2H,2H-perfluorooctyloxycarbonyl) -propionamidepropyl-triethoxysilane (PFOPT) assembled monolayer was chosen for in-depth investigation as its CA was higher than the others. Attenuated total reflection infrared spectroscopy (ATR-IR) and X-ray photoelectron spectroscopy (XPS) were used to validate the attachment of PFOPT on the silicon substrate, together with the chemical composition and structure of the SAMs. The surface morphologies and roughness of the monolayers were obtained and

  12. Metal Nanoparticles Deposited on Porous Silicon Templates as Novel Substrates for SERS

    Directory of Open Access Journals (Sweden)

    Lara Mikac

    2015-12-01

    Full Text Available In this paper, results on preparation of stable and uniform SERS solid substrates using macroporous silicon (pSi with deposited silver and gold are presented. Macroporous silicon is produced by anodisation of p-type silicon in hydrofluoric acid. The as prepared pSi is then used as a template for Ag and Au depositions. The noble metals were deposited in three different ways: by immersion in silver nitrate solution, by drop-casting silver colloidal solution and by pulsed laser ablation (PLA. Substrates obtained by different deposition processes were evaluated for SERS efficiency using methylene blue (MB and rhodamine 6G (R6G at 514.5, 633 and 785 nm. Using 514.5 nm excitation and R6G the limits of detection (LOD for macroporous Si samples with noble metal nanostructures obtained by immersion of pSi sample in silver nitrate solution and by applying silver colloidal solution to pSi template were 10–9 M and 10–8 M respectively. Using 633 nm laser and MB the most noticeable SERS activity gave pSi samples ablated with 30000 and 45000 laser pulses where the LODs of 10–10 M were obtained. The detection limit of 10–10 M was also reached for 4 mA cm–2-15 min pSi sample, silver ablated with 30000 pulses. Macroporous silicon proved to be a good base for the preparation of SERS substrates.

  13. Tunnel Oxides Formed by Field-Induced Anodisation for Passivated Contacts of Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Jingnan Tong

    2018-02-01

    Full Text Available Tunnel silicon oxides form a critical component for passivated contacts for silicon solar cells. They need to be sufficiently thin to allow carriers to tunnel through and to be uniform both in thickness and stoichiometry across the silicon wafer surface, to ensure uniform and low recombination velocities if high conversion efficiencies are to be achieved. This paper reports on the formation of ultra-thin silicon oxide layers by field-induced anodisation (FIA, a process that ensures uniform oxide thickness by passing the anodisation current perpendicularly through the wafer to the silicon surface that is anodised. Spectroscopical analyses show that the FIA oxides contain a lower fraction of Si-rich sub-oxides compared to wet-chemical oxides, resulting in lower recombination velocities at the silicon and oxide interface. This property along with its low temperature formation highlights the potential for FIA to be used to form low-cost tunnel oxide layers for passivated contacts of silicon solar cells.

  14. Mechanical grooving of oxidized porous silicon to reduce the reflectivity of monocrystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zarroug, A.; Dimassi, W.; Ouertani, R.; Ezzaouia, H. [Laboratoire de Photovoltaique, Centre des Recherches et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)

    2012-10-15

    In this work, we are interested to use oxidized porous silicon (ox-PS) as a mask. So, we display the creating of a rough surface which enhances the absorption of incident light by solar cells and reduces the reflectivity of monocrystalline silicon (c-Si). It clearly can be seen that the mechanical grooving enables us to elaborate the texturing of monocrystalline silicon wafer. Results demonstrated that the application of a PS layer followed by a thermal treatment under O2 ambient easily gives us an oxide layer of uniform size which can vary from a nanometer to about ten microns. In addition, the Fourier transform infrared (FTIR) spectroscopy investigations of the PS layer illustrates the possibility to realize oxide layer as a mask for porous silicon. We found also that this simple and low cost method decreases the total reflectivity (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Fabrication and characterization of surface barrier detector from commercial silicon substrate

    International Nuclear Information System (INIS)

    Silva, Julio Batista Rodrigues

    2016-01-01

    In this work it was developed radiation detectors silicon surface barrier that were capable of detecting the presence of gamma radiation from a low energy of iodine-125 seeds used in brachytherapy treatments. >From commercial silicon substrates detectors were developed, one sequence left of chemical treatments to the surfaces of these substrates with the intention of minimizing the possible noise generated, validation of the samples obtained as diodes, ensuring detector characteristics and effective use as detector for Iodine-125 radioactive sources with energy of about 25 keV and Americium-251 with energy on the order of 59 keV. Finished performing the analysis of the obtained energy spectra and so it was possible to observe the ability of these detectors to measure the energy from these seeds. (author)

  16. Electrochemical Fabrication of Nanostructures on Porous Silicon for Biochemical Sensing Platforms.

    Science.gov (United States)

    Ko, Euna; Hwang, Joonki; Kim, Ji Hye; Lee, Joo Heon; Lee, Sung Hwan; Tran, Van-Khue; Chung, Woo Sung; Park, Chan Ho; Choo, Jaebum; Seong, Gi Hun

    2016-01-01

    We present a method for the electrochemical patterning of gold nanoparticles (AuNPs) or silver nanoparticles (AgNPs) on porous silicon, and explore their applications in: (1) the quantitative analysis of hydroxylamine as a chemical sensing electrode and (2) as a highly sensitive surface-enhanced Raman spectroscopy (SERS) substrate for Rhodamine 6G. For hydroxylamine detection, AuNPs-porous silicon can enhance the electrochemical oxidation of hydroxylamine. The current changed linearly for concentrations ranging from 100 μM to 1.32 mM (R(2) = 0.995), and the detection limit was determined to be as low as 55 μM. When used as SERS substrates, these materials also showed that nanoparticles decorated on porous silicon substrates have more SERS hot spots than those decorated on crystalline silicon substrates, resulting in a larger SERS signal. Moreover, AgNPs-porous silicon provided five-times higher signal compared to AuNPs-porous silicon. From these results, we expect that nanoparticles decorated on porous silicon substrates can be used in various types of biochemical sensing platforms.

  17. Effects of varying oxygen partial pressure on molten silicon-ceramic substrate interactions

    Science.gov (United States)

    Ownby, D. P.; Barsoum, M. W.

    1980-01-01

    The silicon sessile drop contact angle was measured on hot pressed silicon nitride, silicon nitride coated on hot pressed silicon nitride, silicon carbon coated on graphite, and on Sialon to determine the degree to which silicon wets these substances. The post-sessile drop experiment samples were sectioned and photomicrographs were taken of the silicon-substrate interface to observe the degree of surface dissolution and degradation. Of these materials, silicon did not form a true sessile drop on the SiC on graphite due to infiltration of the silicon through the SiC coating, nor on the Sialon due to the formation of a more-or-less rigid coating on the liquid silicon. The most wetting was obtained on the coated Si3N4 with a value of 42 deg. The oxygen concentrations in a silicon ribbon furnace and in a sessile drop furnace were measured using the protable thoria-yttria solid solution electrolyte oxygen sensor. Oxygen partial pressures of 10 to the minus 7 power atm and 10 to the minus 8 power atm were obtained at the two facilities. These measurements are believed to represent nonequilibrium conditions.

  18. Efficiency improvement of multicrystalline silicon solar cells after surface and grain boundaries passivation using vanadium oxide

    Energy Technology Data Exchange (ETDEWEB)

    Derbali, L., E-mail: rayan.slat@yahoo.fr [Photovoltaiec Laboratory, Research and Technology Center of Energy, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia); Ezzaouia, H. [Photovoltaiec Laboratory, Research and Technology Center of Energy, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia)

    2012-08-01

    Highlights: Black-Right-Pointing-Pointer Evaporation of vanadium pentoxide onto the front surface leads to reduce the surface reflectivity considerably. Black-Right-Pointing-Pointer An efficient surface passivation can be obtained after thermal treatment of obtained films. Black-Right-Pointing-Pointer Efficiency of the obtained solar cells has been improved noticeably after thermal treatment of deposited thin films. - Abstract: The aim of this work is to investigate the effect of vanadium oxide deposition onto the front surface of multicrystalline silicon (mc-Si) substrat, without any additional cost in the fabrication process and leading to an efficient surface and grain boundaries (GBs) passivation that have not been reported before. The lowest reflectance of mc-Si coated with vanadium oxide film of 9% was achieved by annealing the deposited film at 600 Degree-Sign C. Vanadium pentoxide (V{sub 2}O{sub 5}) were thermally evaporated onto the surface of mc-Si substrates, followed by a short annealing duration at a temperature ranging between 600 Degree-Sign C and 800 Degree-Sign C, under O{sub 2} atmosphere. The chemical composition of the films was analyzed by means of Fourier transform infrared spectroscopy (FTIR). Surface and cross-section morphology were determined by atomic force microscope (AFM) and a scanning electron microscope (SEM), respectively. The deposited vanadium oxide thin films make the possibility of combining in one processing step an antireflection coating deposition along with efficient surface state passivation, as compared to a reference wafer. Silicon solar cells based on untreated and treated mc-Si wafers were achieved. We showed that mc-silicon solar cells, subjected to the above treatment, have better short circuit currents and open-circuit voltages than those made from untreated wafers. Thus, the efficiency of obtained solar cells has been improved.

  19. Efficiency improvement of multicrystalline silicon solar cells after surface and grain boundaries passivation using vanadium oxide

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2012-01-01

    Highlights: ► Evaporation of vanadium pentoxide onto the front surface leads to reduce the surface reflectivity considerably. ► An efficient surface passivation can be obtained after thermal treatment of obtained films. ► Efficiency of the obtained solar cells has been improved noticeably after thermal treatment of deposited thin films. - Abstract: The aim of this work is to investigate the effect of vanadium oxide deposition onto the front surface of multicrystalline silicon (mc-Si) substrat, without any additional cost in the fabrication process and leading to an efficient surface and grain boundaries (GBs) passivation that have not been reported before. The lowest reflectance of mc-Si coated with vanadium oxide film of 9% was achieved by annealing the deposited film at 600 °C. Vanadium pentoxide (V 2 O 5 ) were thermally evaporated onto the surface of mc-Si substrates, followed by a short annealing duration at a temperature ranging between 600 °C and 800 °C, under O 2 atmosphere. The chemical composition of the films was analyzed by means of Fourier transform infrared spectroscopy (FTIR). Surface and cross-section morphology were determined by atomic force microscope (AFM) and a scanning electron microscope (SEM), respectively. The deposited vanadium oxide thin films make the possibility of combining in one processing step an antireflection coating deposition along with efficient surface state passivation, as compared to a reference wafer. Silicon solar cells based on untreated and treated mc-Si wafers were achieved. We showed that mc-silicon solar cells, subjected to the above treatment, have better short circuit currents and open-circuit voltages than those made from untreated wafers. Thus, the efficiency of obtained solar cells has been improved.

  20. Gold Nanoparticles on Functionalized Silicon Substrate under Coulomb Blockade Regime: An Experimental and Theoretical Investigation.

    Science.gov (United States)

    Pluchery, Olivier; Caillard, Louis; Dollfus, Philippe; Chabal, Yves J

    2018-01-18

    Single charge electronics offer a way for disruptive technology in nanoelectronics. Coulomb blockade is a realistic way for controlling the electric current through a device with the accuracy of one electron. In such devices the current exhibits a step-like increase upon bias which reflects the discrete nature of the fundamental charge. We have assembled a double tunnel junction on an oxide-free silicon substrate that exhibits Coulomb staircase characteristics using gold nanoparticles (AuNPs) as Coulomb islands. The first tunnel junction is an insulating layer made of a grafted organic monolayer (GOM) developed for this purpose. The GOM also serves for attaching AuNPs covalently. The second tunnel junction is made by the tip of an STM. We show that this device exhibits reproducible Coulomb blockade I-V curves at 40 K in vacuum. We also show that depending on the doping of the silicon substrate, the whole Coulomb staircase can be adjusted. We have developed a simulation approach based on the orthodox theory that was completed by calculating the bias dependent tunnel barriers and by including an accurate calculation of the band bending. This model accounts for the experimental data and the doping dependence of Coulomb oscillations. This study opens new perspectives toward designing new kind of single electron transistors (SET) based on this dependence of the Coulomb staircase with the charge carrier concentration.

  1. Nafion/Silicon Oxide Composite Membrane for High Temperature Proton Exchange Membrane Fuel Cell

    Institute of Scientific and Technical Information of China (English)

    2007-01-01

    Nafion/Silicon oxide composite membranes were produced via in situ sol-gel reaction of tetraethylorthosilicate (TEOS) in Nafion membranes. The physicochemical properties of the membranes were studied by FT-IR, TG-DSC and tensile strength. The results show that the silicon oxide is compatible with the Nafion membrane and the thermo stability of Nafion/Silicon oxide composite membrane is higher than that of Nafion membrane. Furthermore, the tensile strength of Nafion/Silicon oxide composite membrane is similar to that of the Nafion membrane. The proton conductivity of Nafion/Silicon oxide composite membrane is higher than that of Nafion membrane. When the Nafion/Silicon oxide composite membrane was employed as an electrolyte in H2/O2 PEMFC, a higher current density value (1 000 mA/cm2 at 0.38 V) than that of the Nafion 1135 membrane (100 mA/cm2 at 0.04 V) was obtained at 110 ℃.

  2. Nano-ridge fabrication by local oxidation of silicon edges with silicon nitride as a mask

    NARCIS (Netherlands)

    Haneveld, J.; Berenschot, Johan W.; Maury, P.A.; Jansen, Henricus V.

    2005-01-01

    A method to fabricate nano-ridges over a full wafer is presented. The fabrication method uses local oxidation of silicon, with silicon nitride as a mask, and wet anisotropic etching of silicon. The realized structures are 7-20 nm wide, 40-100 nm high and centimeters long. All dimensions are easily

  3. Porous Silicon Covered with Silver Nanoparticles as Surface-Enhanced Raman Scattering (SERS) Substrate for Ultra-Low Concentration Detection.

    Science.gov (United States)

    Kosović, Marin; Balarin, Maja; Ivanda, Mile; Đerek, Vedran; Marciuš, Marijan; Ristić, Mira; Gamulin, Ozren

    2015-12-01

    Microporous and macro-mesoporous silicon templates for surface-enhanced Raman scattering (SERS) substrates were produced by anodization of low doped p-type silicon wafers. By immersion plating in AgNO3, the templates were covered with silver metallic film consisting of different silver nanostructures. Scanning electron microscopy (SEM) micrographs of these SERS substrates showed diverse morphology with significant difference in an average size and size distribution of silver nanoparticles. Ultraviolet-visible-near-infrared (UV-Vis-NIR) reflection spectroscopy showed plasmonic absorption at 398 and 469 nm, which is in accordance with the SEM findings. The activity of the SERS substrates was tested using rhodamine 6G (R6G) dye molecules and 514.5 nm laser excitation. Contrary to the microporous silicon template, the SERS substrate prepared from macro-mesoporous silicon template showed significantly broader size distribution of irregular silver nanoparticles as well as localized surface plasmon resonance closer to excitation laser wavelength. Such silver morphology has high SERS sensitivity that enables ultralow concentration detection of R6G dye molecules up to 10(-15) M. To our knowledge, this is the lowest concentration detected of R6G dye molecules on porous silicon-based SERS substrates, which might even indicate possible single molecule detection.

  4. Influence of sample oxidation on the nature of optical luminescence from porous silicon

    International Nuclear Information System (INIS)

    Coulthard, I.; Antel, W. J. Jr.; Freeland, J. W.; Sham, T. K.; Naftel, S. J.; Zhang, P.

    2000-01-01

    Site-selective luminescence experiments were performed upon porous-silicon samples exposed to varying degrees of oxidation. The source of different luminescence bands was determined to be due to either quantum confinement in nanocrystalline silicon or defective silicon oxide. Of particular interest is the defective silicon-oxide luminescence band found at 2.1 eV, which was found to frequently overlap with a luminescence band from nanocrystalline silicon. Some of the historical confusion and debate with regards to the source of luminescence from porous silicon can be attributed to this overlap. (c) 2000 American Institute of Physics

  5. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Youngseok Lee

    2012-01-01

    Full Text Available It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0 which improves the efficiency of HIT solar cell. The ultrathin thermal passivation silicon oxide (SiO2 layer was deposited by RTO system in the temperature range 500–950°C for 2 to 6 minutes. The thickness of the silicon oxide layer was affected by RTO annealing temperature and treatment time. The best value of surface recombination velocity was recorded for the sample treated at a temperature of 850°C for 6 minutes at O2 flow rate of 3 Lpm. A surface recombination velocity below 25 cm/s was obtained for the silicon oxide layer of 4 nm thickness. This ultrathin SiO2 layer was employed for the fabrication of HIT solar cell structure instead of a-Si:H, (i layer and the passivation and tunneling effects of the silicon oxide layer were exploited. The photocurrent was decreased with the increase of illumination intensity and SiO2 thickness.

  6. Wet-Chemical Preparation of Silicon Tunnel Oxides for Transparent Passivated Contacts in Crystalline Silicon Solar Cells.

    Science.gov (United States)

    Köhler, Malte; Pomaska, Manuel; Lentz, Florian; Finger, Friedhelm; Rau, Uwe; Ding, Kaining

    2018-05-02

    Transparent passivated contacts (TPCs) using a wide band gap microcrystalline silicon carbide (μc-SiC:H(n)), silicon tunnel oxide (SiO 2 ) stack are an alternative to amorphous silicon-based contacts for the front side of silicon heterojunction solar cells. In a systematic study of the μc-SiC:H(n)/SiO 2 /c-Si contact, we investigated selected wet-chemical oxidation methods for the formation of ultrathin SiO 2 , in order to passivate the silicon surface while ensuring a low contact resistivity. By tuning the SiO 2 properties, implied open-circuit voltages of 714 mV and contact resistivities of 32 mΩ cm 2 were achieved using μc-SiC:H(n)/SiO 2 /c-Si as transparent passivated contacts.

  7. Integration of functional complex oxide nanomaterials on silicon

    Directory of Open Access Journals (Sweden)

    Jose Manuel eVila-Fungueiriño

    2015-06-01

    Full Text Available The combination of standard wafer-scale semiconductor processing with the properties of functional oxides opens up to innovative and more efficient devices with high value applications that can be produced at large scale. This review uncovers the main strategies that are successfully used to monolithically integrate functional complex oxide thin films and nanostructures on silicon: the chemical solution deposition approach (CSD and the advanced physical vapor deposition techniques such as oxide molecular beam epitaxy (MBE. Special emphasis will be placed on complex oxide nanostructures epitaxially grown on silicon using the combination of CSD and MBE. Several examples will be exposed, with a particular stress on the control of interfaces and crystallization mechanisms on epitaxial perovskite oxide thin films, nanostructured quartz thin films, and octahedral molecular sieve nanowires. This review enlightens on the potential of complex oxide nanostructures and the combination of both chemical and physical elaboration techniques for novel oxide-based integrated devices.

  8. The role of extra-atomic relaxation in determining Si2p binding energy shifts at silicon/silicon oxide interfaces

    International Nuclear Information System (INIS)

    Zhang, K.Z.; Greeley, J.N.; Banaszak Holl, M.M.; McFeely, F.R.

    1997-01-01

    The observed binding energy shift for silicon oxide films grown on crystalline silicon varies as a function of film thickness. The physical basis of this shift has previously been ascribed to a variety of initial state effects (Si endash O ring size, strain, stoichiometry, and crystallinity), final state effects (a variety of screening mechanisms), and extrinsic effects (charging). By constructing a structurally homogeneous silicon oxide film on silicon, initial state effects have been minimized and the magnitude of final state stabilization as a function of film thickness has been directly measured. In addition, questions regarding the charging of thin silicon oxide films on silicon have been addressed. From these studies, it is concluded that initial state effects play a negligible role in the thickness-dependent binding energy shift. For the first ∼30 Angstrom of oxide film, the thickness-dependent binding energy shift can be attributed to final state effects in the form of image charge induced stabilization. Beyond about 30 Angstrom, charging of the film occurs. copyright 1997 American Institute of Physics

  9. Collapsed adhesion of carbon nanotubes on silicon substrates: continuum mechanics and atomistic simulations

    Science.gov (United States)

    Yuan, Xuebo; Wang, Youshan

    2018-02-01

    Carbon nanotubes (CNTs) can undergo collapse from the ordinary cylindrical configurations to bilayer ribbons when adhered on substrates. In this study, the collapsed adhesion of CNTs on the silicon substrates is investigated using both classical molecular dynamics (MD) simulations and continuum analysis. The governing equations and transversality conditions are derived based on the minimum potential energy principle and the energy-variational method, considering both the van der Waals interactions between CNTs and substrates and those inside CNTs. Closed-form solutions for the collapsed configuration are obtained which show good agreement with the results of MD simulations. The stability of adhesive configurations is investigated by analyzing the energy states. It is found that the adhesive states of single-walled CNTs (SWCNTs) (n, n) on the silicon substrates can be categorized by two critical radii, 0.716 and 0.892 nm. For SWCNTs with radius larger than 0.892 nm, they would fully collapse on the silicon substrates. For SWCNTs with radius less than 0.716 nm, the initial cylindrical configuration is energetically favorable. For SWCNTs with radius between two critical radii, the radially deformed state is metastable. The non-contact ends of all collapsed SWCNTs are identical with the same arc length of 2.38 nm. Finally, the role of number of walls on the adhesive configuration is investigated quantitatively. For multi-walled CNTs with the number of walls exceeding a certain value, the cylindrical configuration is stable due to the increasing bending stiffness. The present study can be useful for the design of CNT-based nanodevices.

  10. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  11. Thermal Oxidation of Structured Silicon Dioxide

    DEFF Research Database (Denmark)

    Christiansen, Thomas Lehrmann; Hansen, Ole; Jensen, Jørgen Arendt

    2014-01-01

    The topography of thermally oxidized, structured silicon dioxide is investigated through simulations, atomic force microscopy, and a proposed analytical model. A 357 nm thick oxide is structured by removing regions of the oxide in a masked etch with either reactive ion etching or hydrofluoric acid....... Subsequent thermal oxidation is performed in both dry and wet ambients in the temperature range 950◦C to 1100◦C growing a 205 ± 12 nm thick oxide in the etched mask windows. Lifting of the original oxide near the edge of the mask in the range 6 nm to 37 nm is seen with increased lifting for increasing...

  12. Vacuum-plasma-sprayed silicon coatings

    International Nuclear Information System (INIS)

    Varacalle, D.J. Jr.; Herman, H.; Bancke, G.A.; Burchell, T.D.; Romanoski, G.R.

    1991-01-01

    Vacuum plasma spraying produces well-bonded dense stress-free coatings for a variety of materials on a wide range of substrates. The process is used in many industries for the excellent wear, corrosion resistance and high temperature behavior of the fabricated coatings. In this study, silicon metal was deposited on graphite to study the feasibility of preventing corrosion and oxidation of graphite components for nuclear reactors. Operating parameters were varied in a Taguchi design of experiments to display the range of the plasma processing conditions and their effect on the measured coating characteristics. The coating attributes evaluated were thickness, porosity, microhardness and phase content. This paper discusses the influence of the processing parameters on as-sprayed coating qualities. The paper also discusses the effect of thermal cycling on silicon samples in an inert helium atmosphere. The diffraction spectrum for a sample that experienced a 1600degC temperature cycle indicated that more than 99% of the coating transformed to β-SiC. The silicon coatings protected the graphite substrates from oxidation in one experiment. (orig.)

  13. Meniscus-force-mediated layer transfer technique using single-crystalline silicon films with midair cavity: Application to fabrication of CMOS transistors on plastic substrates

    Science.gov (United States)

    Sakaike, Kohei; Akazawa, Muneki; Nakagawa, Akitoshi; Higashi, Seiichiro

    2015-04-01

    A novel low-temperature technique for transferring a silicon-on-insulator (SOI) layer with a midair cavity (supported by narrow SiO2 columns) by meniscus force has been proposed, and a single-crystalline Si (c-Si) film with a midair cavity formed in dog-bone shape was successfully transferred to a poly(ethylene terephthalate) (PET) substrate at its heatproof temperature or lower. By applying this proposed transfer technique, high-performance c-Si-based complementary metal-oxide-semiconductor (CMOS) transistors were successfully fabricated on the PET substrate. The key processes are the thermal oxidation and subsequent hydrogen annealing of the SOI layer on the midair cavity. These processes ensure a good MOS interface, and the SiO2 layer works as a “blocking” layer that blocks contamination from PET. The fabricated n- and p-channel c-Si thin-film transistors (TFTs) on the PET substrate showed field-effect mobilities of 568 and 103 cm2 V-1 s-1, respectively.

  14. Photoconduction in silicon rich oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Luna-Lopez, J A; Carrillo-Lopez, J; Flores-Gracia, F J; Garcia-Salgado, G [CIDS-ICUAP, Benemerita Universidad Autonoma de Puebla. Ed. 103 D and C, col. San Manuel, Puebla, Pue. Mexico 72570 (Mexico); Aceves-Mijares, M; Morales-Sanchez, A, E-mail: jluna@buap.siu.m, E-mail: jluna@inaoep.m [INAOE, Luis Enrique Erro No. 1, Apdo. 51, Tonantzintla, Puebla, Mexico 72000 (Mexico)

    2009-05-01

    Photoconduction of silicon rich oxide (SRO) thin films were studied by current-voltage (I-V) measurements, where ultraviolet (UV) and white (Vis) light illumination were applied. SRO thin films were deposited by low pressure chemical vapour deposition (LPCVD) technique, using SiH{sub 4} (silane) and N{sub 2}O (nitrous oxide) as reactive gases at 700 {sup 0}. The gas flow ratio, Ro = [N{sub 2}O]/[SiH{sub 4}] was used to control the silicon excess. The thickness and refractive index of the SRO films were 72.0 nm, 75.5 nm, 59.1 nm, 73.4 nm and 1.7, 1.5, 1.46, 1.45, corresponding to R{sub o} = 10, 20, 30 and 50, respectively. These results were obtained by null ellipsometry. Si nanoparticles (Si-nps) and defects within SRO films permit to obtain interesting photoelectric properties as a high photocurrent and photoconduction. These effects strongly depend on the silicon excess, thickness and structure type. Two different structures (Al/SRO/Si and Al/SRO/SRO/Si metal-oxide-semiconductor (MOS)-like structures) were fabricated and used as devices. The photocurrent in these structures is dominated by the generation of carriers due to the incident photon energies ({approx}3.0-1.6 eV and 5 eV). These structures showed large photoconductive response at room temperature. Therefore, these structures have potential applications in optoelectronics devices.

  15. Functionalization of 2D macroporous silicon under the high-pressure oxidation

    Science.gov (United States)

    Karachevtseva, L.; Kartel, M.; Kladko, V.; Gudymenko, O.; Bo, Wang; Bratus, V.; Lytvynenko, O.; Onyshchenko, V.; Stronska, O.

    2018-03-01

    Addition functionalization after high-pressure oxidation of 2D macroporous silicon structures is evaluated. X-ray diffractometry indicates formation of orthorhombic SiO2 phase on macroporous silicon at oxide thickness of 800-1200 nm due to cylindrical symmetry of macropores and high thermal expansion coefficient of SiO2. Pb center concentration grows with the splitting energy of LO- and TO-phonons and SiO2 thickness in oxidized macroporous silicon structures. This increase EPR signal amplitude and GHz radiation absorption and is promising for development of high-frequency devices and electronically controlled elements.

  16. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  17. Forward-bias diode parameters, electronic noise, and photoresponse of graphene/silicon Schottky junctions with an interfacial native oxide layer

    Science.gov (United States)

    An, Yanbin; Behnam, Ashkan; Pop, Eric; Bosman, Gijs; Ural, Ant

    2015-09-01

    Metal-semiconductor Schottky junction devices composed of chemical vapor deposition grown monolayer graphene on p-type silicon substrates are fabricated and characterized. Important diode parameters, such as the Schottky barrier height, ideality factor, and series resistance, are extracted from forward bias current-voltage characteristics using a previously established method modified to take into account the interfacial native oxide layer present at the graphene/silicon junction. It is found that the ideality factor can be substantially increased by the presence of the interfacial oxide layer. Furthermore, low frequency noise of graphene/silicon Schottky junctions under both forward and reverse bias is characterized. The noise is found to be 1/f dominated and the shot noise contribution is found to be negligible. The dependence of the 1/f noise on the forward and reverse current is also investigated. Finally, the photoresponse of graphene/silicon Schottky junctions is studied. The devices exhibit a peak responsivity of around 0.13 A/W and an external quantum efficiency higher than 25%. From the photoresponse and noise measurements, the bandwidth is extracted to be ˜1 kHz and the normalized detectivity is calculated to be 1.2 ×109 cm Hz1/2 W-1. These results provide important insights for the future integration of graphene with silicon device technology.

  18. Fabrication of heterojunction solar cells by using microcrystalline hydrogenated silicon oxide film as an emitter

    International Nuclear Information System (INIS)

    Banerjee, Chandan; Sritharathikhun, Jaran; Konagai, Makoto; Yamada, Akira

    2008-01-01

    Wide gap, highly conducting n-type hydrogenated microcrystalline silicon oxide (μc-SiO : H) films were prepared by very high frequency plasma enhanced chemical vapour deposition at a very low substrate temperature (170 deg. C) as an alternative to amorphous silicon (a-Si : H) for use as an emitter layer of heterojunction solar cells. The optoelectronic properties of n-μc-SiO : H films prepared for the emitter layer are dark conductivity = 0.51 S cm -1 at 20 nm thin film, activation energy = 23 meV and E 04 = 2.3 eV. Czochralski-grown 380 μm thick p-type (1 0 0) oriented polished silicon wafers with a resistivity of 1-10 Ω cm were used for the fabrication of heterojunction solar cells. Photovoltaic parameters of the device were found to be V oc = 620 mV, J sc = 32.1 mA cm -2 , FF = 0.77, η = 15.32% (active area efficiency)

  19. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  20. Monolithic amorphous silicon modules on continuous polymer substrate

    Energy Technology Data Exchange (ETDEWEB)

    Grimmer, D.P. (Iowa Thin Film Technologies, Inc., Ames, IA (United States))

    1992-03-01

    This report examines manufacturing monolithic amorphous silicon modules on a continuous polymer substrate. Module production costs can be reduced by increasing module performance, expanding production, and improving and modifying production processes. Material costs can be reduced by developing processes that use a 1-mil polyimide substrate and multilayers of low-cost material for the front encapsulant. Research to speed up a-Si and ZnO deposition rates is needed to improve throughputs. To keep throughput rates compatible with depositions, multibeam fiber optic delivery systems for laser scribing can be used. However, mechanical scribing systems promise even higher throughputs. Tandem cells and production experience can increase device efficiency and stability. Two alternative manufacturing processes are described: (1) wet etching and sheet handling and (2) wet etching and roll-to-roll fabrication.

  1. Demonstration of slot-waveguide structures on silicon nitride / silicon oxide platform.

    Science.gov (United States)

    Barrios, C A; Sánchez, B; Gylfason, K B; Griol, A; Sohlström, H; Holgado, M; Casquel, R

    2007-05-28

    We report on the first demonstration of guiding light in vertical slot-waveguides on silicon nitride/silicon oxide material system. Integrated ring resonators and Fabry-Perot cavities have been fabricated and characterized in order to determine optical features of the slot-waveguides. Group index behavior evidences guiding and confinement in the low-index slot region at O-band (1260-1370nm) telecommunication wavelengths. Propagation losses of <20 dB/cm have been measured for the transverse-electric mode of the slot-waveguides.

  2. Very high frequency plasma deposited amorphous/nanocrystalline silicon tandem solar cells on flexible substrates

    NARCIS (Netherlands)

    Liu, Y.|info:eu-repo/dai/nl/304831743

    2010-01-01

    The work in this thesis is to develop high quality intrinsic layers (especially nc-Si:H) for micromorph silicon tandem solar cells/modules on plastic substrates following the substrate transfer method or knows as the Helianthos procedure. Two objectives are covered in this thesis: (1) preliminary

  3. Nucleation of microcrystalline silicon: on the effect of the substrate surface nature and nano-imprint topography

    International Nuclear Information System (INIS)

    Palmans, J; Faraz, T; Verheijen, M A; Kessels, W M M; Creatore, M

    2016-01-01

    The nucleation of microcrystalline silicon thin-films has been investigated for various substrate natures and topographies. An earlier nucleation onset on aluminium-doped zinc oxide compared to glass substrates has been revealed, associated with a microstructure enhancement and reduced surface energy. Both aspects resulted in a larger crystallite density, following classical nucleation theory. Additionally, the nucleation onset was (plasma deposition) condition-dependent. Therefore, surface chemistry and its interplay with the plasma have been proposed as key factors affecting nucleation and growth. As such, preliminary proof of the substrate nature’s role in microcrystalline silicon growth has been provided. Subsequently, the impact of nano-imprint lithography prepared surfaces on the initial microcrystalline silicon growth has been explored. Strong topographies, with a 5-fold surface area enhancement, led to a reduction in crystalline volume fraction of ∼20%. However, no correlation between topography and microstructure has been found. Instead, the suppressed crystallization has been partially ascribed to a reduced growth flux, limited surface diffusion and increased incubation layer thickness, originating from the surface area enhancement when transiting from flat to nanostructured surfaces. Furthermore, fundamental plasma parameters have been reviewed in relation with surface topography. Strong topographies are not expected to affect the ion-to-growth flux ratio. However, the reduced ion flux (due to increasing surface area) further limited the already weak ion energy transfer to surface processes. Additionally, the atomic hydrogen flux, i.e. the driving force for microcrystalline growth, has been found to decrease by a factor of 10 when transiting from flat to nanostructured topography. This resulted in an almost 6-fold reduction of the hydrogen-to-growth flux ratio, a much stronger effect than the ion-to-growth flux ratio. Since previous studies regarding

  4. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    Energy Technology Data Exchange (ETDEWEB)

    Geissbühler, Jonas, E-mail: jonas.geissbuehler@epfl.ch; Werner, Jérémie; Martin de Nicolas, Silvia; Hessler-Wyser, Aïcha; Tomasi, Andrea; Niesen, Bjoern; De Wolf, Stefaan [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); Barraud, Loris; Despeisse, Matthieu; Nicolay, Sylvain [CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland); Ballif, Christophe [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland)

    2015-08-24

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide-bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p-type amorphous silicon with molybdenum oxide films. In this article, we evidence that annealing above 130 °C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited copper front metallization and demonstrate a silicon heterojunction solar cell with molybdenum oxide hole collector, featuring a fill factor value higher than 80% and certified energy conversion efficiency of 22.5%.

  5. The influence of initial defects on mechanical stress and deformation distribution in oxidized silicon

    Directory of Open Access Journals (Sweden)

    Kulinich O. A.

    2008-10-01

    Full Text Available The near-surface silicon layers in silicon – dioxide silicon systems with modern methods of research are investigated. It is shown that these layers have compound structure and their parameters depend on oxidation and initial silicon parameters. It is shown the influence of initial defects on mechanical stress and deformation distribution in oxidized silicon.

  6. Metal/silicon Interfaces and Their Oxidation Behavior - Photoemission Spectroscopy Analysis.

    Science.gov (United States)

    Yeh, Jyh-Jye

    Synchrotron radiation photoemission spectroscopy was used to study Ni/Si and Au/Si interface properties on the atomic scale at room temperature, after high temperature annealing and after oxygen exposures. Room temperature studies of metal/Si interfaces provide background for an understanding of the interface structure after elevated temperature annealing. Oxidation studies of Si surfaces covered with metal overlayers yield insight about the effect of metal atoms in the Si oxidation mechanisms and are useful in the identification of subtle differences in bonding relations between atoms at the metal/Si interfaces. Core level and valence band spectra with variable surface sensitivities were used to study the interactions between metal, Si, and oxygen for metal coverages and oxide thickness in the monolayer region. Interface morphology at the initial stage of metal/Si interface formation and after oxidation was modeled on the basis of the evolutions of metal and Si signals at different probing depths in the photoemission experiment. Both Ni/Si and Au/Si interfaces formed at room temperature have a diffusive region at the interface. This is composed of a layer of metal-Si alloy, formed by Si outdiffusion into the metal overlayer, above a layer of interstitial metal atoms in the Si substrate. Different atomic structures of these two regions at Ni/Si interface can account for the two different growth orientations of epitaxial Ni disilicides on the Si(111) surface after thermal annealing. Annealing the Au/Si interface at high temperature depletes all the Au atoms except for one monolayer of Au on the Si(111) surface. These phenomena are attributed to differences in the metal-Si chemical bonding relations associated with specific atomic structures. After oxygen exposures, both the Ni disilicide surface and Au covered Si surfaces (with different coverages and surface orderings) show silicon in higher oxidation states, in comparison to oxidized silicon on a clean surface

  7. Charging effects during focused electron beam induced deposition of silicon oxide

    NARCIS (Netherlands)

    de Boer, Sanne K.; van Dorp, Willem F.; De Hosson, Jeff Th. M.

    2011-01-01

    This paper concentrates on focused electron beam induced deposition of silicon oxide. Silicon oxide pillars are written using 2, 4, 6, 8, 10-pentamethyl-cyclopenta-siloxane (PMCPS) as precursor. It is observed that branching of the pillar occurs above a minimum pillar height. The branching is

  8. Aligned three-dimensional prismlike magnesium nanostructures realized onto silicon substrate

    International Nuclear Information System (INIS)

    Zhang Kaili; Rossi, Carole; Tenailleau, Christophe; Alphonse, Pierre

    2008-01-01

    A simple approach is proposed to realize three-dimensional (3D) prismlike Mg nanostructures, which has several advantages over previous investigations such as suitable for mass production, reduced impurities, tailored dimensions, and easier integration into microsystem. 3D Mg nanostructures are realized onto silicon substrate using a conventional thermal evaporator, where the incident angle of Mg vapor flux with respect to the substrate surface normal is fixed at 88 deg. The as-prepared 3D Mg nanostructures are characterized by scanning electron microscopy, x-ray diffraction, energy dispersive x-ray analysis, transmission electron microscopy, high-resolution transmission electron microscopy, and surface area measurement

  9. Oxidation of ultra low carbon and silicon bearing steels

    Energy Technology Data Exchange (ETDEWEB)

    Suarez, Lucia [CTM - Technologic Centre, Materials Technology Area, Manresa, Barcelona (Spain)], E-mail: lucia.suarez@ctm.com.es; Rodriguez-Calvillo, Pablo [CTM - Technologic Centre, Materials Technology Area, Manresa, Barcelona (Spain)], E-mail: pablo.rodriguez@ctm.com.es; Houbaert, Yvan [Department of Materials Science and Engineering, University of Ghent (Belgium)], E-mail: Yvan.Houbaert@UGent.be; Colas, Rafael [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon (Mexico)], E-mail: rcolas@mail.uanl.mx

    2010-06-15

    Oxidation tests were carried out in samples from an ultra low carbon and two silicon bearing steels to determine the distribution and morphology of the oxide species present. The ultra low carbon steel was oxidized for short periods of time within a chamber designed to obtain thin oxide layers by controlling the atmosphere, and for longer times in an electric furnace; the silicon steels were reheated only in the electric furnace. The chamber was constructed to study the behaviour encountered during the short period of time between descaling and rolling in modern continuous mills. It was found that the oxide layers formed on the samples reheated in the electric furnace were made of different oxide species. The specimens treated in the chamber had layers made almost exclusively of wustite. Selected oxide samples were studied by scanning electron microscopy to obtain electron backscattered diffraction patterns, which were used to identify the oxide species in the layer.

  10. Intensifying the Casimir force between two silicon substrates within three different layers of materials

    International Nuclear Information System (INIS)

    Seyedzahedi, A.; Moradian, A.; Setare, M.R.

    2016-01-01

    We investigate the Casimir force for a system composed of two thick slabs as substrates within three different homogeneous layers. We use the scattering approach along with the Matsubara formalism in order to calculate the Casimir force at finite temperature. First, we focus on constructing the reflection matrices and then we calculate the Casimir force for a water–lipid system. According to the conventional use of silicon as a substrate, we apply the formalism to calculate the Casimir force for layers of Au, VO 2 , mica, KCl and foam rubber on the thick slabs of silicon. Afterwards, introducing an increasing factor, we compare our results with Lifshitz force in the vacuum between two semispaces of silicon in order to illustrate the influence of the layers on intensifying the Casimir force. We also calculate the Casimir force between two slabs of the forementioned materials with finite thicknesses to indicate the substrate's role in increasing the obtained Casimir force. Our simple calculation is interesting since one can extend it along with the Rigorous Coupled Wave Analysis to systems containing inhomogeneous layers as good candidates for designing nanomechanical devices.

  11. Intensifying the Casimir force between two silicon substrates within three different layers of materials

    Energy Technology Data Exchange (ETDEWEB)

    Seyedzahedi, A. [Department of Science, University of Kurdistan, Sanandaj (Iran, Islamic Republic of); Moradian, A., E-mail: a.moradian@uok.ac.ir [Department of Science, Campus of Bijar, University of Kurdistan, Bijar (Iran, Islamic Republic of); Setare, M.R., E-mail: rezakord@ipm.ir [Department of Science, University of Kurdistan, Sanandaj (Iran, Islamic Republic of)

    2016-04-01

    We investigate the Casimir force for a system composed of two thick slabs as substrates within three different homogeneous layers. We use the scattering approach along with the Matsubara formalism in order to calculate the Casimir force at finite temperature. First, we focus on constructing the reflection matrices and then we calculate the Casimir force for a water–lipid system. According to the conventional use of silicon as a substrate, we apply the formalism to calculate the Casimir force for layers of Au, VO{sub 2}, mica, KCl and foam rubber on the thick slabs of silicon. Afterwards, introducing an increasing factor, we compare our results with Lifshitz force in the vacuum between two semispaces of silicon in order to illustrate the influence of the layers on intensifying the Casimir force. We also calculate the Casimir force between two slabs of the forementioned materials with finite thicknesses to indicate the substrate's role in increasing the obtained Casimir force. Our simple calculation is interesting since one can extend it along with the Rigorous Coupled Wave Analysis to systems containing inhomogeneous layers as good candidates for designing nanomechanical devices.

  12. Post-growth annealing of zinc oxide thin films pulsed laser deposited under enhanced oxygen pressure on quartz and silicon substrates

    International Nuclear Information System (INIS)

    Rusop, M.; Uma, K.; Soga, T.; Jimbo, T.

    2006-01-01

    Zinc oxide (ZnO) thin films have been prepared by pulsed laser deposition (PLD) technique at room temperature on quartz and single crystal silicon (1 0 0) substrates. The oxygen ambient gas pressure was attained at 6 Torr during the deposition. The deposited films were post-growth annealed in air at various annealing temperatures for 30 min. The X-ray diffraction (XRD), optical and electrical properties have been measured to study the properties of the films as a function of annealing temperatures. XRD has shown the strength of (0 0 2) peak increases and FWHM value decreases as the annealing temperatures increases from 200 to 600 deg. C. The post-growth annealed at 600 deg. C show dominant c-axis oriented hexagonal wurtize crystal structure and exhibit high average transmittance about 85% in the visible region and very sharp absorption edge at 376 nm with energy band gap of approximately 3.46 eV. Electrical measurement indicates the resistivity decreases with the annealing temperatures up to 600 deg. C, after which it increases with higher annealing temperatures at 800 deg. C. The complex of oxygen vacancy in the ZnO films may be the source of low conductivity in undoped ZnO films

  13. Sponge-like reduced graphene oxide/silicon/carbon nanotube composites for lithium ion batteries

    Science.gov (United States)

    Fang, Menglu; Wang, Zhao; Chen, Xiaojun; Guan, Shiyou

    2018-04-01

    Three-dimensional sponge-like reduced graphene oxide/silicon/carbon nanotube composites were synthesized by one-step hydrothermal self-assembly using silicon nanoparticles, graphene oxide and amino modified carbon nanotubes to develop high-performance anode materials of lithium ion batteries. Scanning electron microscopy and transmission electron microscopy images show the structure of composites that Silicon nanoparticles are coated with reduced graphene oxide while amino modified carbon nanotubes wrap around the reduced graphene oxide in the composites. When applied to lithium ion battery, these composites exhibit high initial specific capacity of 2552 mA h/g at a current density of 0.05 A/g. In addition, reduced graphene oxide/silicon/carbon nanotube composites also have better cycle stability than bare Silicon nanoparticles electrode with the specific capacity of 1215 mA h/g after 100 cycles. The three-dimension sponge-like structure not only ensures the electrical conductivity but also buffers the huge volume change, which has broad potential application in the field of battery.

  14. Effect of annealing on silicon heterojunction solar cells with textured ZnO:Al as transparent conductive oxide

    Directory of Open Access Journals (Sweden)

    Roca i Cabarrocas P.

    2012-07-01

    Full Text Available We report on silicon heterojunction solar cells using textured aluminum doped zinc oxide (ZnO:Al as a transparent conductive oxide (TCO instead of flat indium tin oxide. Double side silicon heterojunction solar cell were fabricated by radio frequency plasma enhanced chemical vapor deposition on high life time N-type float zone crystalline silicon wafers. On both sides of these cells we have deposited by radio frequency magnetron sputtering ZnO:Al layers of thickness ranging from 800 nm to 1400 nm. These TCO layers were then textured by dipping the samples in a 0.5% hydrochloric acid. External quantum efficiency as well as I-V under 1 sun illumination measurements showed an increase of the current for the cells using textured ZnO:Al. The cells were then annealed at 150 °C, 175 °C and 200 °C during 30 min in ambient atmosphere and characterized at each annealing step. The results show that annealing has no impact on the open circuit voltage of the devices but that up to a 175 °C it enhances their short circuit current, consistent with an overall enhancement of their spectral response. Our results suggest that ZnO:Al is a promising material to increase the short circuit current (Jsc while avoiding texturing the c-Si substrate.

  15. Segregation of boron implanted into silicon on angular configurations of silicon/silicon dioxide oxidation interface

    CERN Document Server

    Tarnavskij, G A; Obrekht, M S

    2001-01-01

    One studies segregation of boron implanted into silicon when a wave (interface) of oxidation moves within it. There are four types of angular configurations of SiO sub 2 /Si oxidation interface, that is: direct and reverse shoulders, trench type cavities and a square. By means of computer-aided simulation one obtained and analyzed complex patterns of B concentration distribution within Si, SiO sub 2 domains and at SiO sub 2 /Si interface for all types of angular configurations of the oxidation interface

  16. Nitric oxide levels in the anterior chamber of vitrectomized eyes with silicon oil

    Directory of Open Access Journals (Sweden)

    Paulo Escarião

    2013-10-01

    Full Text Available PURPOSE: To investigate the nitric oxide levels in the anterior chamber of eyes who underwent pars plana vitrectomy (PPV with silicone oil. METHODS: Patients who underwent PPV with silicon oil injection, from february 2005 to august 2007, were selected. Nine patients (nine eyes participated in the study (five women and four men. Nitric oxide concentration was quantified after the aspiration of aqueous humor samples during the procedure of silicon oil removal. Data such as: oil emulsification; presence of oil in the anterior chamber; intraocular pressure and time with silicone oil were evaluated. Values of p <0.05 were considered to be statistically significant. RESULTS: A positive correlation between nitric oxide concentration and time with silicon oil in the vitreous cavity (r=0.799 was observed. The nitric oxide concentration was significantly higher (p=0.02 in patients with silicon oil more than 24 months (0.90µmol/ml ± 0.59, n=3 in the vitreous cavity comparing to patients with less than 24 months (0.19µmol/ml ± 0.10, n=6. CONCLUSION: A positive correlation linking silicone oil time in the vitreous cavity with the nitric oxide concentration in the anterior chamber was observed.

  17. Oxidation and corrosion of silicon-based ceramics and composites

    International Nuclear Information System (INIS)

    Jacobson, N.S.; Fox, D.S.; Smialek, J.L.

    1997-01-01

    Silica scales exhibit slow growth rates and a low activation energy. Thus silica-protected materials are attractive high temperature structural materials for their potentially excellent oxidation resistance and well-documented high temperature strength. This review focuses on silicon carbide, silicon nitride, and composites of these materials. It is divided into four parts: (i) Fundamental oxidation mechanisms, (ii) Special properties of silica scales, (iii) Protective coatings, and (iv) Internal oxidation behavior of composites. While the fundamental oxidation mechanism of SiC is understood, there are still many questions regarding the oxidation mechanism of Si 3 N 4 . Silica scales exhibit many unique properties as compared to chromia and alumina. These include slower growth rates, SiO(g) formation, sensitivity to water vapor and impurities, and dissolution by basic molten salts. Protective coatings can limit the deleterious effects. The fourth area-internal oxidation of fibers and fiber coatings in composites-has limited the application of these novel materials. Strategies for understanding and limiting this internal oxidation are discussed. (orig.)

  18. Covalent Surface Modification of Silicon Oxides with Alcohols in Polar Aprotic Solvents.

    Science.gov (United States)

    Lee, Austin W H; Gates, Byron D

    2017-09-05

    Alcohol-based monolayers were successfully formed on the surfaces of silicon oxides through reactions performed in polar aprotic solvents. Monolayers prepared from alcohol-based reagents have been previously introduced as an alternative approach to covalently modify the surfaces of silicon oxides. These reagents are readily available, widely distributed, and are minimally susceptible to side reactions with ambient moisture. A limitation of using alcohol-based compounds is that previous reactions required relatively high temperatures in neat solutions, which can degrade some alcohol compounds or could lead to other unwanted side reactions during the formation of the monolayers. To overcome these challenges, we investigate the condensation reaction of alcohols on silicon oxides carried out in polar aprotic solvents. In particular, propylene carbonate has been identified as a polar aprotic solvent that is relatively nontoxic, readily accessible, and can facilitate the formation of alcohol-based monolayers. We have successfully demonstrated this approach for tuning the surface chemistry of silicon oxide surfaces with a variety of alcohol containing compounds. The strategy introduced in this research can be utilized to create silicon oxide surfaces with hydrophobic, oleophobic, or charged functionalities.

  19. Gas microstrip detectors on polymer, silicon and glass substrates

    International Nuclear Information System (INIS)

    Barasch, E.F.; Demroff, H.P.; Drew, M.M.; Elliott, T.S.; Gaedke, R.M.; Goss, L.T.; Kasprowicz, T.B.; Lee, B.; Mazumdar, T.K.; McIntyre, P.M.; Pang, Y.; Smith, D.D.; Trost, H.J.; Vanstraelen, G.; Wahl, J.

    1993-01-01

    We present results on the performance of Gas Microstrip Detectors on various substrates. These include a 300 μm anode-anode pitch pattern on Tempax borosilicate glass and ABS/copolyether, a 200 μm pattern on Upilex ''S'' polyimide, Texin 4215, Tedlar, ion-implanted Kapton, orientation-dependent etched flat-topped silicon (''knife-edge chamber''), and iron-vanadium glass, and a 100 μm pitch pattern on Upilex ''S'' and ion-implanted Kapton. (orig.)

  20. Structural and elastoplastic properties of β -Ga2O3 films grown on hybrid SiC/Si substrates

    Science.gov (United States)

    Osipov, A. V.; Grashchenko, A. S.; Kukushkin, S. A.; Nikolaev, V. I.; Osipova, E. V.; Pechnikov, A. I.; Soshnikov, I. P.

    2018-04-01

    Structural and mechanical properties of gallium oxide films grown on (001), (011) and (111) silicon substrates with a buffer layer of silicon carbide are studied. The buffer layer was fabricated by the atom substitution method, i.e., one silicon atom per unit cell in the substrate was substituted by a carbon atom by chemical reaction with carbon monoxide. The surface and bulk structure properties of gallium oxide films have been studied by atomic-force microscopy and scanning electron microscopy. The nanoindentation method was used to investigate the elastoplastic characteristics of gallium oxide, and also to determine the elastic recovery parameter of the films under study. The ultimate tensile strength, hardness, elastic stiffness constants, elastic compliance constants, Young's modulus, linear compressibility, shear modulus, Poisson's ratio and other characteristics of gallium oxide have been calculated by quantum chemistry methods based on the PBESOL functional. It is shown that all these properties of gallium oxide are essentially anisotropic. The calculated values are compared with experimental data. We conclude that a change in the silicon orientation leads to a significant reorientation of gallium oxide.

  1. Room-temperature operation of a 2.25 μm electrically pumped laser fabricated on a silicon substrate

    International Nuclear Information System (INIS)

    Rodriguez, J. B.; Cerutti, L.; Grech, P.; Tournie, E.

    2009-01-01

    We report on a GaSb-based type-I laser structure grown by molecular beam epitaxy on a (001) silicon substrate. A thin AlSb nucleation layer followed by a 1 μm thick GaSb buffer layer was used to accommodate the very large lattice mismatch existing with the silicon substrate. Processed devices with mesa geometry exhibited laser operation in pulsed mode with a duty cycle up to 10% at room temperature

  2. Waveguiding properties of Er-implanted silicon-rich oxides

    International Nuclear Information System (INIS)

    Elliman, R.G.; Forcales, M.; Wilkinson, A.R.; Smith, N.J.

    2007-01-01

    The optical properties of erbium-doped silicon-rich silicon-oxide waveguides containing amorphous silicon nanoclusters and/or silicon nanocrystals are reported. Both amorphous nanoclusters and nanocrystals are shown to act as effective sensitizers for Er, with nanocrystals being more effective at low pump powers and nanoclusters being more effective at higher pump powers. All samples are shown to exhibit photo-induced absorption, as measured for a guided 1.5 μm probe beam while the waveguide was illuminated from above with a 477 nm pump beam. At a given pump power samples containing silicon nanocrystals exhibited greater attenuation than samples containing amorphous nanoclusters. The absorption is shown to be consistent with confined-carrier absorption due to photoexcited carriers in the nanocrystals and/or nanoclusters

  3. Growth on elastic silicone substrate elicits a partial myogenic response in periodontal ligament derived stem cells

    Directory of Open Access Journals (Sweden)

    Daniel Pelaez

    2016-12-01

    Full Text Available The processes of cellular differentiation and phenotypic maintenance can be influenced by stimuli from a variety of different factors. One commonly overlooked factor is the mechanical properties of the growth substrate in which stem cells are maintained or differentiated down various lineages. Here we explored the effect that growth on an elastic silicone substrate had on the myogenic expression and cytoskeletal morphology of periodontal ligament derived stem cells. Cells were grown on either collagen I coated tissue culture polystyrene plates or collagen I coated elastic silicone membranes for a period of 4 days without further induction from soluble factors in the culture media. Following the 4-day growth, gene expression and immunohistochemical analysis for key cardiomyogenic markers was performed along with a morphological assessment of cytoskeletal organization. Results show that cells grown on the elastic substrate significantly upregulate key markers associated with contractile activity in muscle tissues. Namely, the myosin light chain polypeptides 2 and 7, as well as the myosin heavy chain polypeptide 7 genes underwent a statistically significant upregulation in the cells grown on elastic silicone membranes. Similarly, the cells on the softer elastic substrate stained positive for both sarcomeric actin and cardiac troponin t proteins following just 4 days of growth on the softer material. Cytoskeletal analysis showed that substrate stiffness had a marked effect on the organization and distribution of filamentous actin fibers within the cell body. Growth on silicone membranes produced flatter and shorter cellular morphologies with filamentous actin fibers projecting anisotropically throughout the cell body. These results demonstrate how crucial the mechanical properties of the growth substrate of cells can be on the ultimate cellular phenotype. These observations highlight the need to further optimize differentiation protocols to enhance

  4. Dual-side and three-dimensional microelectrode arrays fabricated from ultra-thin silicon substrates

    International Nuclear Information System (INIS)

    Du, Jiangang; Masmanidis, Sotiris C; Roukes, Michael L

    2009-01-01

    A method for fabricating planar implantable microelectrode arrays was demonstrated using a process that relied on ultra-thin silicon substrates, which ranged in thickness from 25 to 50 µm. The challenge of handling these fragile materials was met via a temporary substrate support mechanism. In order to compensate for putative electrical shielding of extracellular neuronal fields, separately addressable electrode arrays were defined on each side of the silicon device. Deep reactive ion etching was employed to create sharp implantable shafts with lengths of up to 5 mm. The devices were flip-chip bonded onto printed circuit boards (PCBs) by means of an anisotropic conductive adhesive film. This scalable assembly technique enabled three-dimensional (3D) integration through formation of stacks of multiple silicon and PCB layers. Simulations and measurements of microelectrode noise appear to suggest that low impedance surfaces, which could be formed by electrodeposition of gold or other materials, are required to ensure an optimal signal-to-noise ratio as well a low level of interchannel crosstalk

  5. Large-grain polycrystalline silicon film by sequential lateral solidification on a plastic substrate

    International Nuclear Information System (INIS)

    Kim, Yong-Hae; Chung, Choong-Heui; Yun, Sun Jin; Moon, Jaehyun; Park, Dong-Jin; Kim, Dae-Won; Lim, Jung Wook; Song, Yoon-Ho; Lee, Jin Ho

    2005-01-01

    A large-grain polycrystalline silicon film was obtained on a plastic substrate by sequential lateral solidification. With various combinations of sputtering powers and Ar working gas pressures, the conditions for producing dense amorphous silicon (a-Si) and SiO 2 films were optimized. The successful crystallization of the a-Si film is attributed to the production of a dense a-Si film that has low argon content and can endure high-intensity laser irradiation

  6. Research and development of photovoltaic power system. Development of novel technologies for fabrication of high quality silicon thin films for solar cells; Taiyoko hatsuden system no kenkyu kaihatsu. Kohinshitsu silicon usumaku sakusei gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Shimizu, T [Kanazawa University, Ishikawa (Japan). Faculty of Engineering

    1994-12-01

    Described herein are the results of the FY1994 research program for development of novel technologies for fabrication of high quality thin films of silicon for solar cells. The study on the mechanisms and effects of chemical annealing reveals that the film structure greatly varies depending on substrate temperature during the hydrotreatment process, based on the tests with substrate temperature, deposition of superthin film (T1) and hydrotreatment (T2) as the variable parameters. Chemical annealing at low temperature produces a high-quality a-Si:H film of low defect content. The study on fabrication of thin polycrystalline silicon films at low temperature observes on real time the process of deposition of the thin films on polycrystalline silicon substrates, where a natural oxide film is removed beforehand from the substrate. The results indicate that a thin polycrystalline silicon film of 100% crystallinity can be formed even on a polycrystalline silicon substrate by controlling starting gas composition and substrate temperature. The layer-by-layer method is used as the means for forming the seed crystals on a glass substrate, where deposition and hydrotreatment are repeated alternately, to produce the thin crystalline silicon films of high crystallinity. 3 figs.

  7. Substrate overload: Glucose oxidation in human myotubes conquers palmitate oxidation through anaplerosis

    DEFF Research Database (Denmark)

    Gaster, Michael

    2009-01-01

    of citrate was increased which could be abolished by phenylacetic acid (inhibitor of pyruvate carboxylase (PC)). The present data challenges above preconceptions. Although they operate at low-moderate substrate levels additional two principles determine substrate oxidation at higher substrate concentrations...

  8. Plasma deposition of thin film silicon at low substrate temperature and at high growth rate

    NARCIS (Netherlands)

    Verkerk, A.D.|info:eu-repo/dai/nl/304831719

    2009-01-01

    To expand the range of applications for thin film solar cells incorporating hydrogenated amorphous silicon (a-Si:H) and hydrogenated nanocrystalline silicon (nc-Si:H), the growth rate has to be increased 0.5 or less to several nm/s and the substrate temperature should be lowered to around 100 C. In

  9. Structural, Optical, and Vibrational Properties of ZnO Microrods Deposited on Silicon Substrate

    Science.gov (United States)

    Lahlouh, Bashar I.; Ikhmayies, Shadia J.; Juwhari, Hassan K.

    2018-03-01

    Zinc oxide (ZnO) microrod films deposited by spray pyrolysis on silicon substrate at 350 ± 5°C have been studied and evaluated, and compared with thin films deposited by electron beam to confirm the identity of the studied samples. The films were characterized using different techniques. The microrod structure was studied and confirmed by scanning electron microscopy. Fourier-transform infrared (FTIR) spectroscopy and x-ray diffraction analysis confirmed successful deposition of ZnO thin films with the expected wurtzite structure. Reflectance data showed a substantial drop across the whole studied wavelength range. The photoluminescence (PL) spectra of the studied samples showed a peak at ˜ 360 nm, representing a signature of ZnO. The shift in the PL peak position is due to defects and other species present in the films, as confirmed by FTIR and energy-dispersive x-ray spectroscopy results.

  10. Improved reaction sintered silicon nitride. [protective coatings to improve oxidation resistance

    Science.gov (United States)

    Baumgartner, H. R.

    1978-01-01

    Processing treatments were applied to as-nitrided reaction sintered silicon nitride (RSSN) with the purposes of improving strength after processing to above 350 MN/m2 and improving strength after oxidation exposure. The experimental approaches are divided into three broad classifications: sintering of surface-applied powders; impregnation of solution followed by further thermal processing; and infiltration of molten silicon and subsequent carburization or nitridation of the silicon. The impregnation of RSSN with solutions of aluminum nitrate and zirconyl chloride, followed by heating at 1400-1500 C in a nitrogen atmosphere containing silicon monoxide, improved RSSN strength and oxidation resistance. The room temperature bend strength of RSSN was increased nearly fifty percent above the untreated strength with mean absolute strengths up to 420 MN/m2. Strengths of treated samples that were measured after a 12 hour oxidation exposure in air were up to 90 percent of the original as-nitrided strength, as compared to retained strengths in the range of 35 to 60 percent for untreated RSSN after the same oxidation exposure.

  11. Effect of grain alignment on interface trap density of thermally oxidized aligned-crystalline silicon films

    Science.gov (United States)

    Choi, Woong; Lee, Jung-Kun; Findikoglu, Alp T.

    2006-12-01

    The authors report studies of the effect of grain alignment on interface trap density of thermally oxidized aligned-crystalline silicon (ACSi) films by means of capacitance-voltage (C-V) measurements. C-V curves were measured on metal-oxide-semiconductor (MOS) capacitors fabricated on ⟨001⟩-oriented ACSi films on polycrystalline substrates. From high-frequency C-V curves, the authors calculated a decrease of interface trap density from 2×1012to1×1011cm-2eV-1 as the grain mosaic spread in ACSi films improved from 13.7° to 6.5°. These results demonstrate the effectiveness of grain alignment as a process technique to achieve significantly enhanced performance in small-grained (⩽1μm ) polycrystalline Si MOS-type devices.

  12. Charge separation technique for metal-oxide-silicon capacitors in the presence of hydrogen deactivated dopants

    International Nuclear Information System (INIS)

    Witczak, Steven C.; Winokur, Peter S.; Lacoe, Ronald C.; Mayer, Donald C.

    2000-01-01

    An improved charge separation technique for metal-oxide-silicon (MOS) capacitors is presented which accounts for the deactivation of substrate dopants by hydrogen at elevated irradiation temperatures or small irradiation biases. Using high-frequency capacitance-voltage (C-V) measurements, radiation-induced inversion voltage shifts are separated into components due to oxide trapped charge, interface traps and deactivated dopants, where the latter is computed from a reduction in Si capacitance. In the limit of no radiation-induced dopant deactivation, this approach reduces to the standard midgap charge separation technique used widely for the analysis of room-temperature irradiations. The technique is demonstrated on a p-type MOS capacitor irradiated with 60 Co γ-rays at 100 C and zero bias, where the dopant deactivation is significant

  13. Emerging heterogeneous integrated photonic platforms on silicon

    Directory of Open Access Journals (Sweden)

    Fathpour Sasan

    2015-05-01

    Full Text Available Silicon photonics has been established as a mature and promising technology for optoelectronic integrated circuits, mostly based on the silicon-on-insulator (SOI waveguide platform. However, not all optical functionalities can be satisfactorily achieved merely based on silicon, in general, and on the SOI platform, in particular. Long-known shortcomings of silicon-based integrated photonics are optical absorption (in the telecommunication wavelengths and feasibility of electrically-injected lasers (at least at room temperature. More recently, high two-photon and free-carrier absorptions required at high optical intensities for third-order optical nonlinear effects, inherent lack of second-order optical nonlinearity, low extinction ratio of modulators based on the free-carrier plasma effect, and the loss of the buried oxide layer of the SOI waveguides at mid-infrared wavelengths have been recognized as other shortcomings. Accordingly, several novel waveguide platforms have been developing to address these shortcomings of the SOI platform. Most of these emerging platforms are based on heterogeneous integration of other material systems on silicon substrates, and in some cases silicon is integrated on other substrates. Germanium and its binary alloys with silicon, III–V compound semiconductors, silicon nitride, tantalum pentoxide and other high-index dielectric or glass materials, as well as lithium niobate are some of the materials heterogeneously integrated on silicon substrates. The materials are typically integrated by a variety of epitaxial growth, bonding, ion implantation and slicing, etch back, spin-on-glass or other techniques. These wide range of efforts are reviewed here holistically to stress that there is no pure silicon or even group IV photonics per se. Rather, the future of the field of integrated photonics appears to be one of heterogenization, where a variety of different materials and waveguide platforms will be used for

  14. Characterization of self-assembled monolayers (SAMs) on silicon substrate comparative with polymer substrate for Escherichia coli O157:H7 detection

    International Nuclear Information System (INIS)

    Moldovan, Carmen; Mihailescu, Carmen; Stan, Dana; Ruta, Lavinia; Iosub, Rodica; Gavrila, Raluca; Purica, Munizer; Vasilica, Schiopu

    2009-01-01

    This article presents the characterization of two substrates, silicon and polymer coated with gold, that are functionalized by mixed self-assembled monolayers (SAMs) in order to efficiently immobilize the anti-Escherichia coli O157:H7 polyclonal purified antibody. A biosurface functionalized by SAMs (self-assembled monolayers) technique has been developed. Immobilization of goat anti-E. coli O157:H7 antibody was performed by covalently bonding of thiolate mixed self-assembled monolayers (SAMs) realized on two substrates: polymer coated with gold and silicon coated with gold. The F(ab') 2 fragments of the antibodies have been used for eliminating nonspecific bindings between the Fc portions of antibodies and the Fc receptor on cells. The properties of the monolayers and the biofilm formatted with attached antibody molecules were analyzed at each step using infrared spectroscopy (FTIR-ATR), atomic force microscopy (AFM), scanning electron microscopy (SEM) and cyclic voltammetry (CV). In our study the gold-coated silicon substrates approach yielded the best results. These experimental results revealed the necessity to investigate each stage of the immobilization process taking into account in the same time the factors that influence the chemistry of the surface and the further interactions as well and also provide a solid basis for further studies aiming at elaborating sensitive and specific immunosensor or a microarray for the detection of E. coli O157:H7.

  15. Characterization of self-assembled monolayers (SAMs) on silicon substrate comparative with polymer substrate for Escherichia coli O157:H7 detection

    Energy Technology Data Exchange (ETDEWEB)

    Moldovan, Carmen, E-mail: carmen.moldovan@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Mihailescu, Carmen, E-mail: carmen_mihail28@yahoo.com [University of Bucharest, 90-92 Sos Panduri, Bucharest (Romania); Stan, Dana, E-mail: dana_stan2005@yahoo.com [DDS Diagnostic, 1 Segovia Street, Bucharest (Romania); Ruta, Lavinia, E-mail: laviniacoco@yahoo.com [University of Bucharest, 90-92 Sos Panduri, Bucharest (Romania); Iosub, Rodica, E-mail: rodica.iosub@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Gavrila, Raluca, E-mail: raluca.gavrila@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Purica, Munizer, E-mail: munizer.purica@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Vasilica, Schiopu, E-mail: vasilica.schiopu@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania)

    2009-08-30

    This article presents the characterization of two substrates, silicon and polymer coated with gold, that are functionalized by mixed self-assembled monolayers (SAMs) in order to efficiently immobilize the anti-Escherichia coli O157:H7 polyclonal purified antibody. A biosurface functionalized by SAMs (self-assembled monolayers) technique has been developed. Immobilization of goat anti-E. coli O157:H7 antibody was performed by covalently bonding of thiolate mixed self-assembled monolayers (SAMs) realized on two substrates: polymer coated with gold and silicon coated with gold. The F(ab'){sub 2} fragments of the antibodies have been used for eliminating nonspecific bindings between the Fc portions of antibodies and the Fc receptor on cells. The properties of the monolayers and the biofilm formatted with attached antibody molecules were analyzed at each step using infrared spectroscopy (FTIR-ATR), atomic force microscopy (AFM), scanning electron microscopy (SEM) and cyclic voltammetry (CV). In our study the gold-coated silicon substrates approach yielded the best results. These experimental results revealed the necessity to investigate each stage of the immobilization process taking into account in the same time the factors that influence the chemistry of the surface and the further interactions as well and also provide a solid basis for further studies aiming at elaborating sensitive and specific immunosensor or a microarray for the detection of E. coli O157:H7.

  16. Textured surface boron-doped ZnO transparent conductive oxides on polyethylene terephthalate substrates for Si-based thin film solar cells

    International Nuclear Information System (INIS)

    Chen Xinliang; Lin Quan; Ni Jian; Zhang Dekun; Sun Jian; Zhao Ying; Geng Xinhua

    2011-01-01

    Textured surface boron-doped zinc oxide (ZnO:B) thin films were directly grown via low pressure metal organic chemical vapor deposition (LP-MOCVD) on polyethylene terephthalate (PET) flexible substrates at low temperatures and high-efficiency flexible polymer silicon (Si) based thin film solar cells were obtained. High purity diethylzinc and water vapors were used as source materials, and diborane was used as an n-type dopant gas. P-i-n silicon layers were fabricated at ∼ 398 K by plasma enhanced chemical vapor deposition. These textured surface ZnO:B thin films on PET substrates (PET/ZnO:B) exhibit rough pyramid-like morphology with high transparencies (T ∼ 80%) and excellent electrical properties (Rs ∼ 10 Ω at d ∼ 1500 nm). Finally, the PET/ZnO:B thin films were applied in flexible p-i-n type silicon thin film solar cells (device structure: PET/ZnO:B/p-i-n a-Si:H/Al) with a high conversion efficiency of 6.32% (short-circuit current density J SC = 10.62 mA/cm 2 , open-circuit voltage V OC = 0.93 V and fill factor = 64%).

  17. Passivating electron contact based on highly crystalline nanostructured silicon oxide layers for silicon solar cells

    Czech Academy of Sciences Publication Activity Database

    Stuckelberger, J.; Nogay, G.; Wyss, P.; Jeangros, Q.; Allebe, Ch.; Debrot, F.; Niquille, X.; Ledinský, Martin; Fejfar, Antonín; Despeisse, M.; Haug, F.J.; Löper, P.; Ballif, C.

    2016-01-01

    Roč. 158, Dec (2016), s. 2-10 ISSN 0927-0248 R&D Projects: GA MŠk LM2015087 Institutional support: RVO:68378271 Keywords : surface passivation * passivating contact * nanostructure * silicon oxide * nanocrystalline * microcrystalline * poly-silicon * crystallization * Raman * transmission line measurement Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 4.784, year: 2016

  18. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    OpenAIRE

    Geissbühler Jonas; Werner Jérémie; Martin de Nicolas Silvia; Barraud Loris; Hessler-Wyser Aïcha; Despeisse Matthieu; Nicolay Sylvain; Tomasi Andrea; Niesen Bjoern; De Wolf Stefaan; Ballif Christophe

    2015-01-01

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p type amorphous silicon with molybdenum oxide films. In this article we evidence that annealing above 130?°C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited c...

  19. 18O isotopic tracer studies of silicon oxidation in dry oxygen

    International Nuclear Information System (INIS)

    Han, C.J.

    1986-01-01

    Oxidation of silicon in dry oxygen has been an important process in the integrated circuit industry for making gate insulators on metal-oxide-semiconductory (MOS) devices. This work examines this process using isotopic tracers of oxygen to determine the transport mechanisms of oxygen through silicon dioxide. Oxides were grown sequentially using mass-16 and mass-18 oxygen gas sources to label the oxygen molecules from each step. The resulting oxides are analyzed using secondary ion mass spectrometry (SIMS). The results of these analyses suggest two oxidant species are present during the oxidation, each diffuses and oxidizes separately during the process. A model from this finding using a sum of two linear-parabolic growth rates, each representing the growth rate from one of the oxidants, describes the reported oxidation kinetics in the literature closely. A fit of this relationship reveals excellent fits to the data for oxide thicknesses ranging from 30 A to 1 μm and for temperatures ranging from 800 to 1200 0 C. The mass-18 oxygen tracers also enable a direct observation of the oxygen solubility in the silicon dioxide during a dry oxidation process. The SIMS profiles establish a maximum solubility for interstitial oxygen at 1000 0 C at 2 x 10 20 cm -3 . Furthermore, the mass-18 oxygen profiles show negligible network diffusion during an 1000 0 C oxidation

  20. Study Trapped Charge Distribution in P-Channel Silicon-Oxide-Nitride-Oxide-Silicon Memory Device Using Dynamic Programming Scheme

    Science.gov (United States)

    Li, Fu-Hai; Chiu, Yung-Yueh; Lee, Yen-Hui; Chang, Ru-Wei; Yang, Bo-Jun; Sun, Wein-Town; Lee, Eric; Kuo, Chao-Wei; Shirota, Riichiro

    2013-04-01

    In this study, we precisely investigate the charge distribution in SiN layer by dynamic programming of channel hot hole induced hot electron injection (CHHIHE) in p-channel silicon-oxide-nitride-oxide-silicon (SONOS) memory device. In the dynamic programming scheme, gate voltage is increased as a staircase with fixed step amplitude, which can prohibits the injection of holes in SiN layer. Three-dimensional device simulation is calibrated and is compared with the measured programming characteristics. It is found, for the first time, that the hot electron injection point quickly traverses from drain to source side synchronizing to the expansion of charged area in SiN layer. As a result, the injected charges quickly spread over on the almost whole channel area uniformly during a short programming period, which will afford large tolerance against lateral trapped charge diffusion by baking.

  1. Human aortic endothelial cell morphology influenced by topography of porous silicon substrates.

    Science.gov (United States)

    Formentín, Pilar; Catalán, Úrsula; Fernández-Castillejo, Sara; Alba, Maria; Baranowska, Malgorzata; Solà, Rosa; Pallarès, Josep; Marsal, Lluís F

    2015-10-01

    Porous silicon has received much attention because of its optical properties and for its usefulness in cell-based biosensing, drug delivery, and tissue engineering applications. Surface properties of the biomaterial are associated with cell adhesion and with proliferation, migration, and differentiation. The present article analyzes the behavior of human aortic endothelial cells in macro- and nanoporous collagen-modified porous silicon samples. On both substrates, cells are well adhered and numerous. Confocal microscopy and scanning electron microscopy were employed to study the effects of porosity on the morphology of the cells. On macroporous silicon, filopodia is not observed but the cell spreads on the surface, increasing the lamellipodia surface which penetrates the macropore. On nanoporous silicon, multiple filopodia were found to branch out from the cell body. These results demonstrate that the pore size plays a key role in controlling the morphology and growth rate of human aortic endothelial cells, and that these forms of silicon can be used to control cell development in tissue engineering as well as in basic cell biology research. © The Author(s) 2015.

  2. Low-temperature growth of well-aligned zinc oxide nanorod arrays on silicon substrate and their photocatalytic application

    Directory of Open Access Journals (Sweden)

    Azam A

    2014-04-01

    Full Text Available Ameer Azam,1 Saeed Salem Babkair21Center of Nanotechnology, King Abdulaziz University, Jeddah, Saudi Arabia; 2Center of Nanotechnology, Department of Physics, Faculty of Science, King Abdulaziz University, Jeddah, Saudi ArabiaAbstract: Well-aligned and single-crystalline zinc oxide (ZnO nanorod arrays were grown on silicon (Si substrate using a wet chemical route for the photodegradation of organic dyes. Structural analysis using X-ray diffraction, high-resolution transmission electron microscopy, and selected area electron diffraction confirmed the formation of ZnO nanorods grown preferentially oriented in the (001 direction and with a single phase nature with a wurtzite structure. Field emission scanning electron microscopy and transmission electron microscopy micrographs showed that the length and diameter of the well-aligned rods were about ~350–400 nm and ~80–90 nm, respectively. Raman scattering spectra of ZnO nanorod arrays revealed the characteristic E2 (high mode that is related to the vibration of oxygen atoms in the wurtzite ZnO. The photodegradation of methylene blue (MB using ZnO nanorod arrays was performed under ultraviolet light irradiation. The results of photodegradation showed that ZnO nanorod arrays were capable of degrading ~80% of MB within 60 minutes of irradiation, whereas ~92% of degradation was achieved in 120 minutes. Complete degradation of MB was observed after 270 minutes of irradiation time. Owing to enhanced photocatalytic degradation efficiency and low-temperature growth method, prepared ZnO nanorod arrays may open up the possibility for the successful utilization of ZnO nanorod arrays as a future photocatalyst for environmental remediation.Keywords: ZnO, nanorods, XRD, photodegradation

  3. Effect of porous silicon layer on the performance of Si/oxide photovoltaic and photoelectrochemical cells

    International Nuclear Information System (INIS)

    Badawy, Waheed A.

    2008-01-01

    Photovoltaic and photoelectrochemical systems were prepared by the formation of a thin porous film on silicon. The porous silicon layer was formed on the top of a clean oxide free silicon wafer surface by anodic etching in HF/H 2 O/C 2 H 5 OH mixture (2:1:1). The silicon was then covered by an oxide film (tin oxide, ITO or titanium oxide). The oxide films were prepared by the spray/pyrolysis technique which enables doping of the oxide film by different atoms like In, Ru or Sb during the spray process. Doping of SnO 2 or TiO 2 films with Ru atoms improves the surface characteristics of the oxide film which improves the solar conversion efficiency. The prepared solar cells are stable against environmental attack due to the presence of the stable oxide film. It gives relatively high short circuit currents (I sc ), due to the presence of the porous silicon layer, which leads to the recorded high conversion efficiency. Although the open-circuit potential (V oc ) and fill factor (FF) were not affected by the thickness of the porous silicon film, the short circuit current was found to be sensitive to this thickness. An optimum thickness of the porous film and also the oxide layer is required to optimize the solar cell efficiency. The results represent a promising system for the application of porous silicon layers in solar energy converters. The use of porous silicon instead of silicon single crystals in solar cell fabrication and the optimization of the solar conversion efficiency will lead to the reduction of the cost as an important factor and also the increase of the solar cell efficiency making use of the large area of the porous structures

  4. Structural investigation of ZnO:Al films deposited on the Si substrates by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chen, Y.Y.; Yang, J.R.; Cheng, S.L.; Shiojiri, M.

    2013-01-01

    ZnO:Al films 400 nm thick were prepared on (100) Si substrates by magnetron sputtering. Energy dispersive X-ray spectroscopy and transmission electron microscopy (TEM) revealed that in the initial stage of the deposition, an amorphous silicon oxide layer about 4 nm thick formed from damage to the Si substrate due to sputtered particle bombardment and the incorporation of Si atoms with oxygen. Subsequently, a crystalline Si (Zn) layer about 30 nm thick grew on the silicon oxide layer by co-deposition of Si atoms sputtered away from the substrate with Zn atoms from the target. Finally, a ZnO:Al film with columnar grains was deposited on the Si (Zn) layer. The sputtered particle bombardment greatly influenced the structure of the object films. The (0001) lattice fringes of the ZnO:Al film were observed in high-resolution TEM images, and the forbidden 0001 reflection spots in electron diffraction patterns were attributed to double diffraction. Therefore, the appearance of the forbidden reflection did not imply any ordering of Al atoms and/or O vacancies in the ZnO:Al film. - Highlights: • ZnO:Al films were deposited on (100) Si substrate using magnetron sputtering. • An amorphous silicon oxide layer with a thickness of 4 nm was formed on Si substrate. • Crystalline Si (Zn) layer about 30 nm thick grew on amorphous silicon oxide layer. • ZnO:Al film comprising columnar grains was deposited on the Si(Zn) layer. • Lattice image of the ZnO:Al film has been interpreted

  5. Development of Doped Microcrystalline Silicon Oxide and its Application to Thin‑Film Silicon Solar Cells

    NARCIS (Netherlands)

    Lambertz, A.

    2015-01-01

    The aim of the present study is the development of doped microcrystalline silicon oxide (µc‑SiOx:H) alloys and its application in thin‑film silicon solar cells. The doped µc‑SiOx:H material was prepared from carbon dioxide (CO2), silane (SiH4), hydrogen (H2) gas mixtures using plasma enhanced

  6. Growth of tin oxide thin films composed of nanoparticles on hydrophilic and hydrophobic glass substrates by spray pyrolysis technique

    Energy Technology Data Exchange (ETDEWEB)

    Paloly, Abdul Rasheed; Satheesh, M. [Nano Functional Materials Lab, Department of Physics, Cochin University of Science and Technology, Kochi 682022, Kerala (India); Martínez-Tomás, M. Carmen; Muñoz-Sanjosé, Vicente [Departamento de Física Aplicada y Electromagnetismo, Universitat de Valencia, c/Dr Moliner 50, Burjassot, Valencia 46100 (Spain); Rajappan Achary, Sreekumar [Nano Functional Materials Lab, Department of Physics, Cochin University of Science and Technology, Kochi 682022, Kerala (India); Bushiri, M. Junaid, E-mail: junaidbushiri@gmail.com [Nano Functional Materials Lab, Department of Physics, Cochin University of Science and Technology, Kochi 682022, Kerala (India)

    2015-12-01

    Highlights: • SnO{sub 2} thin films were grown on hydrophilic and hydrophobic glass substrates. • Samples on hydrophobic substrates are having comparatively larger lattice volume. • Films on hydrophobic substrates have larger particles and low density distribution. • Substrate dependent photoluminescence emission is observed and studied. • SnO{sub 2} thin films grown over hydrophobic substrates may find potential applications. - Abstract: In this paper, we have demonstrated the growth of tin oxide (SnO{sub 2}) thin films composed of nanoparticles on hydrophobic (siliconized) and hydrophilic (non-siliconized) glass substrates by using the spray pyrolysis technique. X-ray diffraction (XRD) analysis confirmed the formation of SnO{sub 2} thin films with tetragonal rutile-phase structure. Average particle size of nanoparticles was determined to be in the range of 3–4 nm measured from the front view images obtained by a field emission gun scanning electron microscope (FESEM), while the size of nanoparticle clusters, when present, were in the range of 11–20 nm. Surface morphology of SnO{sub 2} films grown over hydrophobic substrates revealed larger isolated particles which are less crowded compared to the highly crowded and agglomerated smaller particles in films on hydrophilic substrates. Blue shift in the band gap is observed in samples in which the average particle size is slightly larger than the exciton Bohr radius. Photoluminescence (PL) analysis of samples grown over hydrophobic substrates exhibited an intense defect level emission and a weak near band edge emission. The enhanced visible emission from these SnO{sub 2} thin films is attributed to lattice defects formed during the film growth due to the mismatch between the film and the hydrophobic substrate surface.

  7. ZnO buffer layer for metal films on silicon substrates

    Science.gov (United States)

    Ihlefeld, Jon

    2014-09-16

    Dramatic improvements in metallization integrity and electroceramic thin film performance can be achieved by the use of the ZnO buffer layer to minimize interfacial energy between metallization and adhesion layers. In particular, the invention provides a substrate metallization method utilizing a ZnO adhesion layer that has a high work of adhesion, which in turn enables processing under thermal budgets typically reserved for more exotic ceramic, single-crystal, or metal foil substrates. Embodiments of the present invention can be used in a broad range of applications beyond ferroelectric capacitors, including microelectromechanical systems, micro-printed heaters and sensors, and electrochemical energy storage, where integrity of metallized silicon to high temperatures is necessary.

  8. A parametric study of laser induced ablation-oxidation on porous silicon surfaces

    International Nuclear Information System (INIS)

    De Stefano, Luca; Rea, Ilaria; Nigro, M Arcangela; Della Corte, Francesco G; Rendina, Ivo

    2008-01-01

    We have investigated the laser induced ablation-oxidation process on porous silicon layers having different porosities and thicknesses by non-destructive optical techniques. In particular, the interaction between a low power blue light laser and the porous silicon surfaces has been characterized by variable angle spectroscopic ellipsometry and Fourier transform infrared spectroscopy. The oxidation profiles etched on the porous samples can be tuned as functions of the layer porosity and laser fluence. Oxide stripes of width less than 2 μm and with thicknesses between 100 nm and 5 μm have been produced, depending on the porosity of the porous silicon, by using a 40 x focusing objective

  9. The effect of substrate microstructure on high temperature oxidation of Zr alloy

    International Nuclear Information System (INIS)

    Li, H.; Lin, J.; Szpunar, J.

    2005-01-01

    'Full text:' Specimens with various substrate microstructures of Zr-2.5Nb, Zircaloy 4 and pure Zr have been oxidized at 500C in air. Oxidation kinetics is measured and the microstructures of both oxide and substrate are analyzed. The difference in oxidation kinetics among various specimens is significant. This difference is explained by the distribution of oxide grain size, grain shape and grain boundary, which are controlled by substrate grain size and β phase distribution. The previously proposed model of Zr oxidation is used to predict oxidation kinetics and oxide microstructure from substrate microstructure. Computer simulation based on the model is performed and simulation results are compared with the experimental results. (author)

  10. Indium oxide/n-silicon heterojunction solar cells

    Science.gov (United States)

    Feng, Tom; Ghosh, Amal K.

    1982-12-28

    A high photo-conversion efficiency indium oxide/n-silicon heterojunction solar cell is spray deposited from a solution containing indium trichloride. The solar cell exhibits an Air Mass One solar conversion efficiency in excess of about 10%.

  11. Synthesis of silicon nanocomposite for printable photovoltaic devices on flexible substrate

    Science.gov (United States)

    Odo, E. A.; Faremi, A. A.

    2017-06-01

    Renewed interest has been established in the preparation of silicon nanoparticles for electronic device applications. In this work, we report on the production of silicon powders using a simple ball mill and of silicon nanocomposite ink for screen-printable photovoltaic device on a flexible substrate. Bulk single crystalline silicon was milled for 25 h in the ball mill. The structural properties of the produced silicon nanoparticles were investigated using X-ray diffraction (XRD) and transmission electron microscopy. The results show that the particles remained highly crystalline, though transformed from their original single crystalline state to polycrystalline. The elemental composition using energy dispersive X-ray florescence spectroscopy (EDXRF) revealed that contamination from iron (Fe) and chromium (Cr) of the milling media and oxygen from the atmosphere were insignificant. The size distribution of the nanoparticles follows a lognormal pattern that ranges from 60 nm to about 1.2 μm and a mean particle size of about 103 nm. Electrical characterization of screen-printed PN structures of the nanocomposite formed by embedding the powder into a suitable water-soluble polymer on Kapton sheet reveals an enhanced photocurrent transport resulting from photo-induced carrier generation in the depletion region with energy greater that the Schottky barrier height at the metal-composite interface.

  12. Oxidation of mullite-zirconia-alumina-silicon carbide composites

    International Nuclear Information System (INIS)

    Baudin, C.; Moya, J.S.

    1990-01-01

    This paper reports the isothermal oxidation of mullite-alumina-zirconia-silicon carbide composites obtained by reaction sintering studied in the temperature interval 800 degrees to 1400 degrees C. The kinetics of the oxidation process was related to the viscosity of the surface glassy layer as well as to the crystallization of the surface film. The oxidation kinetics was halted to T ≤ 1300 degrees C, presumably because of crystallization

  13. Fluorescence studies of Rhodamine 6G functionalized silicon oxide nanostructures

    International Nuclear Information System (INIS)

    Baumgaertel, Thomas; Borczyskowski, Christian von; Graaf, Harald

    2010-01-01

    Selective anchoring of optically active molecules on nanostructured surfaces is a promising step towards the creation of nanoscale devices with new functionalities. Recently we have demonstrated the electrostatic attachment of charged fluorescent molecules on silicon oxide nanostructures prepared by atomic force microscopy (AFM) nanolithography via local anodic oxidation (LAO) of dodecyl-terminated silicon. In this paper we report on our findings from a more detailed optical investigation of the bound dye Rhodamine 6G. High sensitivity optical wide field microscopy as well as confocal laser microscopy have been used to characterize the Rhodamine fluorescence emission. A highly interesting question concerns the interaction between an emitter close to a silicon surface because mechanisms such as energy transfer and fluorescence quenching will occur which are still not fully understood. Since the oxide thickness can be varied during preparation continuously from 1 to ∼ 5 nm, it is possible to investigate the fluorescence of the bound dye in close proximity to the underlying silicon. Using confocal laser microscopy we were also able to obtain optical spectra from the bound molecules. Together with the results from an analysis of their photochemical bleaching behaviour, we conjecture that some of the Rhodamine 6G molecules on the structure are interacting with the oxide, causing a spectral shift and differences in their photochemical properties.

  14. Graphene oxide-Ag nanoparticles-pyramidal silicon hybrid system for homogeneous, long-term stable and sensitive SERS activity

    Science.gov (United States)

    Guo, Jia; Xu, Shicai; Liu, Xiaoyun; Li, Zhe; Hu, Litao; Li, Zhen; Chen, Peixi; Ma, Yong; Jiang, Shouzhen; Ning, Tingyin

    2017-02-01

    In our work, few layers graphene oxide (GO) were directly synthesized on Ag nanoparticles (AgNPs) by spin-coating method to fabricate a GO-AgNPs hybrid structure on a pyramidal silicon (PSi) substrate for surface-enhanced Raman scattering (SERS). The GO-AgNPs-PSi substrate showed excellent Raman enhancement effect, the minimum detected concentration for Rhodamine 6G (R6G) can reach 10-12 M, which is one order of magnitude lower than the AgNPs-PSi substrate and two order of magnitude lower than the GO-AgNPs-flat-Si substrate. The linear fit calibration curve with error bars is presented and the value of R2 of 612 and 773 cm-1 can reach 0.986 and 0.980, respectively. The excellent linear response between the Raman intensity and R6G concentrations prove that the prepared GO-AgNPs-PSi substrates can serve as good SERS substrate for molecule detection. The maximum deviations of SERS intensities from 20 positions of the GO-AgNPs-PSi substrate are less than 8%, revealing the high homogeneity of the SERS substrate. The excellent homogeneity of the enhanced Raman signals can be attributed to well-separated pyramid arrays of PSi, the uniform morphology of AgNPs and multi-functions of GO layer. Besides, the uniform GO film can effectively protect AgNPs from oxidation and endow the hybrid system a good stability and long lifetime. This GO-AgNPs-PSi substrate may provide a new way toward practical applications for the ultrasensitive and label-free SERS detection in areas of medicine, food safety and biotechnology.

  15. Strong and reversible modulation of carbon nanotube-silicon heterojunction solar cells by an interfacial oxide layer.

    Science.gov (United States)

    Jia, Yi; Cao, Anyuan; Kang, Feiyu; Li, Peixu; Gui, Xuchun; Zhang, Luhui; Shi, Enzheng; Wei, Jinquan; Wang, Kunlin; Zhu, Hongwei; Wu, Dehai

    2012-06-21

    Deposition of nanostructures such as carbon nanotubes on Si wafers to make heterojunction structures is a promising route toward high efficiency solar cells with reduced cost. Here, we show a significant enhancement in the cell characteristics and power conversion efficiency by growing a silicon oxide layer at the interface between the nanotube film and Si substrate. The cell efficiency increases steadily from 0.5% without interfacial oxide to 8.8% with an optimal oxide thickness of about 1 nm. This systematic study reveals that formation of an oxide layer switches charge transport from thermionic emission to a mixture of thermionic emission and tunneling and improves overall diode properties, which are critical factors for tailoring the cell behavior. By controlled formation and removal of interfacial oxide, we demonstrate oscillation of the cell parameters between two extreme states, where the cell efficiency can be reversibly altered by a factor of 500. Our results suggest that the oxide layer plays an important role in Si-based photovoltaics, and it might be utilized to tune the cell performance in various nanostructure-Si heterojunction structures.

  16. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    Science.gov (United States)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  17. Light emitting structures porous silicon-silicon substrate

    International Nuclear Information System (INIS)

    Monastyrskii, L.S.; Olenych, I.B.; Panasjuk, M.R.; Savchyn, V.P.

    1999-01-01

    The research of spectroscopic properties of porous silicon has been done. Complex of photoluminescence, electroluminescence, cathodoluminescence, thermostimulated depolarisation current analyte methods have been applied to study of geterostructures and free layers of porous silicon. Light emitting processes had tendency to decrease. The character of decay for all kinds of luminescence were different

  18. RBS and XRD analysis of silicon doped titanium diboride films

    International Nuclear Information System (INIS)

    Mollica, S.; Sood, D.K.; Ghantasala, M.K.; Kothari, R.

    1999-01-01

    Titanium diboride is a newly developed material suitable for protective coatings. Its high temperature oxidation resistance at temperatures of 700 deg C and beyond is limited due to its poor oxidative behaviour. This paper presents a novel approach to improving the coatings' oxidative characteristics at temperatures of 700 deg C by doping with silicon. Titanium diboride films were deposited onto Si(100) wafer substrates using a DC magnetron sputtering system. Films were deposited in two different compositions, one at pure TiB 2 and the other with 20 % Si doping. These samples were vacuum annealed at 700 deg C at 1x10 -6 Torr to investigate the anaerobic behaviour of the material at elevated temperatures and to ensure that they were crystalline. Samples were then oxidised in air at 700 deg C to investigate their oxidation resistance. Annealing the films at 700 deg C in air results in the oxidation of the film as titanium and boron form TiO 2 and B 2 O 3 . Annealing is seen to produce only minor changes in the films. There is some silicon diffusion from the substrate at elevated temperatures, which is related to the porous nature of the deposited film and the high temperature heat treatments. However, silicon doped films showed relatively less oxidation characteristics after annealing in air compared with the pure TiB 2 samples

  19. Influence of post-annealing on the electrical properties of metal/oxide/silicon nitride/oxide/silicon capacitors for flash memories

    International Nuclear Information System (INIS)

    Kim, Hee Dong; An, Ho-Myoung; Kim, Kyoung Chan; Seo, Yu Jeong; Kim, Tae Geun

    2008-01-01

    We report the effect of post-annealing on the electrical properties of metal/oxide/silicon nitride/oxide/silicon (MONOS) capacitors. Four samples, namely as-deposited and annealed at 750, 850 and 950 °C for 30 s in nitrogen ambient by a rapid thermal process, were prepared and characterized for comparison. The best performance with the largest memory window of 4.4 V and the fastest program speed of 10 ms was observed for the sample annealed at 850 °C. In addition, the highest traps density of 6.84 × 10 18 cm −3 was observed with ideal trap distributions for the same sample by capacitance–voltage (C–V) measurement. These results indicate that the memory traps in the ONO structure can be engineered by post-annealing to improve the electrical properties of the MONOS device

  20. Field oxide radiation damage measurements in silicon strip detectors

    Energy Technology Data Exchange (ETDEWEB)

    Laakso, M [Particle Detector Group, Fermilab, Batavia, IL (United States) Research Inst. for High Energy Physics (SEFT), Helsinki (Finland); Singh, P; Shepard, P F [Dept. of Physics and Astronomy, Univ. Pittsburgh, PA (United States)

    1993-04-01

    Surface radiation damage in planar processed silicon detectors is caused by radiation generated holes being trapped in the silicon dioxide layers on the detector wafer. We have studied charge trapping in thick (field) oxide layers on detector wafers by irradiating FOXFET biased strip detectors and MOS test capacitors. Special emphasis was put on studying how a negative bias voltage across the oxide during irradiation affects hole trapping. In addition to FOXFET biased detectors, negatively biased field oxide layers may exist on the n-side of double-sided strip detectors with field plate based n-strip separation. The results indicate that charge trapping occurred both close to the Si-SiO[sub 2] interface and in the bulk of the oxide. The charge trapped in the bulk was found to modify the electric field in the oxide in a way that leads to saturation in the amount of charge trapped in the bulk when the flatband/threshold voltage shift equals the voltage applied over the oxide during irradiation. After irradiation only charge trapped close to the interface is annealed by electrons tunneling to the oxide from the n-type bulk. (orig.).

  1. Electronic properties and morphology of copper oxide/n-type silicon heterostructures

    Science.gov (United States)

    Lindberg, P. F.; Gorantla, S. M.; Gunnæs, A. E.; Svensson, B. G.; Monakhov, E. V.

    2017-08-01

    Silicon-based tandem heterojunction solar cells utilizing cuprous oxide (Cu2O) as the top absorber layer show promise for high-efficiency conversion and low production cost. In the present study, single phase Cu2O films have been realized on n-type Si substrates by reactive magnetron sputtering at 400 °C. The obtained Cu2O/Si heterostructures have subsequently been heat treated at temperatures in the 400-700 °C range in Ar flow and extensively characterized by x-ray diffraction (XRD) measurements, transmission electron microscopy (TEM) imaging and electrical techniques. The Cu2O/Si heterojunction exhibits a current rectification of ~5 orders of magnitude between forward and reverse bias voltages. High resolution cross-sectional TEM-images show the presence of a ~2 nm thick interfacial SiO2 layer between Cu2O and the Si substrate. Heat treatments below 550 °C result in gradual improvement of crystallinity, indicated by XRD. At and above 550 °C, partial phase transition to cupric oxide (CuO) occurs followed by a complete transition at 700 °C. No increase or decrease of the SiO2 layer is observed after the heat treatment at 550 °C. Finally, a thin Cu-silicide layer (Cu3Si) emerges below the SiO2 layer upon annealing at 550 °C. This silicide layer influences the lateral current and voltage distributions, as evidenced by an increasing effective area of the heterojunction diodes.

  2. The effect of substrate texture and oxidation temperature on oxide texture development in zirconium alloys

    Energy Technology Data Exchange (ETDEWEB)

    Garner, A., E-mail: alistair.garner@manchester.ac.uk [Materials Performance Centre, University of Manchester, Grosvenor Street, Manchester, M17HS (United Kingdom); Frankel, P. [Materials Performance Centre, University of Manchester, Grosvenor Street, Manchester, M17HS (United Kingdom); Partezana, J. [Westinghouse Electric Company, 1332 Beulah Road, Pittsburgh, PA 15235 (United States); Preuss, M. [Materials Performance Centre, University of Manchester, Grosvenor Street, Manchester, M17HS (United Kingdom)

    2017-02-15

    During corrosion of zirconium alloys a highly textured oxide is formed, the degree of this preferred orientation has previously been shown to be an important factor in determining the corrosion behaviour of these alloys. Two distinct experiments were designed in order to investigate the origin of this oxide texture development on two commercial alloys. Firstly, sheet samples of Zircaloy-4 were oxidised between 500 and 800 °C in air. The resulting monoclinic oxide texture strength was observed to decrease with increasing oxidation temperature. In a second experiment, orthogonal faces of Low Tin ZIRLO{sub ™} were oxidised in 360 °C water, providing different substrate textures but identical microstructures. The substrate texture was observed to have a negligible effect on the corrosion performance whilst the major orientation of both oxide phases was found to be independent of substrate orientation. It is concluded that the main driving force for oxide texture development in single-phase zirconium alloys is the compressive stress caused by the Zr−ZrO{sub 2} transformation. - Highlights: • Substrate orientation does not significantly affect oxide texture development. • Corrosion performance is independent of substrate texture. • Monoclinic oxide texture strength decreases with increasing oxidation temperature. • The main driving force for texture development is the oxidation-induced stress.

  3. Plasmonic properties of gold nanoparticles on silicon substrates: Understanding Fano-like spectra observed in reflection

    Science.gov (United States)

    Bossard-Giannesini, Léo; Cruguel, Hervé; Lacaze, Emmanuelle; Pluchery, Olivier

    2016-09-01

    Gold nanoparticles (AuNPs) are known for their localized surface plasmon resonance (LSPR) that can be measured with UV-visible spectroscopy. AuNPs are often deposited on silicon substrates for various applications, and the LSPR is measured in reflection. In this case, optical spectra are measured by surface differential reflectance spectroscopy (SDRS) and the absorbance exhibits a negative peak. This article studies both experimentally and theoretically on the single layers of 16 nm diameter spherical gold nanoparticles (AuNPs) grafted on silicon. The morphology and surface density of AuNPs were investigated by atomic force microscopy (AFM). The plasmon response in transmission on the glass substrate and in reflection on the silicon substrate is described by an analytical model based on the Fresnel equations and the Maxwell-Garnett effective medium theory (FMG). The FMG model shows a strong dependence to the incidence angle of the light. At low incident angles, the peak appears negatively with a shallow intensity, and at angles above 30°, the usual positive shape of the plasmon is retrieved. The relevance of the FMG model is compared to the Mie theory within the dipolar approximation. We conclude that no Fano effect is responsible for this derivative shape. An easy-to-use formula is derived that agrees with our experimental data.

  4. Influence of calcium and silicon supplementation into Pleurotus ostreatus substrates on quality of fresh and canned mushrooms.

    Science.gov (United States)

    Thongsook, T; Kongbangkerd, T

    2011-08-01

    Supplements of gypsum (calcium source), pumice (silicon source) and pumice sulfate (silicon and calcium source) into substrates for oyster mushrooms (Pleurotus ostreatus) were searched for their effects on production as well as qualities of fresh and canned mushrooms. The addition of pumice up to 30% had no effect on total yield, size distribution and cap diameters. The supplementation of gypsum at 10% decreased the total yield; and although gypsum at 5% did not affect total yield, the treatment increased the proportion of large-sized caps. High content (>10%) of pumice sulfate resulted in the lower yield. Calcium and silicon contents in the fruit bodies were not influenced by supplementations. The centrifugal drip loss values and solid content of fresh mushrooms, and the percentage of weight gained and firmness of canned mushrooms, cultivated in substrates supplemented with gypsum, pumice and pumice sulfate were significantly (p≤0.05) higher than those of the control. Scanning electron micrographs revealed the more compacted hyphae of mushroom stalks supplemented with silicon and/or calcium after heat treatment, compared to the control. Supplementation of P. ostreatus substrates with 20% pumice was the most practical treatment because it showed no effect on yield and the most cost-effective.

  5. Networks of neuroblastoma cells on porous silicon substrates reveal a small world topology

    KAUST Repository

    Marinaro, Giovanni; La Rocca, Rosanna; Toma, Andrea; Barberio, Marianna; Cancedda, Laura; Di Fabrizio, Enzo M.; Decuzzi, Paolo C W; Gentile, Francesco T.

    2015-01-01

    The human brain is a tightly interweaving network of neural cells where the complexity of the network is given by the large number of its constituents and its architecture. The topological structure of neurons in the brain translates into its increased computational capabilities, low energy consumption, and nondeterministic functions, which differentiate human behavior from artificial computational schemes. In this manuscript, we fabricated porous silicon chips with a small pore size ranging from 8 to 75 nm and large fractal dimensions up to Df ∼ 2.8. In culturing neuroblastoma N2A cells on the described substrates, we found that those cells adhere more firmly to and proliferate on the porous surfaces compared to the conventional nominally flat silicon substrates, which were used as controls. More importantly, we observed that N2A cells on the porous substrates create highly clustered, small world topology patterns. We conjecture that neurons with a similar architecture may elaborate information more efficiently than in random or regular grids. Moreover, we hypothesize that systems of neurons on nano-scale geometry evolve in time to form networks in which the propagation of information is maximized. This journal is

  6. Porous silicon carbide (SIC) semiconductor device

    Science.gov (United States)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1996-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  7. Physical and electrical characterization of corundum substrates and epitaxial silicon layers in view of fabricating integrated circuits

    International Nuclear Information System (INIS)

    Trilhe, J.; Legal, H.; Rolland, G.

    1975-01-01

    The S.O.S. technology (silicon on insulating substrate) allows compact, radiation hard, fast integrated circuits to be fabricated. It is noticeable that complex integrated circuits on corundum substrates obtained with various fabrication processes have various electrical characteristics. Possible correlations between the macroscopic defects of the substrate and the electrical characteristics of the circuit were investigated [fr

  8. Substrate bias effect on crystallinity of polycrystalline silicon thin films prepared by pulsed ion-beam evaporation method

    Energy Technology Data Exchange (ETDEWEB)

    Ali, Fazlat; Gunji, Michiharu; Yang, Sung-Chae; Suzuki, Tsuneo; Suematsu, Hisayuki; Jiang, Weihua; Yatsui, Kiyoshi [Nagaoka Univ. of Technology, Extreme Energy-Density Research Inst., Nagaoka, Niigata (Japan)

    2002-06-01

    The deposition of polycrystalline silicon thin films has been tried by a pulsed ion-beam evaporation method, where high crystallinity and deposition rate have been achieved without heating the substrate. The crystallinity and the deposition rate were improved by applying bias voltage to the substrate, where instantaneous substrate heating might have occurred by ion-bombardment. (author)

  9. Substrate bias effect on crystallinity of polycrystalline silicon thin films prepared by pulsed ion-beam evaporation method

    International Nuclear Information System (INIS)

    Ali, Fazlat; Gunji, Michiharu; Yang, Sung-Chae; Suzuki, Tsuneo; Suematsu, Hisayuki; Jiang, Weihua; Yatsui, Kiyoshi

    2002-01-01

    The deposition of polycrystalline silicon thin films has been tried by a pulsed ion-beam evaporation method, where high crystallinity and deposition rate have been achieved without heating the substrate. The crystallinity and the deposition rate were improved by applying bias voltage to the substrate, where instantaneous substrate heating might have occurred by ion-bombardment. (author)

  10. High-performance SERS substrate based on hybrid structure of graphene oxide/AgNPs/Cu film@pyramid Si

    Science.gov (United States)

    Li, Zhe; Xu, Shi Cai; Zhang, Chao; Liu, Xiao Yun; Gao, Sai Sai; Hu, Li Tao; Guo, Jia; Ma, Yong; Jiang, Shou Zhen; Si, Hai Peng

    2016-12-01

    We present a novel surface-enhanced Raman scattering (SERS) substrate based on graphene oxide/silver nanoparticles/copper film covered silicon pyramid arrays (GO/AgNPs/PCu@Si) by a low-cost and simple method. The GO/AgNPs/PCu@Si substrate presents high sensitivity, good homogeneity and well stability with R6G molecules as a probe. The detected concentration of Rhodamine 6 G (R6G) is as low as 10-15 M. These sensitive SERS behaviors are also confirmed in theory via a commercial COMSOL software, the electric field enhancement is not only formed between the AgNPs, but also formed between the AgNPs and Cu film. And the GO/AgNPs/PCu@Si substrates also present good property on practical application for the detection of methylene blue (MB) and crystal violet (CV). This work may offer a novel and practical method to facilitate the SERS applications in areas of medicine, food safety and biotechnology.

  11. Study of the processes of carbonization and oxidation of porous silicon by Raman and IR spectroscopy

    International Nuclear Information System (INIS)

    Vasin, A. V.; Okholin, P. N.; Verovsky, I. N.; Nazarov, A. N.; Lysenko, V. S.; Kholostov, K. I.; Bondarenko, V. P.; Ishikawa, Y.

    2011-01-01

    Porous silicon layers were produced by electrochemical etching of single-crystal silicon wafers with the resistivity 10 Ω cm in the aqueous-alcohol solution of hydrofluoric acid. Raman spectroscopy and infrared absorption spectroscopy are used to study the processes of interaction of porous silicon with undiluted acetylene at low temperatures and the processes of oxidation of carbonized porous silicon by water vapors. It is established that, even at the temperature 550°C, the silicon-carbon bonds are formed at the pore surface and the graphite-like carbon condensate emerges. It is shown that the carbon condensate inhibits oxidation of porous silicon by water vapors and contributes to quenching of white photoluminescence in the oxidized carbonized porous silicon nanocomposite layer.

  12. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    Science.gov (United States)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  13. Characterization of Transition Metal Oxide/Silicon Heterojunctions for Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Luis G. Gerling

    2015-10-01

    Full Text Available During the last decade, transition metal oxides have been actively investigated as hole- and electron-selective materials in organic electronics due to their low-cost processing. In this study, four transition metal oxides (V2O5, MoO3, WO3, and ReO3 with high work functions (>5 eV were thermally evaporated as front p-type contacts in planar n-type crystalline silicon heterojunction solar cells. The concentration of oxygen vacancies in MoO3−x was found to be dependent on film thickness and redox conditions, as determined by X-ray Photoelectron Spectroscopy. Transfer length method measurements of oxide films deposited on glass yielded high sheet resistances (~109 Ω/sq, although lower values (~104 Ω/sq were measured for oxides deposited on silicon, indicating the presence of an inversion (hole rich layer. Of the four oxide/silicon solar cells, ReO3 was found to be unstable upon air exposure, while V2O5 achieved the highest open-circuit voltage (593 mV and conversion efficiency (12.7%, followed by MoO3 (581 mV, 12.6% and WO3 (570 mV, 11.8%. A short-circuit current gain of ~0.5 mA/cm2 was obtained when compared to a reference amorphous silicon contact, as expected from a wider energy bandgap. Overall, these results support the viability of a simplified solar cell design, processed at low temperature and without dopants.

  14. Gold nanoparticle growth control - Implementing novel wet chemistry method on silicon substrate

    KAUST Repository

    Al-Ameer, Ammar

    2013-04-01

    Controlling particle size, shape, nucleation, and self-assembly on surfaces are some of the main challenges facing electronic device fabrication. In this work, growth of gold nanoparticles over a wide range of sizes was investigated by using a novel wet chemical method, where potassium iodide is used as the reducing solution and gold chloride as the metal precursor, on silicon substrates. Four parameters were studied: soaking time, solution temperature, concentration of the solution of gold chloride, and surface pre-treatment of the substrate. Synthesized nanoparticles were then characterized using scanning electron microscopy (SEM). The precise control of the location and order of the grown gold overlayer was achieved by using focused ion beam (FIB) patterning of a silicon surface, pre-treated with potassium iodide. By varying the soaking time and temperature, different particle sizes and shapes were obtained. Flat geometrical shapes and spherical shapes were observed. We believe, that the method described in this work is potentially a straightforward and efficient way to fabricate gold contacts for microelectronics. © 2013 IEEE.

  15. Real-time observations of interface formation for barium strontium titanate films on silicon

    Science.gov (United States)

    Mueller, A. H.; Suvorova, N. A.; Irene, E. A.; Auciello, O.; Schultz, J. A.

    2002-05-01

    Ba.5Sr.5TiO3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Å film with intermediate static dielectric constant (K˜12) and refractive index (n˜2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST.

  16. Real-time observations of interface formation for barium strontium titanate films on silicon

    International Nuclear Information System (INIS)

    Mueller, A.H.; Suvorova, N.A.; Irene, E.A.; Auciello, O.; Schultz, J.A.

    2002-01-01

    Ba .5 Sr .5 TiO 3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Aa film with intermediate static dielectric constant (K∼12) and refractive index (n∼2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST

  17. Analysis of signals propagating in a phononic crystal PZT layer deposited on a silicon substrate.

    Science.gov (United States)

    Hladky-Hennion, Anne-Christine; Vasseur, Jérôme; Dubus, Bertrand; Morvan, Bruno; Wilkie-Chancellier, Nicolas; Martinez, Loïc

    2013-12-01

    The design of a stop-band filter constituted by a periodically patterned lead zirconate titanate (PZT) layer, polarized along its thickness, deposited on a silicon substrate and sandwiched between interdigitated electrodes for emission/reception of guided elastic waves, is investigated. The filter characteristics are theoretically evaluated by using finite element simulations: dispersion curves of a patterned PZT layer with a specific pattern geometry deposited on a silicon substrate present an absolute stop band. The whole structure is modeled with realistic conditions, including appropriate interdigitated electrodes to propagate a guided mode in the piezoelectric layer. A robust method for signal analysis based on the Gabor transform is applied to treat transmitted signals; extract attenuation, group delays, and wave number variations versus frequency; and identify stop-band filter characteristics.

  18. Efficient Flame Detection and Early Warning Sensors on Combustible Materials Using Hierarchical Graphene Oxide/Silicone Coatings.

    Science.gov (United States)

    Wu, Qian; Gong, Li-Xiu; Li, Yang; Cao, Cheng-Fei; Tang, Long-Cheng; Wu, Lianbin; Zhao, Li; Zhang, Guo-Dong; Li, Shi-Neng; Gao, Jiefeng; Li, Yongjin; Mai, Yiu-Wing

    2018-01-23

    Design and development of smart sensors for rapid flame detection in postcombustion and early fire warning in precombustion situations are critically needed to improve the fire safety of combustible materials in many applications. Herein, we describe the fabrication of hierarchical coatings created by assembling a multilayered graphene oxide (GO)/silicone structure onto different combustible substrate materials. The resulting coatings exhibit distinct temperature-responsive electrical resistance change as efficient early warning sensors for detecting abnormal high environmental temperature, thus enabling fire prevention below the ignition temperature of combustible materials. After encountering a flame attack, we demonstrate extremely rapid flame detection response in 2-3 s and excellent flame self-extinguishing retardancy for the multilayered GO/silicone structure that can be synergistically transformed to a multiscale graphene/nanosilica protection layer. The hierarchical coatings developed are promising for fire prevention and protection applications in various critical fire risk and related perilous circumstances.

  19. Designing high performance precursors for atomic layer deposition of silicon oxide

    Energy Technology Data Exchange (ETDEWEB)

    Mallikarjunan, Anupama, E-mail: mallika@airproducts.com; Chandra, Haripin; Xiao, Manchao; Lei, Xinjian; Pearlstein, Ronald M.; Bowen, Heather R.; O' Neill, Mark L. [Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011 (United States); Derecskei-Kovacs, Agnes [Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, Pennsylvania 18195 (United States); Han, Bing [Air Products and Chemicals, Inc., 2 Dongsanhuan North Road, Chaoyang District, Beijing 100027 (China)

    2015-01-15

    Conformal and continuous silicon oxide films produced by atomic layer deposition (ALD) are enabling novel processing schemes and integrated device structures. The increasing drive toward lower temperature processing requires new precursors with even higher reactivity. The aminosilane family of precursors has advantages due to their reactive nature and relative ease of use. In this paper, the authors present the experimental results that reveal the uniqueness of the monoaminosilane structure [(R{sub 2}N)SiH{sub 3}] in providing ultralow temperature silicon oxide depositions. Disubstituted aminosilanes with primary amines such as in bis(t-butylamino)silane and with secondary amines such as in bis(diethylamino)silane were compared with a representative monoaminosilane: di-sec-butylaminosilane (DSBAS). DSBAS showed the highest growth per cycle in both thermal and plasma enhanced ALD. These findings show the importance of the arrangement of the precursor's organic groups in an ALD silicon oxide process.

  20. Catalytic growth of carbon nanowires on composite diamond/silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sellam, Amine [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Miska, Patrice [Université de Lorraine, Institut Jean Lamour, Département P2M (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Ghanbaja, Jaafar [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Barrat, Silvère, E-mail: Silvere.Barrat@ijl.nancy-universite.fr [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France)

    2014-01-01

    Polycrystalline diamond (PCD) films and carbon nanowires (CNWs) provide individually highly attractive properties for science and technology applications. The possibility of carbon composite materials made from a combination of these materials remains a potential approach widely discussed in literature but modestly investigated. We report in this work an early attempt to explore this opportunity in the light of some specific experimental considerations. Carbon nanowires (CNWs) are grown at low temperature without the conventional use of external hydrocarbon vapor source on silicon substrates partially covered by a thin film of coalesced micrometric CVD diamond. Composite substrates constituted by PCD on silicon were first cleaned with H{sub 2} plasma then used for the PVD deposition of 5 nm Ni thin films. Then, samples were heat treated in a CVD reactor at 580 °C in the presence of pure H{sub 2} pressure of 60 hPa at different annealing times. Comparative effect of annealing time on the dewetting of Ni thin films and the subsequent CNWs growth process was considered in this work using systematic observations by SEM. Possible mechanisms underlying CNWs growth in pure H{sub 2} gas were proposed. The nature and structure of these CNWs have been investigated by TEM microscopy and by Raman spectroscopy on the sample showing the highest CNWs density.

  1. AZO-Ag-AZO transparent electrode for amorphous silicon solar cells

    International Nuclear Information System (INIS)

    Theuring, Martin; Vehse, Martin; Maydell, Karsten von; Agert, Carsten

    2014-01-01

    Metal-based transparent electrodes can be fabricated at low temperatures, which is crucial for various substrate materials and solar cells. In this work, an oxide-metal-oxide (OMO) transparent electrode based on aluminum zinc oxide (AZO) and silver is compared to AZO layers, fabricated at different temperatures and indium tin oxides. With the OMO structure, a sheet resistance of 7.1/square and a transparency above 80% for almost the entire visible spectrum were achieved. The possible application of such electrodes on a textured solar cell was demonstrated on the example of a rough ZnO substrate. An OMO structure is benchmarked in a n-i-p amorphous silicon solar cell against an AZO front contact fabricated at 200 °C. In the experiment, the OMO electrode shows a superior performance with an efficiency gain of 30%. - Highlights: • Multilayer transparent electrode based on aluminum zinc oxide (AZO) and Ag • Comparison of AZO-Ag-AZO transparent electrode to AZO and indium tin oxide • Performance of AZO-Ag-AZO transparent electrodes on textured surfaces • Comparison of amorphous silicon solar cells with different transparent electrodes

  2. Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon

    Science.gov (United States)

    Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca

    2018-06-01

    Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.

  3. Dewetting and deposition of thin films with insoluble surfactants from curved silicone hydrogel substrates

    NARCIS (Netherlands)

    Bhamla, M.S.; Balemans, C.; Fuller, G.G.

    2015-01-01

    We investigate the stabilizing effect of insoluble surfactant monolayers on thin aqueous films. We first describe an experimental platform that enables the formation of aqueous films laden with dipalmitoylphosphatidylcholine (DPPC) monolayers on curved silicone hydrogel (SiHy) substrates. We show

  4. High aspect ratio silicon nanomoulds for UV embossing fabricated by directional thermal oxidation using an oxidation mask

    International Nuclear Information System (INIS)

    Chen, L Q; Chan-Park, Mary B; Yan, Y H; Zhang Qing; Li, C M; Zhang Jun

    2007-01-01

    Nanomoulding is simple and economical but moulds with nanoscale features are usually prohibitively expensive to fabricate because nanolithographic techniques are mostly serial and time-consuming for large-area patterning. This paper describes a novel, simple and inexpensive parallel technique for fabricating nanoscale pattern moulds by silicon etching followed by thermal oxidation. The mask pattern can be made by direct photolithography or photolithography followed by metal overetching for submicron- and nanoscale features, respectively. To successfully make nanoscale channels having a post-oxidation cross-sectional shape similar to that of the original channel, an oxidation mask to promote unidirectional (specifically horizontal) oxide growth is found to be essential. A silicon nitride or metal mask layer prevents vertical oxidation of the Si directly beneath it. Without this mask, rectangular channels become smaller but are V-shaped after oxidation. By controlling the silicon etch depth and oxidation time, moulds with high aspect ratio channels having widths ranging from 500 to 50 nm and smaller can be obtained. The nanomould, when passivated with a Teflon-like layer, can be used for first-generation replication using ultraviolet (UV) nanoembossing and second-generation replication in other materials, such as polydimethylsiloxane (PDMS). The PDMS stamp, which was subsequently coated with Au, was used for transfer printing of Au electrodes with a 600 nm gap which will find applications in plastics nanoelectronics

  5. Realization of dual-heterojunction solar cells on ultra-thin ∼25 μm, flexible silicon substrates

    KAUST Repository

    Onyegam, Emmanuel U.; Sarkar, Dabraj; Hilali, Mohamed M.; Saha, Sayan; Mathew, Leo; Rao, Rajesh A.; Smith, Ryan S.; Xu, Dewei; Jawarani, Dharmesh; Garcia, Ricardo; Ainom, Moses; Banerjee, Sanjay K.

    2014-01-01

    Silicon heterojunction (HJ) solar cells with different rear passivation and contact designs were fabricated on ∼ 25 μ m semiconductor-on-metal (SOM) exfoliated substrates. It was found that the performance of these cells is limited by recombination at the rear-surface. Employing the dual-HJ architecture resulted in the improvement of open-circuit voltage (Voc) from 605 mV (single-HJ) to 645 mV with no front side intrinsic amorphous silicon (i-layer) passivation. Addition of un-optimized front side i-layer passivation resulted in further enhancement in Voc to 662 mV. Pathways to achieving further improvement in the performance of HJ solar cells on ultra-thin SOM substrates are discussed. © 2014 AIP Publishing LLC.

  6. Realization of dual-heterojunction solar cells on ultra-thin ∼25 μm, flexible silicon substrates

    KAUST Repository

    Onyegam, Emmanuel U.

    2014-04-14

    Silicon heterojunction (HJ) solar cells with different rear passivation and contact designs were fabricated on ∼ 25 μ m semiconductor-on-metal (SOM) exfoliated substrates. It was found that the performance of these cells is limited by recombination at the rear-surface. Employing the dual-HJ architecture resulted in the improvement of open-circuit voltage (Voc) from 605 mV (single-HJ) to 645 mV with no front side intrinsic amorphous silicon (i-layer) passivation. Addition of un-optimized front side i-layer passivation resulted in further enhancement in Voc to 662 mV. Pathways to achieving further improvement in the performance of HJ solar cells on ultra-thin SOM substrates are discussed. © 2014 AIP Publishing LLC.

  7. Investigation of graphene based miniaturized terahertz antenna for novel substrate materials

    Directory of Open Access Journals (Sweden)

    Rajni Bala

    2016-03-01

    Full Text Available The selection of appropriate substrate material acts as a performance regulator for miniaturized graphene patch antenna. The substrate material not only controls the transport properties of graphene but also influences the resonant properties of the graphene patch antenna. The edge fed microstrip line graphene based rectangular patch antenna is designed here for operating in the frequency range 2.67–2.92 THz for wireless applications. The performance is investigated for silicon nitride, aluminum oxide, boron nitride, silica and quartz substrate materials on the basis of return loss, voltage standing wave ratio (VSWR, absorption cross section, bandwidth and radiation efficiency. The comparison of results shows that silicon nitride exhibits overall excellent performance by the virtue of having higher bandwidth and radiation efficiency as compared to other chosen substrate materials.

  8. The performance of Y2O3 as interface layer between La2O3 and p-type silicon substrate

    Directory of Open Access Journals (Sweden)

    Shulong Wang

    2016-11-01

    Full Text Available In this study, the performance of Y2O3 as interface layer between La2O3 and p-type silicon substrate is studied with the help of atomic layer deposition (ALD and magnetron sputtering technology. The surface morphology of the bilayer films with different structures are observed after rapid thermal annealing (RTA by atomic force microscopy (AFM. The results show that Y2O3/Al2O3/Si structure has a larger number of small spikes on the surface and its surface roughness is worse than Al2O3/Y2O3/Si structure. The reason is that the density of Si substrate surface is much higher than that of ALD growth Al2O3. With the help of high-frequency capacitance-voltage(C-V measurement and conductivity method, the density of interface traps can be calculated. After a high temperature annealing, the metal silicate will generate at the substrate interface and result in silicon dangling bond and interface trap charge, which has been improved by X-ray photoelectron spectroscopy (XPS and interface trap charge density calculation. The interface trapped charge density of La2O3/Al2O3/Si stacked gate structure is lower than that of La2O3/Y2O3/Si gate structure. If Y2O3 is used to replace Al2O3 as the interfacial layer, the accumulation capacitance will increase obviously, which means lower equivalent oxide thickness (EOT. Our results show that interface layer Y2O3 grown by magnetron sputtering can effectively ensure the interface traps near the substrate at relative small level while maintain a relative higher dielectric constant than Al2O3.

  9. Optical characterization of directly deposited graphene on a dielectric substrate

    DEFF Research Database (Denmark)

    Kaplas, Tommi; Karvonen, Lasse; Ahmadi, Sepehr

    2016-01-01

    By using scanning multiphoton microscopy we compare the nonlinear optical properties of the directly deposited and transferred to the dielectric substrate graphene. The direct deposition of graphene on oxidized silicon wafer was done by utilizing sacrificial copper catalyst film. We demonstrate...

  10. The role of oxide interlayers in back reflector configurations for amorphous silicon solar cells

    NARCIS (Netherlands)

    Demontis, V.; Sanna, C.; Melskens, J.; Santbergen, R.; Smets, A.H.M.; Damiano, A.; Zeman, M.

    2013-01-01

    Thin oxide interlayers are commonly added to the back reflector of thin-film silicon solar cells to increase their current. To gain more insight in the enhancement mechanism, we tested different back reflector designs consisting of aluminium-doped zinc oxide (ZnO:Al) and/or hydrogenated silicon

  11. Surface texture of single-crystal silicon oxidized under a thin V{sub 2}O{sub 5} layer

    Energy Technology Data Exchange (ETDEWEB)

    Nikitin, S. E., E-mail: nikitin@mail.ioffe.ru; Verbitskiy, V. N.; Nashchekin, A. V.; Trapeznikova, I. N.; Bobyl, A. V.; Terukova, E. E. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The process of surface texturing of single-crystal silicon oxidized under a V{sub 2}O{sub 5} layer is studied. Intense silicon oxidation at the Si–V{sub 2}O{sub 5} interface begins at a temperature of 903 K which is 200 K below than upon silicon thermal oxidation in an oxygen atmosphere. A silicon dioxide layer 30–50 nm thick with SiO{sub 2} inclusions in silicon depth up to 400 nm is formed at the V{sub 2}O{sub 5}–Si interface. The diffusion coefficient of atomic oxygen through the silicon-dioxide layer at 903 K is determined (D ≥ 2 × 10{sup –15} cm{sup 2} s{sup –1}). A model of low-temperature silicon oxidation, based on atomic oxygen diffusion from V{sub 2}O{sub 5} through the SiO{sub 2} layer to silicon, and SiO{sub x} precipitate formation in silicon is proposed. After removing the V{sub 2}O{sub 5} and silicon-dioxide layers, texture is formed on the silicon surface, which intensely scatters light in the wavelength range of 300–550 nm and is important in the texturing of the front and rear surfaces of solar cells.

  12. Nanopatterning of Crystalline Silicon Using Anodized Aluminum Oxide Templates for Photovoltaics

    Science.gov (United States)

    Chao, Tsu-An

    A novel thin film anodized aluminum oxide templating process was developed and applied to make nanopatterns on crystalline silicon to enhance the optical properties of silicon. The thin film anodized aluminum oxide was created to improve the conventional thick aluminum templating method with the aim for potential large scale fabrication. A unique two-step anodizing method was introduced to create high quality nanopatterns and it was demonstrated that this process is superior over the original one-step approach. Optical characterization of the nanopatterned silicon showed up to 10% reduction in reflection in the short wavelength range. Scanning electron microscopy was also used to analyze the nanopatterned surface structure and it was found that interpore spacing and pore density can be tuned by changing the anodizing potential.

  13. Microcrystalline silicon growth by low laser energy crystallization on a plastic substrate

    International Nuclear Information System (INIS)

    Kim, D. Y.; Seo, C. K.; Shim, M. S.; Kim, C. H.; Yi, J.

    2004-01-01

    We are reporting the crystallization of amorphous silicon (a-Si) using a XeCl excimer laser treatment. Although polycarbonate (PC) plastic substrates are very weak at high temperatures of more than 150 .deg. C, they are very useful for applications to microelectronics because of light weight, high transmittance, and flexibility. In order to crystallize a-Si films on plastic substrates, we suggest that a CeO 2 seed layer will be very helpful at a low laser energy density. The seed layer is deposited at room temperature by rf using magnetron sputtering. A seed layer deposition method will be also presented in detail in this article. We compare a-Si crytallization without a seed layer with one with a seed layer deposited between the a-Si and the plastic substrate. The a-Si was deposited on the plastic substrate by using inductively coupled plasma Chemical-Vapor Deposition (ICPCVD) at the room temperature. In this paper, we will present the crystallization properties of a-Si with and without a CeO 2 seed layer on the plastic substrate.

  14. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  15. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  16. Single-crystal-like GdNdOx thin films on silicon substrates by magnetron sputtering and high-temperature annealing for crystal seed layer application

    Directory of Open Access Journals (Sweden)

    Ziwei Wang

    2016-06-01

    Full Text Available Single-crystal-like rare earth oxide thin films on silicon (Si substrates were fabricated by magnetron sputtering and high-temperature annealing processes. A 30-nm-thick high-quality GdNdOx (GNO film was deposited using a high-temperature sputtering process at 500°C. A Gd2O3 and Nd2O3 mixture was used as the sputtering target, in which the proportions of Gd2O3 and Nd2O3 were controlled to make the GNO’s lattice parameter match that of the Si substrate. To further improve the quality of the GNO film, a post-deposition annealing process was performed at a temperature of 1000°C. The GNO films exhibited a strong preferred orientation on the Si substrate. In addition, an Al/GNO/Si capacitor was fabricated to evaluate the dielectric constant and leakage current of the GNO films. It was determined that the single-crystal-like GNO films on the Si substrates have potential for use as an insulator layer for semiconductor-on-insulator and semiconductor/insulator multilayer applications.

  17. Narrow-linewidth lasers on a silicon chip

    NARCIS (Netherlands)

    Bernhardi, Edward; Pollnau, Markus; Di Bartolo, Baldassare; Collins, John; Silvestri, Luciano

    2015-01-01

    Diode-pumped distributed-feedback (DFB) channel waveguide lasers were demonstrated in Er3+-doped and Yb3+-doped Al2O3 on standard thermally ox-idized silicon substrates. Uniform surface-relief Bragg gratings were patterned by laser-interference lithography and etched into the SiO2 top cladding. The

  18. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates.

    Science.gov (United States)

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Koo, Yong-Seo; Kim, Sangsig

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p+ drain and n+ channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  19. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates

    International Nuclear Information System (INIS)

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Kim, Sangsig; Koo, Yong-Seo

    2009-01-01

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p + drain and n + channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  20. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Kim, Sangsig [Department of Electrical Engineering and Institute for Nano Science, Korea University, 5-1, Anam-Dong, Seongbuk-Gu, Seoul 136-701 (Korea, Republic of); Koo, Yong-Seo, E-mail: sangsig@korea.ac.k [Department of Electrical Engineering, Seokyeong University, 16-1, Jungneung-dong, Seongbuk-gu, Seoul 136-704 (Korea, Republic of)

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p{sup +} drain and n{sup +} channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  1. Direct Electroplating on Highly Doped Patterned Silicon Wafers

    NARCIS (Netherlands)

    Vargas Llona, Laura Dolores; Jansen, Henricus V.; Elwenspoek, Michael Curt

    Nickel thin films have been electrodeposited directly on highly doped silicon wafers after removal of the native oxide layer. These substrates conduct sufficiently well to allow deposition using a periferical electrical contact on the wafer. Films 2 μm thick were deposited using a nickel sulfamate

  2. The reactive element effect of yttrium and yttrium silicon on high temperature oxidation of NiCrAl coating

    Science.gov (United States)

    Ramandhany, S.; Sugiarti, E.; Desiati, R. D.; Martides, E.; Junianto, E.; Prawara, B.; Sukarto, A.; Tjahjono, A.

    2018-03-01

    The microstructure formed on the bond coat affects the oxidation resistance, particularly the formation of a protective oxide layer. The adhesion of bond coat and TGO increased significantly by addition of reactive element. In the present work, the effect of yttrium and yttrium silicon as reactive element (RE) on NiCrAl coating was investigated. The NiCrAl (without RE) and NiCrAlX (X:Y or YSi) bond coating were deposited on Hastelloy C-276 substrate by High Velocity Oxygen Fuel (HVOF) method. Isothermal oxidation was carried out at 1000 °C for 100 hours. The results showed that the addition of RE could prevent the breakaway oxidation. Therefore, the coating with reactive element were more protective against high temperature oxidation. Furthermore, the oxidation rate of NiCrAlY coating was lower than NiCrAlYSi coating with the total mass change was ±2.394 mg/cm2 after 100 hours of oxidation. The thickness of oxide scale was approximately 1.18 μm consisting of duplex oxide scale of spinel NiCr2O4 in outer scale and protective α-Al2O3 in inner scale.

  3. Formation of oxide-trapped charges in 6H-SiC MOS structures

    Energy Technology Data Exchange (ETDEWEB)

    Yoshikawa, Masahito; Ohshima, Takeshi; Itoh, Hisayoshi; Nashiyama, Isamu [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment; Okumura, Hajime; Yoshida, Sadafumi

    1997-03-01

    The silicon and the carbon faces of hexagonal silicon carbide (6H-SiC) substrates were oxidized pyrogenically at 1100degC, and the metal-oxide-semiconductor structures were formed on these faces. The MOS capacitors developed using the silicon and the carbon faces were irradiated with {sup 60}Co gamma-rays under argon atmosphere at room temperature. The bias voltages with the different polarity were applied to the gate electrode during irradiation to examine the formation mechanisms of the trapped charges in the oxides of these MOS capacitors. The amount of the trapped charges in the oxide were obtained from capacitance pulse voltage characteristics. The generation of the trapped charges are affects with not only the absorbed dose but also the bias polarity applied to the gate electrodes during irradiation. The formation mechanisms of the trapped charges in the oxides were estimated in conjunction with the surface orientation of 6H-SiC substrates. (author)

  4. a-Si:H crystallization from isothermal annealing and its dependence on the substrate used

    Energy Technology Data Exchange (ETDEWEB)

    Rojas-Lopez, M., E-mail: marlonrl@yahoo.com.mx [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Orduna-Diaz, A.; Delgado-Macuil, R.; Gayou, V.L.; Bibbins-Martinez, M. [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Torres-Jacome, A.; Trevino-Palacios, C.G. [INAOE, Tonantzintla, Puebla, Pue. 72000 (Mexico)

    2010-10-25

    We present hydrogenated amorphous silicon (a-Si:H) films which were deposited on two different substrates (glass and mono-crystalline silicon) after an isothermal annealing treatment at 250 deg. C for up to 14 h. The annealed amorphous films were analyzed using atomic force microscopy, Raman and FTIR spectroscopy. Films deposited on glass substrate experienced an amorphous-crystalline phase transition after annealing because of the metal-induced crystallization effect, reaching approximately 70% conversion after 14 h of annealing. An absorption frequency of the TO-phonon mode that varies systematically with the substoichiometry of the silicon oxide in the 1046-1170 cm{sup -1} region was observed, revealing the reactivity of the film with the annealing time. For similar annealing time, films deposited on mono-crystalline silicon substrate remained mainly amorphous with minimal Si-crystalline formation. Therefore, the crystalline formations and the shape of the films surfaces depends on the annealing time as well as on the substrate employed during the deposition process of the a-Si:H film.

  5. Laser direct writing of oxide structures on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Müllenborn, Matthias; Birkelund, Karen; Grey, Francois

    1996-01-01

    on amorphous and crystalline silicon surfaces in order to determine the depassivation mechanism. The minimum linewidth achieved is about 450 nm using writing speeds of up to 100 mm/s. The process is fully compatible with local oxidation of silicon by scanning probe lithography. Wafer-scale patterns can...

  6. Dry aerosol jet printing of conductive silver lines on a heated silicon substrate

    Science.gov (United States)

    Efimov, A. A.; Arsenov, P. V.; Protas, N. V.; Minkov, K. N.; Urazov, M. N.; Ivanov, V. V.

    2018-02-01

    A new method for dry aerosol jet printing conductive lines on a heated substrate is presented. The method is based on the use of a spark discharge generator as a source of dry nanoparticles and a heating plate for their sintering. This method allows creating conductive silver lines on a heated silicon substrate up to 300 °C without an additional sintering step. It was found that for effective sintering lines of silver nanoparticles the temperature of the heated substrate should be about more than 200-250 °C. Average thickness of the sintered silver lines was equal to ∼20 µm. Printed lines showed electrical resistivity equal to 35 μΩ·cm, which is 23 times greater than the resistivity of bulk silver.

  7. The effect of oxidation on the efficiency and spectrum of photoluminescence of porous silicon

    International Nuclear Information System (INIS)

    Bulakh, B. M.; Korsunska, N. E.; Khomenkova, L. Yu.; Staraya, T. R.; Sheinkman, M. K.

    2006-01-01

    The photoluminescence spectra of porous silicon and their temperature dependences and transformations on aging are studied. It is shown that the infrared band prevailing in the spectra of as-prepared samples is due to exciton recombination in silicon crystallites. On aging, a well-pronounced additional band is observed at shorter wavelengths of the spectra. It is assumed that this band is due to the recombination of carriers that are excited in silicon crystallites and recombine via some centers located in oxide. It is shown that the broad band commonly observable in oxidized porous silicon is a superposition of the above two bands. The dependences of the peak positions and integrated intensities of the bands on time and temperature are studied. The data on the distribution of oxide centers with depth in the porous layer are obtained

  8. First-principles investigation of indium diffusion in a silicon substrate

    International Nuclear Information System (INIS)

    Yoon, Kwan-Sun; Hwang, Chi-Ok; Yoo, Jae-Hyun; Won, Tae-Young

    2006-01-01

    In this paper, we report the total energy, the minimum energy path, and the migration energy of indium in a silicon substrate by using ab-initio calculations. Stable configurations during indium diffusion were obtained from the calculation of the total energy, and we estimated the minimum energy path (MEP) with the nudged elastic band (NEB) method. After finding the MEP, we found the energy barrier for the diffusion of indium to be 0.8 eV from an exact calculation of the total energies at the minimum and the transition state.

  9. Thin-Film layers with Interfaces that reduce RF Losses on High-Resistivity Silicon Substrates

    NARCIS (Netherlands)

    Evseev, S. B.; Milosavljevic, S.; Nanver, L. K.

    2017-01-01

    Radio-Frequency (RF) losses on High-Resistivity Silicon (HRS) substrates were studied for several different surface passivation layers comprising thin-films of SiC, SiN and SiO2 In many combinations, losses from conductive surface channels were reduced and increasing the number of interfaces between

  10. Pulsed Laser Deposition of Zinc Sulfide Thin Films on Silicon: The influence of substrate orientation and preparation on thin film morphology and texture

    OpenAIRE

    Heimdal, Carl Philip J

    2014-01-01

    The effect of orientation and preparation of silicon substrates on the growth morphology and crystalline structure of ZnS thin films deposited by pulsed laser deposition (PLD) has been investigated through scanning electron microscopy (SEM) and grazing incidence x-ray diffraction (GIXRD). ZnS thin films were grown on silicon (100) and (111), on HF-treated and untreated silicon (100) as well as substrates coated with Al, Ge and Au. The ZnS films showed entirely different morphologies for ZnS f...

  11. Physical and electrical properties of thermal oxidized Sm{sub 2}O{sub 3} gate oxide thin film on Si substrate: Influence of oxidation durations

    Energy Technology Data Exchange (ETDEWEB)

    Goh, Kian Heng; Haseeb, A.S.M.A.; Wong, Yew Hoong, E-mail: yhwong@um.edu.my

    2016-05-01

    Growth of 150 nm Sm{sub 2}O{sub 3} films by sputtered pure samarium metal film on silicon substrates and followed by thermal oxidation process in oxygen ambient at 700 °C through various oxidation durations (5 min, 10 min, 15 min and 20 min) has been carried out. The crystallinity of Sm{sub 2}O{sub 3} film and existence of interfacial layer have been evaluated by X-ray diffraction, Fourier transform infrared and Raman analysis. Crystallite size and microstrain of Sm{sub 2}O{sub 3} were estimated by Williamson–Hall plot analysis. Calculated crystallite size of Sm{sub 2}O{sub 3} from Scherrer equation has similar trend with the value from Williamson–Hall plot. The presence of interfacial layer is supported by composition line scan by energy dispersive X-ray spectroscopy analysis. The surface roughness and surface topography of Sm{sub 2}O{sub 3} film were examined by atomic force microscopy analysis. The electrical characterization revealed that 15 min of oxidation durations with smoothest surface has highest breakdown voltage, lowest leakage current density and highest barrier height value. - Highlights: • Thermal oxidation of sputtered pure metallic Sm in oxygen ambient • Formation of polycrystalline Sm{sub 2}O{sub 3} and semi-polycrystalline interfacial layers • Optimization of oxidation duration of pure metallic Sm in oxygen ambient • Enhanced electrical performance with smooth surface and increased barrier height.

  12. High-temperature laser annealing for thin film polycrystalline silicon solar cell on glass substrate

    Science.gov (United States)

    Chowdhury, A.; Schneider, J.; Dore, J.; Mermet, F.; Slaoui, A.

    2012-06-01

    Thin film polycrystalline silicon films grown on glass substrate were irradiated with an infrared continuous wave laser for defects annealing and/or dopants activation. The samples were uniformly scanned using an attachment with the laser system. Substrate temperature, scan speed and laser power were varied to find suitable laser annealing conditions. The Raman spectroscopy and Suns- V oc analysis were carried out to qualify the films quality after laser annealing. A maximum enhancement of the open circuit voltage V oc of about 100 mV is obtained after laser annealing of as-grown polysilicon structures. A strong correlation was found between the full width half maximum of the Si crystalline peak and V oc. It is interpreted as due to defects annealing as well as to dopants activation in the absorbing silicon layer. The maximum V oc reached is 485 mV after laser treatment and plasma hydrogenation, thanks to defects passivation.

  13. Method of fabricating porous silicon carbide (SiC)

    Science.gov (United States)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1995-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  14. Microstructure and oxidative degradation behavior of silicon carbide fiber Hi-Nicalon type S

    International Nuclear Information System (INIS)

    Takeda, M.; Urano, A.; Sakamoto, J.; Imai, Y.

    1998-01-01

    Polycarbosilane-derived SiC fibers, Nicalon, Hi-Nicalon, and Hi-Nicalon type S were exposed for 1 to 100 h at 1273-1773 K in air. Oxide layer growth and tensile strength change of these fibers were examined after the oxidation test. As a result, three types of SiC fibers decreased their strength as oxide layer thickness increased. Fracture origins were determined at near the oxide layer-fiber interface. Adhered fibers arised from softening of silicon oxide at high temperature were also observed. In this study, Hi-Nicalon type S showed better oxidation resistance than other polycarbosilane-derived SiC fibers after 1673 K or higher temperature exposure in air for 10 h. This result was explained by the poreless silicon oxide layer structure of Hi-Nicalon type S. (orig.)

  15. Hydrogen distribution in oxynitride/oxide structures

    NARCIS (Netherlands)

    Oude Elferink, J.B.; Heide, U.A. van der; Arnold Bik, W.M.; Habraken, F.H.P.M.; Weg, W.F. van der

    1987-01-01

    Silicon oxynitride films with five different O/N ratios were deposited with low pressure chemical vapor deposition on a silicon substrate covered with an oxide. The films were subjected to subsequent post-deposition anneals in N2 and H2 at 1000°C, and a H plasma at 300°C to obtain information about

  16. Heterogenous integration of a thin-film GaAs photodetector and a microfluidic device on a silicon substrate

    International Nuclear Information System (INIS)

    Song, Fuchuan; Xiao, Jing; Udawala, Fidaali; Seo, Sang-Woo

    2011-01-01

    In this paper, heterogeneous integration of a III–V semiconductor thin-film photodetector (PD) with a microfluidic device is demonstrated on a SiO 2 –Si substrate. Thin-film format of optical devices provides an intimate integration of optical functions with microfluidic devices. As a demonstration of a multi-material and functional system, the biphasic flow structure in the polymeric microfluidic channels was co-integrated with a III–V semiconductor thin-film PD. The fluorescent drops formed in the microfluidic device are successfully detected with an integrated thin-film PD on a silicon substrate. The proposed three-dimensional integration structure is an alternative approach to combine optical functions with microfluidic functions on silicon-based electronic functions.

  17. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  18. Study of an Amorphous Silicon Oxide Buffer Layer for p-Type Microcrystalline Silicon Oxide/n-Type Crystalline Silicon Heterojunction Solar Cells and Their Temperature Dependence

    Directory of Open Access Journals (Sweden)

    Taweewat Krajangsang

    2014-01-01

    Full Text Available Intrinsic hydrogenated amorphous silicon oxide (i-a-SiO:H films were used as front and rear buffer layers in crystalline silicon heterojunction (c-Si-HJ solar cells. The surface passivity and effective lifetime of these i-a-SiO:H films on an n-type silicon wafer were improved by increasing the CO2/SiH4 ratios in the films. Using i-a-SiO:H as the front and rear buffer layers in c-Si-HJ solar cells was investigated. The front i-a-SiO:H buffer layer thickness and the CO2/SiH4 ratio influenced the open-circuit voltage (Voc, fill factor (FF, and temperature coefficient (TC of the c-Si-HJ solar cells. The highest total area efficiency obtained was 18.5% (Voc=700 mV, Jsc=33.5 mA/cm2, and FF=0.79. The TC normalized for this c-Si-HJ solar cell efficiency was −0.301%/°C.

  19. Integrated Circuit Interconnect Lines on Lossy Silicon Substrate with Finite Element Method

    OpenAIRE

    Sarhan M. Musa,; Matthew N. O. Sadiku

    2014-01-01

    The silicon substrate has a significant effect on the inductance parameter of a lossy interconnect line on integrated circuit. It is essential to take this into account in determining the transmission line electrical parameters. In this paper, a new quasi-TEM capacitance and inductance analysis of multiconductor multilayer interconnects is successfully demonstrated using finite element method (FEM). We specifically illustrate the electrostatic modeling of single and coupled in...

  20. Experimental study on surface wrinkling of silicon monoxide film on compliant substrate under thermally induced loads

    Science.gov (United States)

    Li, Chuanwei; Kong, Yingxiao; Jiang, Wenchong; Wang, Zhiyong; Li, Linan; Wang, Shibin

    2017-06-01

    The wrinkling of a silicon monoxide thin film on a compliant poly(dimethylsiloxane) (PDMS) substrate structure was experimentally investigated in this study. The self-expansion effect of PDMS during film deposition was utilized to impose a pretensile strain on the structure through a specially made fixture. A laser scanning confocal microscope (LSCM) system with an in situ heating stage was employed for the real-time measurement. The Young’s modulus of the silicon monoxide thin film as well as the PDMS substrate was measured on the basis of the elasticity theory. Moreover, the effects of temperature variations on geometric parameters in the postbuckling state, such as wavelength and amplitude, were analyzed. It was proved that wavelength is relatively immune to thermal loads, while amplitude is much more sensitive.

  1. Behavior of ion-implanted cesium in silicon dioxide films

    International Nuclear Information System (INIS)

    Fishbein, B.J.

    1988-01-01

    Charged impurities in silicon dioxide can be used to controllably shift the flatband voltage of metal-oxide-semiconductor devices independently of the substrate doping, the gate oxide thickness and the gate-electrode work function. Cesium is particularly well suited for this purpose because it is immobile in SiO 2 at normal device operating temperatures, and because it can be controllably introduced into oxide films by ion implantation. Cesium is positively charged in silicon dioxide, resulting in a negative flatband voltage shift. Possible applications for cesium technology include solar cells, devices operated at liquid nitrogen temperature, and power devices. The goal of this work has been to characterize as many aspects of cesium behavior in silicon dioxide as are required for practical applications. Accordingly, cesium-ion implantation, cesium diffusion, and cesium electrical activation in SiO 2 were studied over a broad range of processing conditions. The electrical properties of cesium-containing oxides, including current-voltage characteristics, interface trap density, and inversion-layer carrier mobility were examined, and several potential applications for cesium technology have been experimentally demonstrated

  2. Photoluminescence studies on porous silicon/polymer heterostructure

    International Nuclear Information System (INIS)

    Mishra, J.K.; Bhunia, S.; Banerjee, S.; Banerji, P.

    2008-01-01

    Hybrid devices formed by filling porous silicon with MEH-PPV or poly [2-methoxy-5(2-ethylhexyloxy-p-phenylenevinylene)] have been investigated in this work. Analyses of the structures by scanning electron microscopy (SEM) demonstrated that the porous silicon layer was filled by the polymer with no significant change of the structures except that the polymer was infiltrated in the pores. The photoluminescence (PL) of the structures at 300 K showed that the emission intensity was very high as compared with that of the MEH-PPV films on different substrates such as crystalline silicon (c-Si) and indium tin oxide (ITO). The PL peak in the MEH-PPV/porous silicon composite structure is found to be shifted towards higher energy in comparison with porous silicon PL. A number of possibilities are discussed to explain the observations

  3. Development of textured ZnO-coated low-cost glass substrate with very high haze ratio for silicon-based thin film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hongsingthong, Aswin, E-mail: aswin.hongsingthong@nectec.or.th [Solar Energy Technology Laboratory, National Electronics and Computer Technology Center, 112 Thailand Science Park, Phahonyothin Road, Khlong 1, Khlong Luang, Pathumthani 12120 (Thailand); Krajangsang, Taweewat; Limmanee, Amornrat; Sriprapha, Kobsak; Sritharathikhun, Jaran [Solar Energy Technology Laboratory, National Electronics and Computer Technology Center, 112 Thailand Science Park, Phahonyothin Road, Khlong 1, Khlong Luang, Pathumthani 12120 (Thailand); Konagai, Makoto [Department of Physical Electronics, Tokyo Institute of Technology, 2-12-1, NE-15, O-okayama, Meguro-ku, Tokyo 152-8552 (Japan)

    2013-06-30

    Zinc oxide (ZnO) films with a very high haze ratio and low resistivity were developed on soda–lime glass substrate by using reactive ion etching (RIE) treatment with carbon tetrafluoride (CF{sub 4}) to modify the substrate surface morphology before the deposition of ZnO films. We found that the surface morphology of the ZnO films deposited by metal organic chemical vapor deposition (MOCVD) technique could be modified by varying the glass treatment conditions and the gas pressure was a key parameter. With increasing glass-etching pressure, the surface morphology of the ZnO films changed from conventional pyramid-like single texture to greater cauliflower-like double texture, leading to significant increases in root mean square roughness and haze ratio of the films. By employing the developed high-haze ZnO films as a front transparent conductive oxide (TCO) layer in microcrystalline silicon solar cells, an enhancement in the quantum efficiency in the long-wavelength region has been achieved. Experimental results have verified that our unique and original glass etching treatment is a simple and effective technique to improve the light-scattering properties of the ZnO films while preserving their good transparency and electrical properties. Thus, the ZnO films deposited on etched soda–lime glass have a high potential for the use as a front TCO layer in thin-film Si solar cells. - Highlights: • High-haze zinc oxide (ZnO) grown on low cost soda–lime glass has been developed. • Surface of the ZnO can be modified by varying glass-substrate etching conditions. • Glass-etching pressure is a key to increase haze ratio of the ZnO films. • Higher cell efficiency has been achieved from cell using etched glass. • High-haze ZnO coated glass is a promising transparent conductive oxide coated glass.

  4. Development of textured ZnO-coated low-cost glass substrate with very high haze ratio for silicon-based thin film solar cells

    International Nuclear Information System (INIS)

    Hongsingthong, Aswin; Krajangsang, Taweewat; Limmanee, Amornrat; Sriprapha, Kobsak; Sritharathikhun, Jaran; Konagai, Makoto

    2013-01-01

    Zinc oxide (ZnO) films with a very high haze ratio and low resistivity were developed on soda–lime glass substrate by using reactive ion etching (RIE) treatment with carbon tetrafluoride (CF 4 ) to modify the substrate surface morphology before the deposition of ZnO films. We found that the surface morphology of the ZnO films deposited by metal organic chemical vapor deposition (MOCVD) technique could be modified by varying the glass treatment conditions and the gas pressure was a key parameter. With increasing glass-etching pressure, the surface morphology of the ZnO films changed from conventional pyramid-like single texture to greater cauliflower-like double texture, leading to significant increases in root mean square roughness and haze ratio of the films. By employing the developed high-haze ZnO films as a front transparent conductive oxide (TCO) layer in microcrystalline silicon solar cells, an enhancement in the quantum efficiency in the long-wavelength region has been achieved. Experimental results have verified that our unique and original glass etching treatment is a simple and effective technique to improve the light-scattering properties of the ZnO films while preserving their good transparency and electrical properties. Thus, the ZnO films deposited on etched soda–lime glass have a high potential for the use as a front TCO layer in thin-film Si solar cells. - Highlights: • High-haze zinc oxide (ZnO) grown on low cost soda–lime glass has been developed. • Surface of the ZnO can be modified by varying glass-substrate etching conditions. • Glass-etching pressure is a key to increase haze ratio of the ZnO films. • Higher cell efficiency has been achieved from cell using etched glass. • High-haze ZnO coated glass is a promising transparent conductive oxide coated glass

  5. Toward Annealing-Stable Molybdenum-Oxide-Based Hole-Selective Contacts For Silicon Photovoltaics

    KAUST Repository

    Essig, Stephanie; Dré on, Julie; Rucavado, Esteban; Mews, Mathias; Koida, Takashi; Boccard, Mathieu; Werner, Jé ré mie; Geissbü hler, Jonas; Lö per, Philipp; Morales-Masis, Monica; Korte, Lars; De Wolf, Stefaan; Balllif, Christophe

    2018-01-01

    Molybdenum oxide (MoOX) combines a high work function with broadband optical transparency. Sandwiched between a hydrogenated intrinsic amorphous silicon passivation layer and a transparent conductive oxide, this material allows a highly efficient

  6. Formation of silicon carbide by laser ablation in graphene oxide-N-methyl-2-pyrrolidone suspension on silicon surface

    Science.gov (United States)

    Jaleh, Babak; Ghasemi, Samaneh; Torkamany, Mohammad Javad; Salehzadeh, Sadegh; Maleki, Farahnaz

    2018-01-01

    Laser ablation of a silicon wafer in graphene oxide-N-methyl-2-pyrrolidone (GO-NMP) suspension was carried out with a pulsed Nd:YAG laser (pulse duration = 250 ns, wavelength = 1064 nm). The surface of silicon wafer before and after laser ablation was studied using optical microscopy, scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). The results showed that the ablation of silicon surface in liquid by pulsed laser was done by the process of melt expulsion under the influence of the confined plasma-induced pressure or shock wave trapped between the silicon wafer and the liquid. The X-ray diffraction‌ (XRD) pattern of Si wafer after laser ablation showed that 4H-SiC layer is formed on its surface. The formation of the above layer was also confirmed by Raman spectroscopy, and X-ray photoelectron spectroscopy‌ (XPS), as well as EDX was utilized. The reflectance of samples decreased with increasing pulse energy. Therefore, the morphological alteration and the formation of SiC layer at high energy increase absorption intensity in the UV‌-vis regions. Theoretical calculations confirm that the formation of silicon carbide from graphene oxide and silicon wafer is considerably endothermic. Development of new methods for increasing the reflectance without causing harmful effects is still an important issue for crystalline Si solar cells. By using the method described in this paper, the optical properties of solar cells can be improved.

  7. Density of oxidation-induced stacking faults in damaged silicon

    NARCIS (Netherlands)

    Kuper, F.G.; Hosson, J.Th.M. De; Verwey, J.F.

    1986-01-01

    A model for the relation between density and length of oxidation-induced stacking faults on damaged silicon surfaces is proposed, based on interactions of stacking faults with dislocations and neighboring stacking faults. The model agrees with experiments.

  8. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.; Rojas, Jhonathan Prieto; Ahmed, Sally; Hussain, Aftab M.; Inayat, Salman Bin; Hussain, Muhammad Mustafa

    2013-01-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  9. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.

    2013-06-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  10. Silicon on insulator self-aligned transistors

    Science.gov (United States)

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  11. Modulated surface textures for enhanced scattering in thin-film silicon solar cells

    NARCIS (Netherlands)

    Isabella, O.; Battaglia, C.; Ballif, C.; Zeman, M.

    2012-01-01

    Nano-scale randomly textured front transparent oxides are superposed on micro-scale etched glass substrates to form modulated surface textures. The resulting enhanced light scattering is implemented in single and double junction thin-film silicon solar cells.

  12. Movable MEMS Devices on Flexible Silicon

    KAUST Repository

    Ahmed, Sally

    2013-05-05

    Flexible electronics have gained great attention recently. Applications such as flexible displays, artificial skin and health monitoring devices are a few examples of this technology. Looking closely at the components of these devices, although MEMS actuators and sensors can play critical role to extend the application areas of flexible electronics, fabricating movable MEMS devices on flexible substrates is highly challenging. Therefore, this thesis reports a process for fabricating free standing and movable MEMS devices on flexible silicon substrates; MEMS flexure thermal actuators have been fabricated to illustrate the viability of the process. Flexure thermal actuators consist of two arms: a thin hot arm and a wide cold arm separated by a small air gap; the arms are anchored to the substrate from one end and connected to each other from the other end. The actuator design has been modified by adding etch holes in the anchors to suit the process of releasing a thin layer of silicon from the bulk silicon substrate. Selecting materials that are compatible with the release process was challenging. Moreover, difficulties were faced in the fabrication process development; for example, the structural layer of the devices was partially etched during silicon release although it was protected by aluminum oxide which is not attacked by the releasing gas . Furthermore, the thin arm of the thermal actuator was thinned during the fabrication process but optimizing the patterning and etching steps of the structural layer successfully solved this problem. Simulation was carried out to compare the performance of the original and the modified designs for the thermal actuators and to study stress and temperature distribution across a device. A fabricated thermal actuator with a 250 μm long hot arm and a 225 μm long cold arm separated by a 3 μm gap produced a deflection of 3 μm before silicon release, however, the fabrication process must be optimized to obtain fully functioning

  13. Oxidation Protection of Porous Reaction-Bonded Silicon Nitride

    Science.gov (United States)

    Fox, D. S.

    1994-01-01

    Oxidation kinetics of both as-fabricated and coated reaction-bonded silicon nitride (RBSN) were studied at 900 and 1000 C with thermogravimetry. Uncoated RBSN exhibited internal oxidation and parabolic kinetics. An amorphous Si-C-O coating provided the greatest degree of protection to oxygen, with a small linear weight loss observed. Linear weight gains were measured on samples with an amorphous Si-N-C coating. Chemically vapor deposited (CVD) Si3N4 coated RBSN exhibited parabolic kinetics, and the coating cracked severely. A continuous-SiC-fiber-reinforced RBSN composite was also coated with the Si-C-O material, but no substantial oxidation protection was observed.

  14. Mo-Si-B-Based Coatings for Ceramic Base Substrates

    Science.gov (United States)

    Perepezko, John Harry (Inventor); Sakidja, Ridwan (Inventor); Ritt, Patrick (Inventor)

    2015-01-01

    Alumina-containing coatings based on molybdenum (Mo), silicon (Si), and boron (B) ("MoSiB coatings") that form protective, oxidation-resistant scales on ceramic substrate at high temperatures are provided. The protective scales comprise an aluminoborosilicate glass, and may additionally contain molybdenum. Two-stage deposition methods for forming the coatings are also provided.

  15. Gas phase considerations for the deposition of thin film silicon solar cells by VHF-PECVD at low substrate temperatures

    NARCIS (Netherlands)

    Rath, J.K.; Verkerk, A.D.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2008-01-01

    Fabrication of thin film silicon solar cells on cheap plastics or paper-like substrate requires deposition process at very low substrate temperature, typically ≤ 100 °C. In a chemical vapor deposition process, low growth temperatures lead to materials with low density, high porosity, high disorder

  16. The effect of silicon crystallographic orientation on the formation of silicon nanoclusters during anodic electrochemical etching

    International Nuclear Information System (INIS)

    Timokhov, D. F.; Timokhov, F. P.

    2009-01-01

    Possible ways for increasing the photoluminescence quantum yield of porous silicon layers have been investigated. The effect of the anodization parameters on the photoluminescence properties for porous silicon layers formed on silicon substrates with different crystallographic orientations was studied. The average diameters for silicon nanoclusters are calculated from the photoluminescence spectra of porous silicon. The influence of the substrate crystallographic orientation on the photoluminescence quantum yield of porous silicon is revealed. A model explaining the effect of the substrate orientation on the photoluminescence properties for the porous silicon layers formed by anode electrochemical etching is proposed.

  17. Viscous properties of aluminum oxide nanotubes and aluminium oxide nanoparticles - silicone oil suspensions

    Science.gov (United States)

    Thapa, Ram; French, Steven; Delgado, Adrian; Ramos, Carlos; Gutierrez, Jose; Chipara, Mircea; Lozano, Karen

    2010-03-01

    Electrorheological (ER) fluids consisting of γ-aluminum oxide nanotubes and γ-aluminum oxide nanoparticles dispersed within silicone oil were prepared. The relationship between shear stress and shear rate was measured and theoretically simulated by using an extended Bingham model for both the rheological and electrorheological features of these systems. Shear stress and viscosity showed a sharp increase for the aluminum oxide nanotubes suspensions subjected to applied electric fields whereas aluminum oxide nanoparticles suspensions showed a moderate change. It was found that the transition from liquid to solid state (mediated by the applied electric field) can be described by a power law and that for low applied voltages the relationship is almost linear.

  18. Fabrication of double-dot single-electron transistor in silicon nanowire

    International Nuclear Information System (INIS)

    Jo, Mingyu; Kaizawa, Takuya; Arita, Masashi; Fujiwara, Akira; Ono, Yukinori; Inokawa, Hiroshi; Choi, Jung-Bum; Takahashi, Yasuo

    2010-01-01

    We propose a simple method for fabricating Si single-electron transistors (SET) with coupled dots by means of a pattern-dependent-oxidation (PADOX) method. The PADOX method is known to convert a small one-dimensional Si wire formed on a silicon-on-insulator (SOI) substrate into a SET automatically. We fabricated a double-dot Si SET when we oxidized specially designed Si nanowires formed on SOI substrates. We analyzed the measured electrical characteristics by fitting the measurement and simulation results and confirmed the double-dot formation and the position of the two dots in the Si wire.

  19. The potential for the fabrication of wires embedded in the crystalline silicon substrate using the solid phase segregation of gold in crystallising amorphous volumes

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    2004-01-01

    The refinement of gold in crystallising amorphous silicon volumes was tested as a means of creating a conducting element embedded in the crystalline matrix. Amorphous silicon volumes were created by self-ion-implantation through a mask. Five hundred kiloelectronvolt Au + was then implanted into the volumes. The amorphous volumes were crystallised on a hot stage in air, and the crystallisation was characterised using cross sectional transmission electron microscopy. It was found that the amorphous silicon volumes crystallised via solid phase epitaxy at all the lateral and vertical interfaces. The interplay of the effects of the gold and also the hydrogen that infilitrated from the surface oxide resulted in a plug of amorphous material at the surface. Further annealing at this temperature demonstrated that the gold, once it had reached a certain critical concentration nucleated poly-crystalline growth instead of solid phase epitaxy. Time resolved reflectivity and Rutherford backscattering and channeling measurements were performed on large area samples that had been subject to the same implantation regime to investigate this system further. It was discovered that the crystallisation dynamics and zone refinement of the gold were complicated functions of both gold concentration and temperature. These findings do not encourage the use of this method to obtain conducting elements embedded in the crystalline silicon substrate

  20. Hot-Electron Bolometer Mixers on Silicon-on-Insulator Substrates for Terahertz Frequencies

    Science.gov (United States)

    Skalare, Anders; Stern, Jeffrey; Bumble, Bruce; Maiwald, Frank

    2005-01-01

    A terahertz Hot-Electron Bolometer (HEB) mixer design using device substrates based on Silicon-On-Insulator (SOI) technology is described. This substrate technology allows very thin chips (6 pm) with almost arbitrary shape to be manufactured, so that they can be tightly fitted into a waveguide structure and operated at very high frequencies with only low risk for power leakages and resonance modes. The NbTiN-based bolometers are contacted by gold beam-leads, while other beamleads are used to hold the chip in place in the waveguide test fixture. The initial tests yielded an equivalent receiver noise temperature of 3460 K double-sideband at a local oscillator frequency of 1.462 THz and an intermediate frequency of 1.4 GHz.

  1. Optical characterization of nanocrystals in silicon rich oxide superlattices and porous silicon

    International Nuclear Information System (INIS)

    Agocs, E.; Petrik, P.; Milita, S.; Vanzetti, L.; Gardelis, S.; Nassiopoulou, A.G.; Pucker, G.; Balboni, R.; Fried, M.

    2011-01-01

    We propose to analyze ellipsometry data by using effective medium approximation (EMA) models. Thanks to EMA, having nanocrystalline reference dielectric functions and generalized critical point (GCP) model the physical parameters of two series of samples containing silicon nanocrystals, i.e. silicon rich oxide (SRO) superlattices and porous silicon layers (PSL), have been determined. The superlattices, consisting of ten SRO/SiO 2 layer pairs, have been prepared using plasma enhanced chemical vapor deposition. The porous silicon layers have been prepared using short monopulses of anodization current in the transition regime between porous silicon formation and electropolishing, in a mixture of hydrofluoric acid and ethanol. The optical modeling of both structures is similar. The effective dielectric function of the layer is calculated by EMA using nanocrystalline components (nc-Si and GCP) in a dielectric matrix (SRO) or voids (PSL). We discuss the two major problems occurring when modeling such structures: (1) the modeling of the vertically non-uniform layer structures (including the interface properties like nanoroughness at the layer boundaries) and (2) the parameterization of the dielectric function of nanocrystals. We used several techniques to reduce the large number of fit parameters of the GCP models. The obtained results are in good agreement with those obtained by X-ray diffraction and electron microscopy. We investigated the correlation of the broadening parameter and characteristic EMA components with the nanocrystal size and the sample preparation conditions, such as the annealing temperatures of the SRO superlattices and the anodization current density of the porous silicon samples. We found that the broadening parameter is a sensitive measure of the nanocrystallinity of the samples, even in cases, where the nanocrystals are too small to be visible for X-ray scattering. Major processes like sintering, phase separation, and intermixing have been

  2. Etched ion tracks in silicon oxide and silicon oxynitride as charge injection or extraction channels for novel electronic structures

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Hoppe, K.; Fahrner, W.R.; Papaleo, R.M.; Berdinsky, A.S.; Chandra, A.; Chemseddine, A.; Zrineh, A.; Biswas, A.; Faupel, F.; Chadderton, L.T.

    2004-01-01

    The impact of swift heavy ions onto silicon oxide and silicon oxynitride on silicon creates etchable tracks in these insulators. After their etching and filling-up with highly resistive matter, these nanometric pores can be used as charge extraction or injection paths towards the conducting channel in the underlying silicon. In this way, a novel family of electronic structures has been realized. The basic characteristics of these 'TEMPOS' (=tunable electronic material with pores in oxide on silicon) structures are summarized. Their functionality is determined by the type of insulator, the etch track diameters and lengths, their areal densities, the type of conducting matter embedded therein, and of course by the underlying semiconductor and the contact geometry. Depending on the TEMPOS preparation recipe and working point, the structures may resemble gatable resistors, condensors, diodes, transistors, photocells, or sensors, and they are therefore rather universally applicable in electronics. TEMPOS structures are often sensitive to temperature, light, humidity and organic gases. Also light-emitting TEMPOS structures have been produced. About 37 TEMPOS-based circuits such as thermosensors, photosensors, humidity and alcohol sensors, amplifiers, frequency multipliers, amplitude modulators, oscillators, flip-flops and many others have already been designed and successfully tested. Sometimes TEMPOS-based circuits are more compact than conventional electronics

  3. Oxidation-enhanced diffusion of boron in very low-energy N2+-implanted silicon

    Science.gov (United States)

    Skarlatos, D.; Tsamis, C.; Perego, M.; Fanciulli, M.

    2005-06-01

    In this article we study the interstitial injection during oxidation of very low-energy nitrogen-implanted silicon. Buried boron δ layers are used to monitor the interstitial supersaturation during the oxidation of nitrogen-implanted silicon. No difference in boron diffusivity enhancement was observed compared to dry oxidation of nonimplanted samples. This result is different from our experience from N2O oxynitridation study, during which a boron diffusivity enhancement of the order of 20% was observed, revealing the influence of interfacial nitrogen on interstitial kinetics. A possible explanation may be that implanted nitrogen acts as an excess interstitial sink in order to diffuse towards the surface via a non-Fickian mechanism. This work completes a wide study of oxidation of very low-energy nitrogen-implanted silicon related phenomena we performed within the last two years [D. Skarlatos, C. Tsamis, and D. Tsoukalas, J. Appl. Phys. 93, 1832 (2003); D. Skarlatos, E. Kapetanakis, P. Normand, C. Tsamis, M. Perego, S. Ferrari, M. Fanciulli, and D. Tsoukalas, J. Appl. Phys. 96, 300 (2004)].

  4. Sites of reactive oxygen species generation by mitochondria oxidizing different substrates

    Directory of Open Access Journals (Sweden)

    Casey L. Quinlan

    2013-01-01

    Full Text Available Mitochondrial radical production is important in redox signaling, aging and disease, but the relative contributions of different production sites are poorly understood. We analyzed the rates of superoxide/H2O2 production from different defined sites in rat skeletal muscle mitochondria oxidizing a variety of conventional substrates in the absence of added inhibitors: succinate; glycerol 3-phosphate; palmitoylcarnitine plus carnitine; or glutamate plus malate. In all cases, the sum of the estimated rates accounted fully for the measured overall rates. There were two striking results. First, the overall rates differed by an order of magnitude between substrates. Second, the relative contribution of each site was very different with different substrates. During succinate oxidation, most of the superoxide production was from the site of quinone reduction in complex I (site IQ, with small contributions from the flavin site in complex I (site IF and the quinol oxidation site in complex III (site IIIQo. However, with glutamate plus malate as substrate, site IQ made little or no contribution, and production was shared between site IF, site IIIQo and 2-oxoglutarate dehydrogenase. With palmitoylcarnitine as substrate, the flavin site in complex II (site IIF was a major contributor (together with sites IF and IIIQo, and with glycerol 3-phosphate as substrate, five different sites all contributed, including glycerol 3-phosphate dehydrogenase. Thus, the relative and absolute contributions of specific sites to the production of reactive oxygen species in isolated mitochondria depend very strongly on the substrates being oxidized, and the same is likely true in cells and in vivo.

  5. Process Simulation and Characterization of Substrate Engineered Silicon Thin Film Transistor for Display Sensors and Large Area Electronics

    International Nuclear Information System (INIS)

    Hashmi, S M; Ahmed, S

    2013-01-01

    Design, simulation, fabrication and post-process qualification of substrate-engineered Thin Film Transistors (TFTs) are carried out to suggest an alternate manufacturing process step focused on display sensors and large area electronics applications. Damage created by ion implantation of Helium and Silicon ions into single-crystalline n-type silicon substrate provides an alternate route to create an amorphized region responsible for the fabrication of TFT structures with controllable and application-specific output parameters. The post-process qualification of starting material and full-cycle devices using Rutherford Backscattering Spectrometry (RBS) and Proton or Particle induced X-ray Emission (PIXE) techniques also provide an insight to optimize the process protocols as well as their applicability in the manufacturing cycle

  6. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  7. Application of a mixed metal oxide catalyst to a metallic substrate

    Science.gov (United States)

    Sevener, Kathleen M. (Inventor); Lohner, Kevin A. (Inventor); Mays, Jeffrey A. (Inventor); Wisner, Daniel L. (Inventor)

    2009-01-01

    A method for applying a mixed metal oxide catalyst to a metallic substrate for the creation of a robust, high temperature catalyst system for use in decomposing propellants, particularly hydrogen peroxide propellants, for use in propulsion systems. The method begins by forming a prepared substrate material consisting of a metallic inner substrate and a bound layer of a noble metal intermediate. Alternatively, a bound ceramic coating, or frit, may be introduced between the metallic inner substrate and noble metal intermediate when the metallic substrate is oxidation resistant. A high-activity catalyst slurry is applied to the surface of the prepared substrate and dried to remove the organic solvent. The catalyst layer is then heat treated to bind the catalyst layer to the surface. The bound catalyst layer is then activated using an activation treatment and calcinations to form the high-activity catalyst system.

  8. Ultrathin, epitaxial cerium dioxide on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Flege, Jan Ingo, E-mail: flege@ifp.uni-bremen.de; Kaemena, Björn; Höcker, Jan; Schmidt, Thomas; Falta, Jens [Institute of Solid State Physics, University of Bremen, Otto-Hahn-Allee 1, 28359 Bremen (Germany); Bertram, Florian [Photon Science, Deutsches Elektronensynchrotron (DESY), Notkestraße 85, 22607 Hamburg (Germany); Wollschläger, Joachim [Department of Physics, University of Osnabrück, Barbarastraße 7, 49069 Osnabrück (Germany)

    2014-03-31

    It is shown that ultrathin, highly ordered, continuous films of cerium dioxide may be prepared on silicon following substrate prepassivation using an atomic layer of chlorine. The as-deposited, few-nanometer-thin Ce{sub 2}O{sub 3} film may very effectively be converted at room temperature to almost fully oxidized CeO{sub 2} by simple exposure to air, as demonstrated by hard X-ray photoemission spectroscopy and X-ray diffraction. This post-oxidation process essentially results in a negligible loss in film crystallinity and interface abruptness.

  9. Formation of hexagonal silicon carbide by high energy ion beam irradiation on Si (1 0 0) substrate

    International Nuclear Information System (INIS)

    Bhuyan, H; Favre, M; Valderrama, E; Avaria, G; Chuaqui, H; Mitchell, I; Wyndham, E; Saavedra, R; Paulraj, M

    2007-01-01

    We report the investigation of high energy ion beam irradiation on Si (1 0 0) substrates at room temperature using a low energy plasma focus (PF) device operating in methane gas. The unexposed and ion exposed substrates were characterized by x-ray diffraction, scanning electron microscopy (SEM), photothermal beam deflection, energy-dispersive x-ray analysis and atomic force microscopy (AFM) and the results are reported. The interaction of the pulsed PF ion beams, with characteristic energy in the 60-450 keV range, with the Si surface, results in the formation of a surface layer of hexagonal silicon carbide. The SEM and AFM analyses indicate clear step bunching on the silicon carbide surface with an average step height of 50 nm and a terrace width of 800 nm

  10. Super-oxidation of silicon nanoclusters: magnetism and reactive oxygen species at the surface

    Energy Technology Data Exchange (ETDEWEB)

    Lepeshkin, Sergey; Baturin, Vladimir; Tikhonov, Evgeny; Matsko, Nikita; Uspenskii, Yurii; Naumova, Anastasia; Feya, Oleg; Schoonen, Martin A.; Oganov, Artem R.

    2016-01-01

    Oxidation of silicon nanoclusters depending on the temperature and oxygen pressure is explored from first principles using the evolutionary algorithm, and structural and thermodynamic analysis. From our calculations of 90 SinOm clusters we found that under normal conditions oxidation does not stop at the stoichiometric SiO2 composition, as it does in bulk silicon, but goes further placing extra oxygen atoms on the cluster surface. These extra atoms are responsible for light emission, relevant to reactive oxygen species and many of them are magnetic. We argue that the super-oxidation effect is size-independent and discuss its relevance to nanotechnology and miscellaneous applications, including biomedical ones.

  11. Influence of silicon dangling bonds on germanium thermal diffusion within SiO{sub 2} glass

    Energy Technology Data Exchange (ETDEWEB)

    Barba, D.; Martin, F.; Ross, G. G. [INRS Centre for Energy, Materials and Telecommunications, 1650 Boul. Lionel-Boulet, Varennes, Québec J3X 1S2 (Canada); Cai, R. S.; Wang, Y. Q. [The Cultivation Base for State Key Laboratory, Qingdao University, Qingdao 266071 (China); Demarche, J.; Terwagne, G. [LARN, Centre de Recherche en Physique de la Matière et du Rayonnement (PMR), University of Namur (FUNDP), B-5000 Namur (Belgium); Rosei, F. [INRS Centre for Energy, Materials and Telecommunications, 1650 Boul. Lionel-Boulet, Varennes, Québec J3X 1S2 (Canada); Center for Self-Assembled Chemical Structures, McGill University, Montreal, Quebec H3A 2K6 (Canada)

    2014-03-17

    We study the influence of silicon dangling bonds on germanium thermal diffusion within silicon oxide and fused silica substrates heated to high temperatures. By using scanning electron microscopy and Rutherford backscattering spectroscopy, we determine that the lower mobility of Ge found within SiO{sub 2}/Si films can be associated with the presence of unsaturated SiO{sub x} chemical bonds. Comparative measurements obtained by x-ray photoelectron spectroscopy show that 10% of silicon dangling bonds can reduce Ge desorption by 80%. Thus, the decrease of the silicon oxidation state yields a greater thermal stability of Ge inside SiO{sub 2} glass, which could enable to considerably extend the performance of Ge-based devices above 1300 K.

  12. Piezoresistive pressure sensor using low-temperature aluminium induced crystallization of sputter-deposited amorphous silicon film

    International Nuclear Information System (INIS)

    Tiwari, Ruchi; Chandra, Sudhir

    2013-01-01

    In the present work, we have investigated the piezoresistive properties of silicon films prepared by the radio frequency magnetron sputtering technique, followed by the aluminium induced crystallization (AIC) process. Orientation and grain size of the polysilicon films were studied by x-ray diffraction analysis and found to be in the range 30–50 nm. Annealing of the Al–Si stack on an oxidized silicon substrate was performed in air ambient at 300–550 °C, resulting in layer exchange and transformation from amorphous to polysilicon phase. Van der Pauw and Hall measurement techniques were used to investigate the sheet resistance and carrier mobility of the resulting polycrystalline silicon film. The effect of Al thickness on the sheet resistance and mobility was also studied in the present work. A piezoresistive pressure sensor was fabricated on an oxidized silicon substrate in a Wheatstone bridge configuration, comprising of four piezoresistors made of polysilicon film obtained by the AIC process. The diaphragm was formed by the bulk-micromachining of silicon substrate. The response of the pressure sensor with applied negative pressure in 10–95 kPa range was studied. The gauge factor was estimated to be 5 and 18 for differently located piezoresistors on the diaphragm. The sensitivity of the pressure sensor was measured to be ∼ 30 mV MPa −1 , when the Wheatstone bridge was biased at 1 V input voltage. (paper)

  13. The role of the substrate in Graphene/Silicon photodiodes

    Science.gov (United States)

    Luongo, G.; Giubileo, F.; Iemmo, L.; Di Bartolomeo, A.

    2018-01-01

    The Graphene/Silicon (Gr/Si) junction can function as a Schottky diode with performances strictly related to the quality of the interface. Here, we focus on the substrate geometry and on its effects on Gr/Si junction physics. We fabricate and study the electrical and optical behaviour of two types of devices: one made of a Gr/Si planar junction, the second realized with graphene on an array of Si nanotips. We show that the Gr/Si flat device exhibits a reverse photocurrent higher than the forward current and achieves a photoresponsivity of 2.5 A/W. The high photoresponse is due to the charges photogenerated in Si below a parasitic graphene/SiO2/Si structure, which are injected into the Gr/Si junction region. The other device with graphene on Si-tips displays a reverse current that grows exponentially with the bias. We explain this behaviour by taking into account the tip geometry of the substrate, which magnifies the electric field and shifts the Fermi level of graphene, thus enabling fine-tuning of the Schottky barrier height. The Gr/Si-tip device achieves a higher photoresponsivity, up to 3 A/W, likely due to photocharge internal multiplication.

  14. Upconversion and tribological properties of β-NaYF{sub 4}:Yb,Er film synthesized on silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chuanying [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Cheng, Xianhua, E-mail: xhcheng@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2016-05-15

    Highlights: • β-NaYF{sub 4}:Yb,Er upconversion (UC) film was synthesized on silicon substrate. • Tribological test was used to qualitatively evaluate the adhesion of the UC film. • The UC film was combined with Si substrate by covalent chemical bonds. • The method used in this work can be applicable for other UC films. - Abstract: In this work, β-NaYF{sub 4}:Yb,Er upconversion (UC) film was successfully prepared on silicon (Si) substrate via self-assemble method for the first time. The chemical composition and surface morphology of the UC film were characterized by Fourier transform infrared spectroscopy (FT-IR), X-ray photoelectron spectroscopy (XPS), water contact angle (WCA), X-ray power diffraction (XRD), and scanning electron microscopy (SEM) measurements. To investigate the effects of KH-560 primer film and chemical reactions on the UC luminescence properties of β-NaYF{sub 4}:Yb,Er UC film, decay profiles of the 540 nm and 655 nm radiations were measured. Furthermore, tribological test was applied to qualitatively evaluate the adhesion of the UC film. The results indicate that the UC film has been successfully prepared on Si substrate by covalent chemical bonds. This work provides a facile way to synthesize β-NaYF{sub 4}:Yb,Er UC film with robust adhesion to the substrate, which can be applicable for other UC films.

  15. MgB2 thin films on silicon nitride substrates prepared by an in situ method

    International Nuclear Information System (INIS)

    Monticone, Eugenio; Gandini, Claudio; Portesi, Chiara; Rajteri, Mauro; Bodoardo, Silvia; Penazzi, Nerino; Dellarocca, Valeria; Gonnelli, Renato S

    2004-01-01

    Large-area MgB 2 thin films were deposited on silicon nitride and sapphire substrates by co-deposition of Mg and B. After a post-annealing in Ar atmosphere at temperatures between 773 and 1173 K depending on the substrate, the films showed a critical temperature higher than 35 K with a transition width less than 0.5 K. The x-ray diffraction pattern suggested a c-axis preferential orientation in films deposited on amorphous substrate. The smooth surface and the good structural properties of these MgB 2 films allowed their reproducible patterning by a standard photolithographic process down to dimensions of the order of 10 μm and without a considerable degradation of the superconducting properties

  16. Transparent conductive oxides for thin-film silicon solar cells

    NARCIS (Netherlands)

    Löffler, J.

    2005-01-01

    This thesis describes research on thin-film silicon solar cells with focus on the transparent conductive oxide (TCO) for such devices. In addition to the formation of a transparent and electrically conductive front electrode for the solar cell allowing photocurrent collection with low ohmic losses,

  17. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  18. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    International Nuclear Information System (INIS)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C.N.; Mihailescu, I.N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A.C.; Luculescu, C.R.; Craciun, V.

    2012-01-01

    Highlights: ► TCO thin films were grown by PLD on PET substrate at low temperature. ► We found that the quality of TCO on PET substrate depends on the target–substrate distance. ► TCO with high transparency (>95%) and reduced electrical resistivity (∼5 × 10 −4 Ω cm) were obtained. ► Optimized TCO films deposited on PET were free of any cracks. - Abstract: The influence of target–substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10 −4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  19. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  20. Fabrication of Si-based planar type patch clamp biosensor using silicon on insulator substrate

    International Nuclear Information System (INIS)

    Zhang, Z.L.; Asano, T.; Uno, H.; Tero, R.; Suzui, M.; Nakao, S.; Kaito, T.; Shibasaki, K.; Tominaga, M.; Utsumi, Y.; Gao, Y.L.; Urisu, T.

    2008-01-01

    The aim of this paper is to fabricate the planar type patch clamp ion-channel biosensor, which is suitable for the high throughput screening, using silicon-on-insulator (SOI) substrate. The micropore with 1.2 μm diameter is formed through the top Si layer and the SiO 2 box layer of the SOI substrate by focused ion beam (FIB). Then the substrate is assembled into the microfluidic circuit. The human embryonic kidney 293 (HEK-293) cell transfected with transient receptor potential vanilloid type 1 (TRPV1) is positioned on the micropore and the whole-cell configuration is formed by the suction. Capsaicin is added to the extracellular solution as a ligand molecule, and the channel current showing the desensitization unique to TRPV1 is measured successfully

  1. Fabrication of Si-based planar type patch clamp biosensor using silicon on insulator substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Z.L.; Asano, T. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Uno, H. [Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Tero, R. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Suzui, M.; Nakao, S. [Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Kaito, T. [SII NanoTechnology Inc., 36-1, Takenoshita, Oyama-cho, Sunto-gun, Shizuoka, 410-1393 (Japan); Shibasaki, K.; Tominaga, M. [Okazaki Institute for Integrative Bioscience, 5-1, Higashiyama, Myodaiji, Okazaki, 444-8787 (Japan); Utsumi, Y. [Laboratory of Advanced Science and Technology for Industry, University of Hyogo, 3-1-2, Koto, Kamigori, Ako-gun, Hyogo, 678-1205 (Japan); Gao, Y.L. [Department of Physics and Astronomy, Rochester University, Rochester, New York 14627 (United States); Urisu, T. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan)], E-mail: urisu@ims.ac.jp

    2008-03-03

    The aim of this paper is to fabricate the planar type patch clamp ion-channel biosensor, which is suitable for the high throughput screening, using silicon-on-insulator (SOI) substrate. The micropore with 1.2 {mu}m diameter is formed through the top Si layer and the SiO{sub 2} box layer of the SOI substrate by focused ion beam (FIB). Then the substrate is assembled into the microfluidic circuit. The human embryonic kidney 293 (HEK-293) cell transfected with transient receptor potential vanilloid type 1 (TRPV1) is positioned on the micropore and the whole-cell configuration is formed by the suction. Capsaicin is added to the extracellular solution as a ligand molecule, and the channel current showing the desensitization unique to TRPV1 is measured successfully.

  2. Investigation on nonlinear optical properties of MoS2 nanoflakes grown on silicon and quartz substrates

    Science.gov (United States)

    Bayesteh, Samaneh; Zahra Mortazavi, Seyedeh; Reyhani, Ali

    2018-05-01

    In this study, MoS2 nanoflakes were directly grown on different substrates—Si/SiO2 and quartz—by one-step thermal chemical vapor deposition using MoO3 and sulfide powders as precursors. Scanning electron microscopy and x-ray diffraction patterns demonstrated the formation of MoS2 structures on both substrates. Moreover, UV-visible and photoluminescence analysis confirmed the formation of MoS2 few-layer structures. According to Raman spectroscopy, by assessment of the line width and frequency shift differences between the and A 1g, it was inferred that the MoS2 grown on the silicon substrate was monolayer and that grown on the quartz substrate was multilayer. In addition, open-aperture and close-aperture Z-scan techniques were employed to study the nonlinear optical properties including nonlinear absorption and nonlinear refraction of the grown MoS2. All experiments were performed using a diode laser with a wavelength of 532 nm as the light source. It is noticeable that both samples demonstrate obvious self-defocusing behavior. The monolayer MoS2 grown on the silicon substrate displayed considerable two-photon absorption while, the multilayer MoS2 synthesized on the quartz exhibited saturable absorption. In general, few-layered MoS2 would be useful for the development of nanophotonic devices like optical limiters, optical switchers, etc.

  3. Structure and method for controlling band offset and alignment at a crystalline oxide-on-semiconductor interface

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    2003-11-25

    A crystalline oxide-on-semiconductor structure and a process for constructing the structure involves a substrate of silicon, germanium or a silicon-germanium alloy and an epitaxial thin film overlying the surface of the substrate wherein the thin film consists of a first epitaxial stratum of single atomic plane layers of an alkaline earth oxide designated generally as (AO).sub.n and a second stratum of single unit cell layers of an oxide material designated as (A'BO.sub.3).sub.m so that the multilayer film arranged upon the substrate surface is designated (AO).sub.n (A'BO.sub.3).sub.m wherein n is an integer repeat of single atomic plane layers of the alkaline earth oxide AO and m is an integer repeat of single unit cell layers of the A'BO.sub.3 oxide material. Within the multilayer film, the values of n and m have been selected to provide the structure with a desired electrical structure at the substrate/thin film interface that can be optimized to control band offset and alignment.

  4. Real-time sensing of epithelial cell-cell and cell-substrate interactions by impedance spectroscopy on porous substrates

    Energy Technology Data Exchange (ETDEWEB)

    Mondal, D.; RoyChaudhuri, C., E-mail: chirosreepram@yahoo.com [Department of Electronics and Telecommunication Engineering, Indian Institute of Engineering Science and Technology, Shibpur, Howrah 711103 (India); Pal, D. [Department of Aerospace Engineering and Applied Mechanics, Indian Institute of Engineering Science and Technology, Shibpur, Howrah 711103 (India)

    2015-07-28

    Oxidized porous silicon (PS) is a common topographical biocompatible substrate that potentially provides a distinct in vitro environment for better understanding of in vivo behavior. But in the reported studies on oxidized PS, cell-cell and cell-substrate interactions have been detected only by fluorescent labeling. This paper is the first attempt to investigate real-time sensing of these interactions on HaCaT cells by label-free impedance spectroscopy on oxidized PS of two pore diameters (50 and 500 nm). One of the major requirements for successful impedance spectroscopy measurement is to restrict the channeling of electric field lines through the pores. To satisfy this criterion, we have designed the pore depths after analyzing the penetration of the medium by using computational fluid dynamics simulation. A distributed electrical model was also developed for estimating the various cellular attributes by considering a pseudorandom distribution of pores. It is observed from the impedance measurements and from the model that the proliferation rate increases for 50 nm pores but decreases for 500 nm pores compared to that for planar substrates. The rate of decrease in cell substrate separation (h) in the initial stage is more than the rate of increase in cell-cell junction resistance (R{sub b}) corresponding to the initial adhesion phase of cells. It is observed that R{sub b} and h are higher for 50 nm pores than those for planar substrates, corresponding to the fact that substrates more conducive toward cell adhesion encourage cell-cell interactions than direct cell-substrate interactions. Thus, the impedance spectroscopy coupled with the proposed theoretical framework for PS substrates can sense and quantify the cellular interactions.

  5. Real-time sensing of epithelial cell-cell and cell-substrate interactions by impedance spectroscopy on porous substrates

    International Nuclear Information System (INIS)

    Mondal, D.; RoyChaudhuri, C.; Pal, D.

    2015-01-01

    Oxidized porous silicon (PS) is a common topographical biocompatible substrate that potentially provides a distinct in vitro environment for better understanding of in vivo behavior. But in the reported studies on oxidized PS, cell-cell and cell-substrate interactions have been detected only by fluorescent labeling. This paper is the first attempt to investigate real-time sensing of these interactions on HaCaT cells by label-free impedance spectroscopy on oxidized PS of two pore diameters (50 and 500 nm). One of the major requirements for successful impedance spectroscopy measurement is to restrict the channeling of electric field lines through the pores. To satisfy this criterion, we have designed the pore depths after analyzing the penetration of the medium by using computational fluid dynamics simulation. A distributed electrical model was also developed for estimating the various cellular attributes by considering a pseudorandom distribution of pores. It is observed from the impedance measurements and from the model that the proliferation rate increases for 50 nm pores but decreases for 500 nm pores compared to that for planar substrates. The rate of decrease in cell substrate separation (h) in the initial stage is more than the rate of increase in cell-cell junction resistance (R b ) corresponding to the initial adhesion phase of cells. It is observed that R b and h are higher for 50 nm pores than those for planar substrates, corresponding to the fact that substrates more conducive toward cell adhesion encourage cell-cell interactions than direct cell-substrate interactions. Thus, the impedance spectroscopy coupled with the proposed theoretical framework for PS substrates can sense and quantify the cellular interactions

  6. Stressing effects on the charge trapping of silicon oxynitride prepared by thermal oxidation of LPCVD Si-rich silicon nitride

    International Nuclear Information System (INIS)

    Choi, H.Y.; Wong, H.; Filip, V.; Sen, B.; Kok, C.W.; Chan, M.; Poon, M.C.

    2006-01-01

    It was recently found that the silicon oxynitride prepared by oxidation of silicon-rich silicon nitride (SRN) has several important features. The high nitrogen and extremely low hydrogen content of this material allows it to have a high dielectric constant and a low trap density. The present work investigates in further detail the electrical reliability of this kind of gate dielectric films by studying the charge trapping and interface state generation induced by constant current stressing. Capacitance-voltage (C-V) measurements indicate that for oxidation temperatures of 850 and 950 deg. C, the interface trap generation is minimal because of the high nitrogen content at the interface. At a higher oxidation temperature of 1050 deg. C, a large flatband shift is found for constant current stressing. This observation can be explained by the significant reduction of the nitrogen content and the phase separation effect at this temperature as found by X-ray photoelectron spectroscopy study. In addition to the high nitrogen content, the Si atoms at the interface exist in the form of random bonding to oxygen and nitrogen atoms for samples oxidized at 850 and 950 deg. C. This structure reduces the interface bonding constraint and results in the low interface trap density. For heavily oxidized samples the trace amount of interface nitrogen atoms exist in the form of a highly constraint SiN 4 phase and the interface oxynitride layer is a random mixture of SiO 4 and SiN 4 phases, which consequently reduces the reliability against high energy electron stressing

  7. The influence of oxidation properties on the electron emission characteristics of porous silicon

    International Nuclear Information System (INIS)

    He, Li; Zhang, Xiaoning; Wang, Wenjiang; Wei, Haicheng

    2016-01-01

    Highlights: • Evaluated the oxidation properties of porous silicon from semi-quantitative methods. • Discovered the relationship between oxidation properties and emission characteristics. • Revealed the micro-essence of the electron emission of the porous silicon. - Abstract: In order to investigate the influence of oxidation properties such as oxygen content and its distribution gradient on the electron emission characteristics of porous silicon (PS) emitters, emitters with PS thickness of 8 μm, 5 μm, and 3 μm were prepared and then oxidized by electrochemical oxidation (ECO) and ECO-RTO (rapid thermal oxidation) to get different oxidation properties. The experimental results indicated that the emission current density, efficiency, and stability of the PS emitters are mainly determined by oxidation properties. The higher oxygen content and the smaller oxygen distribution gradient in the PS layer, the larger emission current density and efficiency we noted. The most favorable results occurred for the PS emitter with the smallest oxygen distribution gradient and the highest level of oxygen content, with an emission current density of 212.25 μA/cm"2 and efficiency of 59.21‰. Additionally, it also demonstrates that thick PS layer benefits to the emission stability due to its longer electron acceleration tunnel. The FN fitting plots indicated that the effective emission areas of PS emitters can be enlarged and electron emission thresholds is decreased because of the higher oxygen content and smaller distribution gradient, which were approved by the optical micrographs of top electrode of PS emitters before and after electron emission.

  8. The influence of oxidation properties on the electron emission characteristics of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    He, Li [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Zhang, Xiaoning, E-mail: znn@mail.xjtu.edu.cn [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Wang, Wenjiang [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Wei, Haicheng [School of Electrical and Information Engineering, Beifang University of Nationalities, Yinchuan750021 (China)

    2016-09-30

    Highlights: • Evaluated the oxidation properties of porous silicon from semi-quantitative methods. • Discovered the relationship between oxidation properties and emission characteristics. • Revealed the micro-essence of the electron emission of the porous silicon. - Abstract: In order to investigate the influence of oxidation properties such as oxygen content and its distribution gradient on the electron emission characteristics of porous silicon (PS) emitters, emitters with PS thickness of 8 μm, 5 μm, and 3 μm were prepared and then oxidized by electrochemical oxidation (ECO) and ECO-RTO (rapid thermal oxidation) to get different oxidation properties. The experimental results indicated that the emission current density, efficiency, and stability of the PS emitters are mainly determined by oxidation properties. The higher oxygen content and the smaller oxygen distribution gradient in the PS layer, the larger emission current density and efficiency we noted. The most favorable results occurred for the PS emitter with the smallest oxygen distribution gradient and the highest level of oxygen content, with an emission current density of 212.25 μA/cm{sup 2} and efficiency of 59.21‰. Additionally, it also demonstrates that thick PS layer benefits to the emission stability due to its longer electron acceleration tunnel. The FN fitting plots indicated that the effective emission areas of PS emitters can be enlarged and electron emission thresholds is decreased because of the higher oxygen content and smaller distribution gradient, which were approved by the optical micrographs of top electrode of PS emitters before and after electron emission.

  9. Zirconates heteroepitaxy on silicon

    Science.gov (United States)

    Fompeyrine, Jean; Seo, Jin Won; Seigwart, Heinz; Rossel, Christophe; Locquet, Jean-Pierre

    2002-03-01

    In the coming years, agressive scaling in CMOS technology will probably trigger the transition to more advanced materials, for example alternate gate dielectrics. Epitaxial thin films are attractive candidates, as long as the difficult chemical and structural issues can be solved, and superior properties can be obtained. Since very few binary oxides can match the electrical, physical and structural requirements which are needed, a combination of those binaries are used here to investigate other lattice matched oxides. We will report on the growth of crystalline zirconium oxide thin films stabilized with different cationic substitutions. All films have been grown in an oxide-MBE system by direct evaporation of the elements on silicon substrates and exposure to molecular or atomic oxygen. The conditions required to obtain epitaxial thin films will be discussed, and successful examples will be presented.

  10. Characteristics of MOSFETs fabricated in silicon-on-insulator material formed by high-dose oxygen ion implantation

    International Nuclear Information System (INIS)

    Lam, H.W.; Pinizzotto, R.F.; Yuan, H.T.; Bellavance, D.W.

    1981-01-01

    By implanting a dose of 6 x 10 17 cm -2 of 32 O 2 + at 300 keV into a silicon wafer, a buried oxide layer is formed. Crystallinity of the silicon layer above the buried oxide layer is maintained by applying a high (>200 0 C) substrate temperature during the ion implantation process. A two-step anneal cycle is found to be adequate to form the insulating buried oxide layer and to repair the implantation damage in the silicon layer on top of the buried oxide. A surface electron mobility as high as 710 cm 2 /Vs has been measured in n-channel MOSFETs fabricated in a 0.5 μm-thick epitaxial layer grown on the buried oxide wafer. A minimum subthreshold current of about 10 pA per micron of channel width at Vsub(DS)=2 V has been measured. (author)

  11. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  12. Design, fabrication and characterisation of advanced substrate crosstalk suppression structures in silicon on insulator substrates with buried ground planes (GPSOI)

    International Nuclear Information System (INIS)

    Stefanou, Stefanos

    2002-07-01

    Substrate crosstalk or coupling has been acknowledged to be a limiting factor in mixed signal RF integration. Although high levels of integration and high frequencies of operation are desirable for mixed mode RF and microwave circuits, they make substrate crosstalk more pronounced and may lead to circuit performance degradation. High signal isolation is dictated by requirements for low power dissipation, reduced number of components and lower integration costs for feasible system-on-chip (SoC) solutions. Substrate crosstalk suppression in ground plane silicon-on-insulator (GPSOI) substrates is investigated in this thesis. Test structures are designed and fabricated on SOI substrates with a buried WSi 2 plane that is connected to ground; hence it is called a ground plane. A Faraday cage structure that exhibits very high degrees of signal isolation is presented and compared to other SOI isolation schemes. The Faraday cage structure is shown to achieve 20 dB increased isolation in the frequency range of 0.5-50 GHz compared to published data for high resistivity (200 Ωcm) thin film SOI substrates with no ground planes, but where capacitive guard rings were used. The measurement results are analysed with the aid of planar electromagnetic simulators and compact lumped element models of all the fabricated test structures are developed. The accuracy of the lumped models is validated against experimental measurements. (author)

  13. Method for forming indium oxide/n-silicon heterojunction solar cells

    Science.gov (United States)

    Feng, Tom; Ghosh, Amal K.

    1984-03-13

    A high photo-conversion efficiency indium oxide/n-silicon heterojunction solar cell is spray deposited from a solution containing indium trichloride. The solar cell exhibits an Air Mass One solar conversion efficiency in excess of about 10%.

  14. Electrochemical impedance spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mula, Guido, E-mail: guido.mula@unica.it [Dipartimento di Fisica, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Tiddia, Maria V. [Dipartimento di Fisica, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Ruffilli, Roberta [Nanochemistry, Istituto Italiano di Tecnologia, Via Morego 30, 16163 Genova (Italy); Falqui, Andrea [Nanochemistry, Istituto Italiano di Tecnologia, Via Morego 30, 16163 Genova (Italy); Dipartimento di Scienze Chimiche e Geologiche, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Palmas, Simonetta; Mascia, Michele [Dipartimento di Ingegneria Meccanica Chimica e dei Materiali, Università degli Studi di Cagliari, Piazza d' Armi, 09126 Cagliari (Italy)

    2014-04-01

    We present a study of the electrochemical oxidation process of porous silicon. We analyze the effect of the layer thickness (1.25–22 μm) and of the applied current density (1.1–11.1 mA/cm{sup 2}, values calculated with reference to the external samples surface) on the oxidation process by comparing the galvanostatic electrochemical impedance spectroscopy (EIS) measurements and the optical specular reflectivity of the samples. The results of EIS were interpreted using an equivalent circuit to separate the contribution of different sample parts. A different behavior of the electrochemical oxidation process has been found for thin and thick samples: whereas for thin samples the oxidation process is univocally related to current density and thickness, for thicker samples this is no more true. Measurements by Energy Dispersive Spectroscopy using a Scanning Electron Microscopy confirmed that the inhomogeneity of the electrochemical oxidation process is increased by higher thicknesses and higher currents. A possible explanation is proposed to justify the different behavior of thin and thick samples during the electrochemical process. - Highlights: • A multidisciplinary approach on porous Si electrochemical oxidation is proposed. • Electrochemical, optical, and structural characterizations are used. • Layer thickness and oxidation current effects are shown. • An explanation of the observed behavior is proposed.

  15. Triple-junction thin-film silicon solar cell fabricated on periodically textured substrate with a stabilized efficiency of 13.6%

    Science.gov (United States)

    Sai, Hitoshi; Matsui, Takuya; Koida, Takashi; Matsubara, Koji; Kondo, Michio; Sugiyama, Shuichiro; Katayama, Hirotaka; Takeuchi, Yoshiaki; Yoshida, Isao

    2015-05-01

    We report a high-efficiency triple-junction thin-film silicon solar cell fabricated with the so-called substrate configuration. It was verified whether the design criteria for developing single-junction microcrystalline silicon (μc-Si:H) solar cells are applicable to multijunction solar cells. Furthermore, a notably high short-circuit current density of 32.9 mA/cm2 was achieved in a single-junction μc-Si:H cell fabricated on a periodically textured substrate with a high-mobility front transparent contacting layer. These technologies were also combined into a-Si:H/μc-Si:H/μc-Si:H triple-junction cells, and a world record stabilized efficiency of 13.6% was achieved.

  16. Al transmon qubits on silicon-on-insulator for quantum device integration

    Science.gov (United States)

    Keller, Andrew J.; Dieterle, Paul B.; Fang, Michael; Berger, Brett; Fink, Johannes M.; Painter, Oskar

    2017-07-01

    We present the fabrication and characterization of an aluminum transmon qubit on a silicon-on-insulator substrate. Key to the qubit fabrication is the use of an anhydrous hydrofluoric vapor process which selectively removes the lossy silicon oxide buried underneath the silicon device layer. For a 5.6 GHz qubit measured dispersively by a 7.1 GHz resonator, we find T1 = 3.5 μs and T2* = 2.2 μs. This process in principle permits the co-fabrication of silicon photonic and mechanical elements, providing a route towards chip-scale integration of electro-opto-mechanical transducers for quantum networking of superconducting microwave quantum circuits. The additional processing steps are compatible with established fabrication techniques for aluminum transmon qubits on silicon.

  17. Comparative study on the deposition of silicon oxide permeation barrier coatings for polymers using hexamethyldisilazane (HMDSN) and hexamethyldisiloxane (HMDSO)

    Science.gov (United States)

    Mitschker, F.; Schücke, L.; Hoppe, Ch; Jaritz, M.; Dahlmann, R.; de los Arcos, T.; Hopmann, Ch; Grundmeier, G.; Awakowicz, P.

    2018-06-01

    The effect of the selection of hexamethyldisiloxane (HMDSO) and hexamethyldisilazane (HMDSN) as a precursor in a microwave driven low pressure plasma on the deposition of silicon oxide barrier coatings and silicon based organic interlayers on polyethylene terephthalate (PET) and polypropylene (PP) substrates is investigated. Mass spectrometry is used to quantify the absolute gas density and the degree of depletion of neutral precursor molecules under variation of oxygen admixture. On average, HMDSN shows a smaller density, a higher depletion and the production of smaller fragments. Subsequently, this is correlated with barrier performance and chemical structure as a function of barrier layer thickness and oxygen admixture on PET. For this purpose, the oxygen transmission rate (OTR) is measured and Fourier transformed infrared (FTIR) spectroscopy as well as x-ray photoelectron spectroscopy (XPS) is performed. HMDSN based coatings exhibit significantly higher barrier performances for high admixtures of oxygen (200 sccm). In comparison to HMDSO based processes, however, a higher supply of oxygen is necessary to achieve a sufficient degree of oxidation, cross-linking and, therefore, barrier performance. FTIR and XPS reveal a distinct carbon content for low oxygen admixtures (10 and 20 sccm) in case of HMDSN based coatings. The variation of interlayer thickness also reveals significantly higher OTR for HMDSO based coatings on PET and PP. Barrier performance of HMDSO based coatings improves with increasing interlayer thickness up to 10 nm for PET and PP. HMDSN based coatings exhibit a minimum of OTR without interlayer on PP and for 2 nm interlayer thickness on PET. Furthermore, HMDSN based coatings show distinctly higher bond strengths to the PP substrate.

  18. RF Reactive Magnetron Sputter Deposition of Silicon Sub-Oxides

    NARCIS (Netherlands)

    Hattum, E.D. van

    2007-01-01

    RF reactive magnetron plasma sputter deposition of silicon sub oxide E.D. van Hattum Department of Physics and Astronomy, Faculty of Sciences, Utrecht University The work described in the thesis has been inspired and stimulated by the use of SiOx layers in the direct inductive printing technology,

  19. Magnetic oxide heterostructures. EuO on cubic oxides and on silicon

    International Nuclear Information System (INIS)

    Caspers, Christian

    2013-01-01

    In the thesis at hand, we explore fundamental properties of ultrathin europium oxide (EuO) films. EuO is a model system of a localized 4f Heisenberg ferromagnet, in which the ferromagnetic coupling. provided a high crystalline quality. can be tuned by biaxial lattice strain. Moreover, the magnetic oxide EuO is perfectly suited as a spin-functional tunnel contact for silicon spintronics. However, up to now a challenging bulk and interface chemistry of EuO and Si has hampered a seamless integration into functional silicon heterostructures. In order to investigate fundamental aspects of the magnetic and electronic structure of ultrathin EuO, in the first part of this thesis, we synthesize EuO thin films on conductive YSZ substrates from bulklike thicknesses down to one nanometer by oxide molecular beam epitaxy (MBE). The EuO thin films are of textbook-like single-crystalline quality, and show bulk-like magnetic properties. We control the stoichiometry of buried EuO thin films by hard X-ray photoemission spectroscopy (HAXPES); even a 1 nm ultrathin EuO film exhibits no valence change or interface shifts. Furthermore, we conduct an advanced magnetic characterization by the magnetic circular dichroism (MCD) of Eu core-levels in photoemission, this gives us insight into the intra-atomic exchange coupling of EuO thin films. The MCD reveals large asymmetries of up to 49% in the well-resolved Eu 4d photoemission multiplet. Thus, ultrathin EuO coherently grown on conductive YSZ allows us to explore fundamental magnetic and electronic properties of a 4f magnetic oxide. Biaxial lateral strain applied to single-crystalline EuO is of fundamental interest, since it alters the electronic structure and magnetic coupling in a controlled way. We apply +4.2% tensile biaxial strain to EuO by epitaxial EuO/LaAlO 3 (100) heterostructures. EuO seamlessly adapts the lateral lattice parameter of LaAlO 3 , while the perpendicular parameter of EuO is the unchanged EuO bulk value, thus the

  20. Silicon/HfO2 interface: Effects of proton irradiation

    International Nuclear Information System (INIS)

    Maurya, Savita; Radhakrishna, M.

    2015-01-01

    Substrate oxide interfaces are of paramount importance in deciding the quality of the semiconductor devices. In this work we have studied how 200 keV proton irradiation affects the interface of a 13 nm thick, atomic layer deposited hafnium dioxide on silicon substrate. Pre- and post-irradiation electrical measurements are used to quantify the effect of proton irradiation for varying electrode geometries. Proton irradiation introduces positive charge in the oxide and at the interface of Si/HfO 2 interface. The gate current is not very much affected under positive injection since the induced positive charge is compensated by the injected electrons. Current voltage characteristics under negative bias get affected by the proton irradiation

  1. Flexible Metal Oxide/Graphene Oxide Hybrid Neuromorphic Devices on Flexible Conducting Graphene Substrates

    OpenAIRE

    Wan, Chang Jin; Wang, Wei; Zhu, Li Qiang; Liu, Yang Hui; Feng, Ping; Liu, Zhao Ping; Shi, Yi; Wan, Qing

    2016-01-01

    Flexible metal oxide/graphene oxide hybrid multi-gate neuron transistors were fabricated on flexible graphene substrates. Dendritic integrations in both spatial and temporal modes were successfully emulated, and spatiotemporal correlated logics were obtained. A proof-of-principle visual system model for emulating lobula giant motion detector neuron was investigated. Our results are of great interest for flexible neuromorphic cognitive systems.

  2. Single-crystal-like GdNdO{sub x} thin films on silicon substrates by magnetron sputtering and high-temperature annealing for crystal seed layer application

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Ziwei; Xiao, Lei; Liang, Renrong, E-mail: wang-j@tsinghua.edu.cn, E-mail: liangrr@tsinghua.edu.cn; Shen, Shanshan; Xu, Jun; Wang, Jing, E-mail: wang-j@tsinghua.edu.cn, E-mail: liangrr@tsinghua.edu.cn [Tsinghua National Laboratory for Information Science and Technology, Institute of Microelectronics, Tsinghua University, Beijing 100084 (China)

    2016-06-15

    Single-crystal-like rare earth oxide thin films on silicon (Si) substrates were fabricated by magnetron sputtering and high-temperature annealing processes. A 30-nm-thick high-quality GdNdO{sub x} (GNO) film was deposited using a high-temperature sputtering process at 500°C. A Gd{sub 2}O{sub 3} and Nd{sub 2}O{sub 3} mixture was used as the sputtering target, in which the proportions of Gd{sub 2}O{sub 3} and Nd{sub 2}O{sub 3} were controlled to make the GNO’s lattice parameter match that of the Si substrate. To further improve the quality of the GNO film, a post-deposition annealing process was performed at a temperature of 1000°C. The GNO films exhibited a strong preferred orientation on the Si substrate. In addition, an Al/GNO/Si capacitor was fabricated to evaluate the dielectric constant and leakage current of the GNO films. It was determined that the single-crystal-like GNO films on the Si substrates have potential for use as an insulator layer for semiconductor-on-insulator and semiconductor/insulator multilayer applications.

  3. Influence of metformin and insulin on myocardial substrate oxidation under conditions encountered during cardiac surgery.

    Science.gov (United States)

    Holmes, Cyonna; Powell, LaShondra; Clarke, Nicholas S; Jessen, Michael E; Peltz, Matthias

    2018-02-01

    The influence of diabetic therapies on myocardial substrate selection during cardiac surgery is unknown but may be important to ensure optimal surgical outcomes. We hypothesized that metformin and insulin alter myocardial substrate selection during cardiac surgery and may affect reperfusion cardiac function. Rat hearts (n = 8 per group) were evaluated under 3 metabolic conditions: normokalemia, cardioplegia, or bypass. Groups were perfused with Krebs-Henseleit buffer in the presence of no additives, metformin, insulin, or both insulin and metformin. Perfusion buffer containing physiologic concentrations of energetic substrates with different carbon-13 ( 13 C) labeling patterns were used to determine substrate oxidation preferences using 13 C magnetic resonance spectroscopy and glutamate isotopomer analysis. Rate pressure product and oxygen consumption were measured. Myocardial function was not different between groups. For normokalemia, ketone oxidation was reduced in the presence of insulin and the combination of metformin and insulin reduced fatty acid oxidation. Metformin reduced fatty acid and ketone oxidation during cardioplegia. Fatty acid oxidation was increased in the bypass group compared with all other conditions. Metformin and insulin affect substrate utilization and reduce fatty acid oxidation before reperfusion. These alterations in substrate oxidation did not affect myocardial function in otherwise normal hearts. Copyright © 2017 Elsevier Inc. All rights reserved.

  4. Deposition of an Ultraflat Graphene Oxide Nanosheet on Atomically Flat Substrates

    Science.gov (United States)

    Khan, M. Z. H.; Shahed, S. M. F.; Yuta, N.; Komeda, T.

    2017-07-01

    In this study, graphene oxide (GO) sheets produced in the form of stable aqueous dispersions were deposited on Au (111), freshly cleaved mica, and highly oriented pyrolytic graphite (HOPG) substrates. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) were used to study the presence and distinct contact of GO sheets on the substrates. It was revealed from the topography images that high-quality ultraflat GO monolayer sheets formed on the substrates without distinct cracking/wrinkling or folding. GO sheets with apparent height variation observed by microscopy also indicate ultraflat deposition with clear underlying steps. It was observed that ultrasonication and centrifuge steps prior to deposition were very effective for getting oxidation debris (OD)-free ultraflat single monolayer GO nanosheets onto substrates and that the process depends on the concentration of supplied GO solutions.

  5. Direct comparison of the electrical properties in metal/oxide/nitride/oxide/silicon and metal/aluminum oxide/nitride/oxide/silicon capacitors with equivalent oxide thicknesses

    Energy Technology Data Exchange (ETDEWEB)

    An, Ho-Myoung; Seo, Yu Jeong; Kim, Hee Dong; Kim, Kyoung Chan; Kim, Jong-Guk [School of Electrical Engineering, Korea University, Seoul 136-713 (Korea, Republic of); Cho, Won-Ju; Koh, Jung-Hyuk [Department of Electronic Materials Engineering, Kwangwoon University, Seoul 139-701 (Korea, Republic of); Sung, Yun Mo [Department of Materials and Science Engineering, Korea University, Seoul 136-713 (Korea, Republic of); Kim, Tae Geun, E-mail: tgkim1@korea.ac.k [School of Electrical Engineering, Korea University, Seoul 136-713 (Korea, Republic of)

    2009-07-31

    We examine the electrical properties of metal/oxide/nitride/oxide/silicon (MONOS) capacitors with two different blocking oxides, SiO{sub 2} and Al{sub 2}O{sub 3}, under the influence of the same electric field. The thickness of the Al{sub 2}O{sub 3} layer is set to 150 A, which is electrically equivalent to a thickness of the SiO{sub 2} layer of 65 A, in the MONOS structure for this purpose. The capacitor with the Al{sub 2}O{sub 3} blocking layer shows a larger capacitance-voltage memory window of 8.6 V, lower program voltage of 7 V, faster program/erase speeds of 10 ms/1 {mu}s, lower leakage current of 100 pA and longer data retention than the one with the SiO{sub 2} blocking layer does. These improvements are attributed to the suppression of the carrier transport to the gate electrode afforded by the use of an Al{sub 2}O{sub 3} blocking layer physically thicker than the SiO{sub 2} one, as well as the effective charge-trapping by Al{sub 2}O{sub 3} at the deep energy levels in the nitride layer.

  6. Distribution of impurity elements in slag-silicon equilibria for oxidative refining of metallurgical silicon for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, M.D.; Barati, M. [Department of Materials Science and Engineering, The University of Toronto, 184 College Street, Toronto, Ont. (Canada)

    2010-12-15

    The possibility of refining metallurgical grade silicon to a high-purity product for solar cell applications by the slagging of impurity elements was investigated. Distribution coefficients were determined for B, Ca, Mg, Fe, K and P between magnesia or alumina saturated Al{sub 2}O{sub 3}-CaO-MgO-SiO{sub 2} and Al{sub 2}O{sub 3}-BaO-SiO{sub 2} slags and silicon at 1500 C. The partitioning of the impurity elements between molten silicon and slag was examined in terms of basicity and oxygen potential of the slag, with particular focus on the behaviour of boron and phosphorus. The experimental results showed that both of these aspects of slag chemistry have a significant influence on the distribution coefficient of B and P. Increasing the oxygen potential by additions of silica was found to increase the distribution coefficients for both B and P. Increasing the basicity of the slag was not always effective in achieving high removal of these elements from silicon as excess amounts of basic oxides lower the activity of silica and consequently the oxygen potential. The extent of this effect is such that increasing basicity can lead to a decrease in distribution coefficient. Increasing lime in the slag increased distribution coefficients for B and P, but this counterbalancing effect was such that distributions were the lowest in barium-containing slags, despite barium oxide being the most basic of the fluxes used in this study. The highest removal efficiencies achieved were of the order of 80% and 90% for B and P, respectively. It was demonstrated that for the removal of B and P from metallurgical-grade silicon to solar-grade levels, a slag mass about 5 times the mass of silicon would be required. (author)

  7. Role of masking oxide on silicon in processes of defect generation at formation of SIMOX structures

    CERN Document Server

    Askinazi, A Y; Miloglyadova, L V

    2002-01-01

    One investigated into Si-SiO sub 2 structures formed by implantation of oxygen ions into silicon (SIMOX-technology) by means of techniques based on measuring of high-frequency volt-farad characteristics and by means of electroluminescence. One determined existence of electrically active centres and of luminescence centres in the formed oxide layer near boundary with silicon. One clarified the role SiO sub 2 masking layer in silicon in defect generation under formation of the masked oxide layer. One established dependence of concentration of electrically active and luminescence centres on thickness of masking layer

  8. Embedding and electropolymerization of terthiophene derivatives in porous n-type silicon

    Energy Technology Data Exchange (ETDEWEB)

    Badeva, Diyana, E-mail: diyana.badeva@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France); Tran-Van, Francois, E-mail: francois.tran@univ-tours.fr [Laboratoire de Physico-Chimie des Materiaux et des Electrolytes pour l' Energie (PCM2E), E.A 6299, Universite de Tours, Faculte des Sciences et Techniques, Parc de Grandmont, 37200 Tours (France); Beouch, Layla, E-mail: layla.beouch@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Chevrot, Claude, E-mail: claude.chevrot@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Markova, Ivania, E-mail: vania@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Racheva, Todora, E-mail: todora@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Froyer, Gerard, E-mail: gerard.froyer@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France)

    2012-04-16

    Highlights: Black-Right-Pointing-Pointer Development of a mesoporous silicon with special morphological and chemical properties. Black-Right-Pointing-Pointer Successful embedding of carboxylic-acid terthiophenic monomer in porous silicon. Black-Right-Pointing-Pointer In situ electrochemical polymerization. Black-Right-Pointing-Pointer Polarized IRTF scattering provides the tendency to preferential organization. - Abstract: A mesoporous n-type silicon/poly (3 Prime -acetic acid-2,2 Prime -5 Prime ,2 Prime Prime terthiophene)-(Poly (3TAA) nanocomposite was elaborated in order to realize new components for optoelectronics. Non-oxidized and oxidized porous silicon substrates is used and their physical and chemical properties have been studied by different techniques such as transmission electron microscopy (TEM), scanning electron microscopy (SEM) and Fourier transformed infrared spectroscopy (FTIR). Terthiophene based conjugated structure has been successfully incorporated inside the pores by capillarity at the melting temperature of the monomer. The filling of the monomer into the porous volume was probed by energy dispersive X-ray spectroscopy (EDX). Polarized infrared absorption spectroscopy results indicated that the monomer molecules show preferential orientation along the pore axis, due to hydrogen bonding, in particular that of the carboxylic groups with silanol-rich oxidized porous silicon surface. The 3TAA monomer molecules embedded in porous silicon matrix were electrochemically polymerized in situ and resonance Raman scattering spectroscopy proved the above-mentioned polymerization.

  9. Three-dimensionally structured silicon as a substrate for the MOVPE growth of GaN nanoLEDs

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Li, Shunfeng; Soekmen, Uensal; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2009-06-15

    Three-dimensionally patterned Si(111) substrates are used to grow GaN based heterostructures by metalorganic vapour phase epitaxy, with the goal of fabricating well controlled, defect reduced GaN-based nanoLEDs. In contrast to other approaches to achieve GaN nanorods, we employed silicon substrates with deep etched nanopillars to control the GaN nanorods growth by varying the size and distance of the Si pillars. The small footprint of GaN nanorods grown on Si pillars minimise the influence of the lattice mismatched substrate and improve the material quality. For the Si pillars an inductively coupled plasma dry-etching process at cryogenic temperature has been developed. An InGaN/GaN multi quantum well (MQW) structure has been incorporated into the GaN nanorods. We found GaN nanostructures grown on top of the silicon pillars with a pyramidal shape. This shape results from a competitive growth on different facets as well as from surface diffusion of the growth species. Spatially resolved optical properties of the structures are analysed by cathodoluminescence. Strongly spatial-dependent MQW emission spectra indicate the growth rate differences on top of the rods. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Electronic structure of indium-tungsten-oxide alloys and their energy band alignment at the heterojunction to crystalline silicon

    Science.gov (United States)

    Menzel, Dorothee; Mews, Mathias; Rech, Bernd; Korte, Lars

    2018-01-01

    The electronic structure of thermally co-evaporated indium-tungsten-oxide films is investigated. The stoichiometry is varied from pure tungsten oxide to pure indium oxide, and the band alignment at the indium-tungsten-oxide/crystalline silicon heterointerface is monitored. Using in-system photoelectron spectroscopy, optical spectroscopy, and surface photovoltage measurements, we show that the work function of indium-tungsten-oxide continuously decreases from 6.3 eV for tungsten oxide to 4.3 eV for indium oxide, with a concomitant decrease in the band bending at the hetero interface to crystalline silicon than indium oxide.

  11. Rapid thermal process by RF heating of nano-graphene layer/silicon substrate structure: Heat explosion theory approach

    Science.gov (United States)

    Sinder, M.; Pelleg, J.; Meerovich, V.; Sokolovsky, V.

    2018-03-01

    RF heating kinetics of a nano-graphene layer/silicon substrate structure is analyzed theoretically as a function of the thickness and sheet resistance of the graphene layer, the dimensions and thermal parameters of the structure, as well as of cooling conditions and of the amplitude and frequency of the applied RF magnetic field. It is shown that two regimes of the heating can be realized. The first one is characterized by heating of the structure up to a finite temperature determined by equilibrium between the dissipated loss power caused by induced eddy-currents and the heat transfer to environment. The second regime corresponds to a fast unlimited temperature increase (heat explosion). The criterions of realization of these regimes are presented in the analytical form. Using the criterions and literature data, it is shown the possibility of the heat explosion regime for a graphene layer/silicon substrate structure at RF heating.

  12. Substrate temperature dependence of microcrystallinity in plasma-deposited, boron-doped hydrogenated silicon alloys

    International Nuclear Information System (INIS)

    Rajeswaran, G.; Kampas, F.J.; Vanier, P.E.; Sabatini, R.L.; Tafto, J.

    1983-01-01

    The glow-discharge decomposition of silane diluted in hydrogen using diborane as a dopant results in the deposition of p-type microcrystalline silicon films at relatively low temperatures. The conductivity of these films is critically dependent on the substrate temperature when the ratio of silane flow rate to total gas flow rate is 1%. Electron micrographs show that highly conducting films contain numerous clusters of 2.5-nm crystallites that are embedded in an amorphous medium

  13. Fabrication of disposable topographic silicon oxide from sawtoothed patterns: control of arrays of gold nanoparticles.

    Science.gov (United States)

    Cho, Heesook; Yoo, Hana; Park, Soojin

    2010-05-18

    Disposable topographic silicon oxide patterns were fabricated from polymeric replicas of sawtoothed glass surfaces, spin-coating of poly(dimethylsiloxane) (PDMS) thin films, and thermal annealing at certain temperature and followed by oxygen plasma treatment of the thin PDMS layer. A simple imprinting process was used to fabricate the replicated PDMS and PS patterns from sawtoothed glass surfaces. Next, thin layers of PDMS films having different thicknesses were spin-coated onto the sawtoothed PS surfaces and annealed at 60 degrees C to be drawn the PDMS into the valley of the sawtoothed PS surfaces, followed by oxygen plasma treatment to fabricate topographic silicon oxide patterns. By control of the thickness of PDMS layers, silicon oxide patterns having various line widths were fabricated. The silicon oxide topographic patterns were used to direct the self-assembly of polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) block copolymer thin films via solvent annealing process. A highly ordered PS-b-P2VP micellar structure was used to let gold precursor complex with P2VP chains, and followed by oxygen plasma treatment. When the PS-b-P2VP thin films containing gold salts were exposed to oxygen plasma environments, gold salts were reduced to pure gold nanoparticles without changing high degree of lateral order, while polymers were completely degraded. As the width of trough and crest in topographic patterns increases, the number of gold arrays and size of gold nanoparticles are tuned. In the final step, the silicon oxide topographic patterns were selectively removed by wet etching process without changing the arrays of gold nanoparticles.

  14. Characteristics of Schottky-barrier source/drain metal-oxide-polycrystalline thin-film transistors on glass substrates

    International Nuclear Information System (INIS)

    Jung, Seung-Min; Cho, Won-Ju; Jung, Jong-Wan

    2012-01-01

    Polycrystalline-silicon (poly-Si) Schottky-barrier thin-film transistors (SB-TFTs) with Pt-silicided source /drain junctions were fabricated on glass substrates, and the electrical characteristics were examined. The amorphous silicon films on glass substrates were converted into high-quality poly-Si by using excimer laser annealing (ELA) and solid phase crystallization (SPC) methods. The crystallinity of poly-Si was analyzed by using scanning electron microscopy, transmission electron microscopy, and X-ray diffraction analysis. The silicidation process was optimized by measuring the electrical characteristics of the Pt-silicided Schottky diodes. The performances of Pt-silicided SB-TFTs using poly-Si films on glass substrates and crystallized by using ELA and SPC were demonstrated. The SB-TFTs using the ELA poly-Si film demonstrated better electrical performances such as higher mobility (22.4 cm 2 /Vs) and on/off current ratio (3 x 10 6 ) and lower subthreshold swing value (120 mV/dec) than the SPC poly-Si films.

  15. A time-pulsed positronium beam and a study of oxides on silicon using positrons

    International Nuclear Information System (INIS)

    Khatri, R.K.

    1993-01-01

    The studies on rare gas solid moderators were carried out with a 350 μCi 22 Na radioactive source. The corrected efficiency for neon moderator in conical geometrical configuration was as high as (1.4 ± 0.2)%. The conical configuration moderator performed better by a factor of (2.2 ± 0.2) than the cylindrical configuration. A time pulsed positron beam was built to carry out investigations on the positronium formation processes and positronium beam. This beam has the capability to store low energy e + in a magnetic bottle, with a magnetic bottle at one end and an electrostatic mirror at the other. These stored e + are then bunched to form a pulse with a buncher. The bunched beam had a FWHM of 17 nsec and contained 1 to 2 e + /pulse. A thin carbon foil of 50 angstrom thickness was used for positronium formation by process of charge exchange. Positronium Annihilation Spectroscopy (PAS) was utilized to carry out studies on the activation energy of hydrogen at the interface of oxide and silicon substrate and the effect of irradiation on the oxides in SiO 2 /Si(100) sample. The activation energy of hydrogen at the interface of SiO 2 /Si(100) samples with n- and p-type substrate was measured to be 2.60(6) eV and 2.47(6) eV respectively. The investigations of the samples irradiated with x-ray and γ-ray led to the first time identification of creation of E' centers with PAS

  16. Lithium-storage Properties of Gallic Acid-Reduced Graphene Oxide and Silicon-Graphene Composites

    International Nuclear Information System (INIS)

    Xu, Binghui; Zhang, Jintao; Gu, Yi; Zhang, Zhi; Al Abdulla, Wael; Kumar, Nanjundan Ashok; Zhao, X.S.

    2016-01-01

    Graphene oxide (GO) was de-oxygenated using gallic acid under mild conditions to prepare reduced graphene oxide (RGO). The resultant RGO showed a lithium-ion storage capacity of 1280 mA h g −1 at a current density of 200 mA g −1 after 350 cycles when used as an anode for lithium ion batteries. The RGO was further used to stabilize silicon (Si) nanoparticles to prepare silicon-graphene composite electrode materials. Experimental results showed that a composite electrode prepared with a mass ratio of Si:GO = 1:2 exhibited the best lithium ion storage performance.

  17. Design and fabrication of non silicon substrate based MEMS energy harvester for arbitrary surface applications

    Science.gov (United States)

    Balpande, Suresh S.; Pande, Rajesh S.

    2016-04-01

    Internet of Things (IoT) uses MEMS sensor nodes and actuators to sense and control objects through Internet. IOT deploys millions of chemical battery driven sensors at different locations which are not reliable many times because of frequent requirement of charging & battery replacement in case of underground laying, placement at harsh environmental conditions, huge count and difference between demand (24 % per year) and availability (energy density growing rate 8% per year). Energy harvester fabricated on silicon wafers have been widely used in manufacturing MEMS structures. These devices require complex fabrication processes, costly chemicals & clean room. In addition to this silicon wafer based devices are not suitable for curved surfaces like pipes, human bodies, organisms, or other arbitrary surface like clothes, structure surfaces which does not have flat and smooth surface always. Therefore, devices based on rigid silicon wafers are not suitable for these applications. Flexible structures are the key solution for this problems. Energy transduction mechanism generates power from free surrounding vibrations or impact. Sensor nodes application has been purposefully selected due to discrete power requirement at low duty cycle. Such nodes require an average power budget in the range of about 0.1 microwatt to 1 mW over a period of 3-5 seconds. Energy harvester is the best alternate source in contrast with battery for sensor node application. Novel design of Energy Harvester based on cheapest flexible non silicon substrate i.e. cellulose acetate substrate have been modeled, simulated and analyzed on COMSOL multiphysics and fabricated using sol-gel spin coating setup. Single cantilever based harvester generates 60-75 mV peak electric potential at 22Hz frequency and approximately 22 µW power at 1K-Ohm load. Cantilever array can be employed for generating higher voltage by replicating this structure. This work covers design, optimization, fabrication of harvester and

  18. Complete coverage of reduced graphene oxide on silicon dioxide substrates

    International Nuclear Information System (INIS)

    Jingfeng Huang; Hu Chen; Yoong Alfred Tok Iing; Larisika, Melanie; Nowak, Christoph; Faulkner, Steve; Nimmo, Myra A.

    2014-01-01

    Reduced graphene oxide (RGO) has the advantage of an aqueous and industrial-scale production route. No other approaches can rival the RGO field effect transistor platform in terms of cost (oxide with ethanol, carbon islets are deposited preferentially at the edges of existing flakes. With a 2-h treatment, the standard deviation in electrical resistance of the treated chips can be reduced by 99.95%. Thus this process could enable RGO to be used in practical electronic devices. (special topic — international conference on nanoscience and technology, china 2013)

  19. Corrosion behavior of plasma sprayed hydroxyapatite and hydroxyapatite-silicon oxide coatings on AISI 304 for biomedical application

    International Nuclear Information System (INIS)

    Singh, Gurpreet; Singh, Hazoor; Sidhu, Buta Singh

    2013-01-01

    The objective of this study is to evaluate corrosion resistance of plasma sprayed hydroxyapatite (HA) and HA-silicon oxide (SiO 2 ) coated AISI 304 substrates. In HA-SiO 2 coatings, 10 wt% SiO 2 and 20 wt% SiO 2 was mixed with HA. The feedstock and coatings were characterized by X-ray diffraction and scanning electron microscopy/energy dispersive X-ray spectroscopy. The corrosion resistance was determined for the uncoated and coated samples. The corrosion resistance of the AISI 304 was found more after the deposition of the HA-SiO 2 coatings rather than HA coating and uncoated. All the coatings were crack free after 24 h dipping in Ringer's solution for electrochemical corrosion testing.

  20. The effect of oxidation on physical properties of porous silicon layers for optical applications

    Energy Technology Data Exchange (ETDEWEB)

    Pirasteh, Parasteh [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Charrier, Joel [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France)]. E-mail: joel.charrier@univ-rennes1.fr; Soltani, Ali [Institut d' Electronique, de Microemectronique et de Nanotechnologie, CNRS-UMR 8520, Cite Scientifique Avenue Poincare, BP 69, 59652 Villeneuve d' Ascq Cedex (France); Haesaert, Severine [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Haji, Lazhar [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Godon, Christine [Laboratoire de Physique Crystalline, Institut des Materiaux Jean Rouxel, 44322 Nantes Cedex 3 (France); Errien, Nicolas [Laboratoire de Physique Crystalline, Institut des Materiaux Jean Rouxel, 44322 Nantes Cedex 3 (France)

    2006-12-15

    In order to understand the optical loss mechanisms in porous silicon based waveguides, structural and optical studies have been performed. Scanning and transmission electron microscopic observations of porous silicon layers are obtained before and after an oxidation process at high temperature in wet O{sub 2}. Pore size and shape of heavily p-type doped Si wafers are estimated and correlated to the optical properties of the material before and after oxidation. The refractive index was measured and compared to that determined by the Bruggeman model.

  1. Substrate-bias effect on the breakdown characteristic in a new silicon high-voltage device structure

    International Nuclear Information System (INIS)

    Li Qi; Wang Weidong; Zhao Qiuming; Wei Xueming

    2012-01-01

    A novel silicon double-RESURF LDMOS structure with an improved breakdown characteristic by substrate bias technology (SB) is reported. The P-type epitaxial layer is embedded between an N-type drift region and an N-type substrate to block the conduction path in the off-state and change the distributions of the bulk electric field. The substrate bias strengthens the charge share effect of the drift region near the source, and the vertical electric field peak under the drain is decreased, which is especially helpful in improving the vertical breakdown voltage in a lateral power device with a thin drift region. The numerical results by MEDICI indicate that the breakdown voltage of the proposed device is increased by 97% compared with a conventional LDMOS, while maintaining a lowon-resistance. (semiconductor devices)

  2. A model for the formation of lattice defects at silicon oxide precipitates in silicon

    International Nuclear Information System (INIS)

    Vanhellemont, J.; Gryse, O. de; Clauws, P.

    2003-01-01

    The critical size of silicon oxide precipitates and the formation of lattice defects by the precipitates are discussed. An expression is derived allowing estimation of self-interstitial emission by spherical precipitates as well as strain build-up during precipitate growth. The predictions are compared with published experimental data. A model for stacking fault nucleation at oxide precipitates is developed based on strain and self-interstitial accumulation during the thermal history of the wafer. During a low-temperature treatment high levels of strain develop. During subsequent high-temperature treatment, excess strain energy in the precipitate is released by self-interstitial emission leading to favourable conditions for stacking fault nucleation

  3. The effects of trichloroethane HCl and ion-implantation on the oxidation rate of silicon

    International Nuclear Information System (INIS)

    Ahmed, W.; Ahmed, E.

    1994-01-01

    The thermal oxidation of silicon was studied using a large-scale industrial oxidation system. The characteristics of the oxides resulting from pure hydrogen/oxygen (Hsub(2)/Osub(2)), trichloroethane/oxygen (TCA/Osub(2) and hydrogen chloride/oxygen (HCI/Osub(2)) mixtures are compared. Both HCI and TCA addition to oxygen produced an enhanced oxidation rate. The oxidation rate for TCA/Osub(2) was approximately 30-40% higher than for HCI/Osub(2) mixtures. A molar ratio of TCA/Osub(2) of 1% gives an optimum process for very-large-scale industrial (VLSI) applications. However, 3% HCI/Osub(2) gives comparable results to 1% TCA. In addition, boron and phosphorus implantation are observed to increase the oxidation rate. Phosphorus doping of the silicon yields a higher rate than boron-doped wafers. This behaviour is explained in terms of surface damage and chemistry. It appears that the overall mechanisms governing all these processes are similar. (8 figures, 22 references) (Author)

  4. Shrinking of silicon nanocrystals embedded in an amorphous silicon oxide matrix during rapid thermal annealing in a forming gas atmosphere

    Science.gov (United States)

    van Sebille, M.; Fusi, A.; Xie, L.; Ali, H.; van Swaaij, R. A. C. M. M.; Leifer, K.; Zeman, M.

    2016-09-01

    We report the effect of hydrogen on the crystallization process of silicon nanocrystals embedded in a silicon oxide matrix. We show that hydrogen gas during annealing leads to a lower sub-band gap absorption, indicating passivation of defects created during annealing. Samples annealed in pure nitrogen show expected trends according to crystallization theory. Samples annealed in forming gas, however, deviate from this trend. Their crystallinity decreases for increased annealing time. Furthermore, we observe a decrease in the mean nanocrystal size and the size distribution broadens, indicating that hydrogen causes a size reduction of the silicon nanocrystals.

  5. An investigation of the adhesion of gold contacts on silicon detectors of nuclear radiation as a function of the substrate temperature

    International Nuclear Information System (INIS)

    Gumnerova, L.; Mikhajlov, M.

    1981-01-01

    The dependence of the adhesion of a thin gold film to an etched single crystal silicon substrate temperature and duration of aging is investigated. N-type silicon samples of 3Ω/m specific resistivity and 0.002 m thick are used. These samples are lapped by a series of abrasive powders with a grain diameter of 40 μm to 7 μm and etched by a 1:3:0.5 (HF:HNO 3 :CH 3 COOH) etching agent. The principal schemes of the evaporation equipment and the adhesion testing device are presented. Gold contacts are deposited at substrate temperature ranging from room temperature up to 433 K. The obtained gold films on the silicon substrates are tested and the results are given. It is seen that the adhesion of the gold film to the sample heated up to 373 K is about 50 times higher than the adhesion of the fresh unheated sample. The comparison between samples subjected to aging shows that the adhesion of heated samples is about 10 times higher and does not change essentially after ageing. Some possible explanations of this phenomena are given

  6. Transparent conducting oxide contacts and textured metal back reflectors for thin film silicon solar cells

    Science.gov (United States)

    Franken, R. H.-J.

    2006-09-01

    With the growing population and the increasing environmental problems of the 'common' fossil and nuclear energy production, the need for clean and sustainable energy sources is evident. Solar energy conversion, such as in photovoltaic (PV) systems, can play a major role in the urgently needed energy transition in electricity production. At the present time PV module production is dominated by the crystalline wafer technology. Thin film silicon technology is an alternative solar energy technology that operates at lower efficiencies, however, it has several significant advantages, such as the possibility of deposition on cheap (flexible) substrates and the much smaller silicon material consumption. Because of the small thickness of the solar cells, light trapping schemes are needed in order to obtain enough light absorption and current generation. This thesis describes the research on thin film silicon solar cells with the focus on the optimization of the transparent conducting oxide (TCO) layers and textured metal Ag substrate layers for the use as enhanced light scattering back reflectors in n-i-p type of solar cells. First we analyzed ZnO:Al (TCO) layers deposited in an radio frequent (rf) magnetron deposition system equipped with a 7 inch target. We have focused on the improvement of the electrical properties without sacrificing the optical properties by increasing the mobility and decreasing the grain boundary density. Furthermore, we described some of the effects on light trapping of ZnO:Al enhanced back reflectors. The described effects are able to explain the observed experimental data. Furthermore, we present a relation between the surface morphology of the Ag back contact and the current enhancement in microcrystalline (muc-Si:H) solar cells. We show the importance of the lateral feature sizes of the Ag surface on the light scattering and introduce a method to characterize the quality of the back reflector by combining the vertical and lateral feature sizes

  7. Strained silicon/silicon germanium heterojunction n-channel metal oxide semiconductor field effect transistors

    International Nuclear Information System (INIS)

    Olsen, Sarah H.

    2002-01-01

    Investigations into the performance of strained silicon/silicon-germanium (Si/SiGe) n-channel metal-oxide-semiconductor field effect transistors (MOSFETs) have been carried out. Theoretical predictions suggest that use of a strained Si/SiGe material system with advanced material properties compared with conventional silicon allows enhanced MOSFET device performance. This study has therefore investigated the practical feasibility of obtaining superior electrical performance using a Si/SiGe material system. The MOSFET devices consisted of a strained Si surface channel and were fabricated on relaxed SiGe material using a reduced thermal budget process in order to preserve the strain. Two batches of strained Si/SiGe devices fabricated on material grown by differing methods have been analysed and both showed good transistor action. A correlation of electrical and physical device data established that the electrical device behaviour was closely related to the SiGe material quality, which differed depending on growth technique. The cross-wafer variation in the electrical performance of the strained Si/SiGe devices was found to be a function of material quality, thus the viability of Si/SiGe MOSFET technology for commercial applications has been addressed. Of particular importance was the finding that large-scale 'cross-hatching' roughness associated with relaxed SiGe alloys led to degradation in the small-scale roughness at the gate oxide interface, which affects electrical device performance. The fabrication of strained Si MOSFET devices on high quality SiGe material thus enabled significant performance gains to be realised compared with conventional Si control devices. In contrast, the performance of devices fabricated on material with severe cross-hatching roughness was found to be diminished by the nanoscale oxide interface roughness. The effect of device processing on SiGe material with differing as-grown roughness has been carried out and compared with the reactions

  8. Laser-Induced, Local Oxidation of Copper Nanoparticle Films During Raman Measurements

    Science.gov (United States)

    Hight Walker, Angela R.; Cheng, Guangjun; Calizo, Irene

    2011-03-01

    The optical properties of gold and silver nanoparticles and their films have been thoroughly investigated as surface enhanced Raman scattering (SERS) substrates and chemical reaction promoters. Similar to gold and silver nanoparticles, copper nanoparticles exhibit distinct plasmon absorptions in the visible region. The work on copper nanoparticles and their films is limited due to their oxidization in air. However, their high reactivity actually provides an opportunity to exploit the laser-induced thermal effect and chemical reactions of these nanoparticles. Here, we present our investigation of the local oxidation of a copper nanoparticle film induced by a visible laser source during Raman spectroscopic measurements. The copper nanoparticle film is prepared by drop-casting chemically synthesized copper colloid onto silicon oxide/silicon substrate. The local oxidation induced by visible lasers in Raman spectroscopy is monitored with the distinct scattering peaks for copper oxides. Optical microscopy and scanning electron microscopy have been used to characterize the laser-induced morphological changes in the film. The results of this oxidation process with different excitation wavelengths and different laser powers will be presented.

  9. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    Science.gov (United States)

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  10. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  11. Adhesion energies of 2D graphene and MoS{sub 2} to silicon and metal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Torres, Jorge; Liu, Pei; Yun, Minhee [Department of Electrical and Computer Engineering, University of Pittsburgh, Pittsburgh, PA (United States); Zhu, Yisi [Materials Science Division, Argonne National Lab, Lemont, IL (United States); Lim, Seong Chu [Department of Energy Science, Sungkyunkwan University (SKKU), Suwon (Korea, Republic of); Center for Integrated Nanostructure Physics, Institute for Basic Science (IBS), Suwon (Korea, Republic of)

    2018-01-15

    In this paper, results for the adhesion energy of graphene and MoS{sub 2} to silicon based and metal substrates using the intercalation of nanoparticles method are presented. In this method, nanoparticles are dispersed onto the substrates before transferring the 2D material onto the substrate. This causes a blister to form, the width and height of which can be measured by AFM. Using a simple model then allows for the adhesion energy to be found. The substrates tested are SiO{sub 2}, Si{sub 3}N{sub 4}, gold, and platinum. Gold is found to have the highest adhesion energy per area of 7687.10 and 1207.26 mJ m{sup -2} for graphene and MoS{sub 2} respectively. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Effect of yttrium on the oxide scale adherence of pre-oxidized silicon-containing heat-resistant alloy

    International Nuclear Information System (INIS)

    Yan Jingbo; Gao Yimin; Shen Yudi; Yang Fang; Yi Dawei; Ye Zhaozhong; Liang Long; Du Yingqian

    2011-01-01

    Highlights: → AE experiment shows yttrium has a beneficial effect on the pre-oxidized HP40 alloy. → Yttrium facilitates the formation of internal oxide after 10 h of oxidation. → Internal oxide changes the rupture behaviour of the oxide scale. → Twins form in the internal oxide and improve the binding strength of the scale. - Abstract: This paper investigates the effect of the rare earth element yttrium on the rupture behaviour of the oxide scale on the silicon-containing heat-resistant alloy during cooling. After 10 h of oxidation, yttrium is found to facilitate the formation of internal oxides (silica) at the scale-matrix interface. Due to the twinning observed by scanning transmission electron microscopy (STEM) in silica, the critical strain value for the scale failure can be dramatically improved, and the formation of cracks at the scale-matrix interface is inhibited.

  13. Ellipsometry measurements of thickness of oxide and water layers on spherical and flat silicon surfaces

    International Nuclear Information System (INIS)

    Kenny, M.J.; Netterfield, R.; Wielunski, L.S.

    1998-01-01

    Full text: Ellipsometry has been used to measure the thickness of oxide layers on single crystal silicon surfaces, both flat and spherical and also to measure the extent of adsorption of moisture on the surface as a function of partial water vapour pressure. The measurements form part of an international collaborative project to make a precise determination of the Avogadro constant (ΔN A /N A -8 ) which will then be used to obtain an absolute definition of the kilogram, rather than one in terms of an artefact. Typically the native oxide layer on a cleaned silicon wafer is about 2 nm thick. On a polished sphere this oxide layer is typically 8 to 10 nm thick, the increased thickness being attributed to parameters related to the polishing process. Ellipsometry measurements on an 89 mm diameter polished silicon sphere at both VUW and CSIRO indicated a SiO 2 layer at 7 to 10 nm thick. It was observed that this thickness varied regularly. The crystal orientation of the sphere was determined using electron patterns generated from an electron microscope and the oxide layer was then measured through 180 arcs of great circles along (110) and (100) planes. It was observed that the thickness varied systematically with orientation. The minimum thickness was 7.4 nm at the axis (softest direction in silicon) and the greatest thickness was 9.5 nm at the axis (hardest direction in silicon). This is similar to an orientation dependent cubic pattern which has been observed to be superimposed on polished silicon spheres. At VUW, the sphere was placed in an evacuated bell jar and the ellipsometry signal was observed as the water vapour pressure was progressively increased up to saturation. The amount of water vapour adsorbed at saturation was one or two monolayers, indicating that the sphere does not wet

  14. The effect of baking conditions on the effective contact areas of screen-printed silver layer on silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Tietun Sun; Jianmin Miao; Rongming Lin; Yongqing Fu [Nanyang Technological Univ., Micromachines Lab., Singapore (Singapore)

    2005-01-01

    In this paper, Ag-based paste was screen-printed on polished as well as on textured p-type (100) single crystalline silicon wafers. Three types of baking processes were studied: the tube furnace, the belt furnace and the hot plate baking. The effective contact areas of Ag/Si system were measured with a novel method, namely metal insulator semiconductor structure measurement. The results show that after baking on the hot plate at 400 deg C for 5 min, the size and number of pores in the Ag film layer as well as at the interface between silver layer and silicon decreases significantly, the effective contact area also increases about 20%, particularly on the textured silicon substrate. (Author)

  15. The effect of baking conditions on the effective contact areas of screen-printed silver layer on silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Tietun; Miao, Jianmin; Lin, Rongming; Fu, Yongqing [Micromachines Laboratory, School of Mechanical and Production Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2005-01-01

    In this paper, Ag-based paste was screen-printed on the polished as well as on the textured p-type (100) single crystalline silicon wafers. Three types of baking processes were studied: the tube furnace, the belt furnace and the hot plate baking. The effective contact areas of Ag/Si system were measured with a novel method, namely metal insulator semiconductor structure measurement. The results show that after baking on the hot plate at 400{sup o}C for 5min, the size and number of pores in the Ag film layer as well as at the interface between silver layer and silicon decreases significantly, the effective contact area also increases about 20%, particularly on the textured silicon substrate.

  16. Electronic transport through organophosphonate monolayers on silicon/silicon dioxide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bora, Achyut; Pathak, Anshuma; Tornow, Marc [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Liao, Kung-Ching; Schwartz, Jeffrey [Department of Chemistry, Princeton University, NJ (United States); Cattani-Scholz, Anna; Abstreiter, Gerhard [Walter Schottky Institut, TU Muenchen (Germany)

    2011-07-01

    Understanding the electronic transport through layered systems of organic functional layers on semiconductor surfaces is of major importance for future applications in nanoelectronics, photovoltaics and sensors. We have prepared self-assembled monolayers (SAMs) of 9,10-diphenyl-2,6-diphosphono-anthracene and 11-hydroxyundecyl phosphonic acid precursors on highly p-doped silicon surfaces coated with a 1 nm SiO{sub 2} layer. Contact angle, AFM and ellipsometry evidenced the homogeneity of the formed SAMs, and their thickness was determined to be 0.82{+-}0.07 nm and 1.13{+-}0.09 nm, respectively. We provided large area electrical contacts on top of the SAMs by a hanging Hg drop electrode. The measured I-V characteristics revealed an enhanced conductance of the aromatic vs. the aliphatic compounds, with current densities of the order of 10 A/m{sup 2} and 0.01 A/m{sup 2}, at 0.5 V, respectively. We analyzed the data in terms of non-resonant tunneling through the combined oxide-SAM barrier and found good qualitative agreement up to 0.2 V bias. Preliminary measurements on organized bilayers of anthracene bisphosphonates that were grown using techniques of coordination chemistry are discussed, too.

  17. Self-cleaning glass coating containing titanium oxide and silicon

    International Nuclear Information System (INIS)

    Araujo, A.O. de; Alves, A.K.; Berutti, F.A.; Bergmann, C.P.

    2009-01-01

    Using the electro spinning technique nano fibers of titanium oxide doped with silicon were synthesized. As precursor materials, titanium propoxide, silicon tetra propoxide and a solution of polyvinylpyrrolidone were used. The non-tissue material obtained was characterized by X-ray diffraction to determine the phase and crystallite size, BET method to determine the surface and SEM to analyze the microstructure of the fibers. After ultrasound dispersion of this material in ethanol, the glass coatings were made by dip-coating methodology. The influence of the removal velocity, the solution composition and the glass surface preparation were evaluated. The film was characterized by the contact angle of a water droplet in its surface. (author)

  18. Substrate pH and butterfly bush response to dolomitic lime or steel slag amendment

    Science.gov (United States)

    Steel slag is a fertilizer amendment with a high concentration of calcium oxide, and thus capable of raising substrate pH similar to dolomitic lime. Steel slag, however, contains higher concentrations of some nutrients, such as iron, manganese, and silicon, compared to dolomitic lime. The objectiv...

  19. Effect of annealing temperature on optical and electrical properties of metallophthalocyanine thin films deposited on silicon substrate

    Directory of Open Access Journals (Sweden)

    Skonieczny R.

    2016-09-01

    Full Text Available The cobalt phthalocyanine (CoPc thin films (300 nm thick deposited on n-type silicon substrate have been studied using micro-Raman spectroscopy, atomic force spectroscopy (AFM and I-V measurement. The CoPc thin layers have been deposited at room temperature by the quasi-molecular beam evaporation technique. The micro-Raman spectra of CoPc thin films have been recorded in the spectral range of 1000 cm-1 to 1900 cm-1 using 488 nm excitation wavelength. Moreover, using surface Raman mapping it was possible to obtain information about polymorphic forms distribution (before and after annealing of metallophthalocyanine (α and β form from polarized Raman spectra. The I-V characteristics of the Au/CoPc/n-Si/Al Schottky barrier were also investigated. The obtained results showed that influence of the annealing process plays a crucial role in the ordering and electrical conductivity of the molecular structure of CoPc thin films deposited on n-type silicon substrate.

  20. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    OpenAIRE

    Lee, Youngseok; Oh, Woongkyo; Dao, Vinh Ai; Hussain, Shahzada Qamar; Yi, Junsin

    2012-01-01

    It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT) solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO) process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0) which improves the efficie...

  1. Enhanced optical performance of electrochemically etched porous silicon carbide

    International Nuclear Information System (INIS)

    Naderi, N; Hashim, M R; Saron, K M A; Rouhi, J

    2013-01-01

    Porous silicon carbide (PSC) was successfully synthesized via electrochemical etching of an n-type hexagonal silicon carbide (6H-SiC) substrate using various current densities. The cyclic voltammograms of SiC dissolution show that illumination is required for the accumulation of carriers at the surface, followed by surface oxidation and dissolution of the solid. The morphological and optical characterizations of PSC were reported. Scanning electron microscopy results demonstrated that the current density can be considered an important etching parameter that controls the porosity and uniformity of PSC; hence, it can be used to optimize the optical properties of the porous samples. (paper)

  2. Design and simulation of a novel GaN based resonant tunneling high electron mobility transistor on a silicon substrate

    International Nuclear Information System (INIS)

    Chowdhury, Subhra; Biswas, Dhrubes; Chattaraj, Swarnabha

    2015-01-01

    For the first time, we have introduced a novel GaN based resonant tunneling high electron mobility transistor (RTHEMT) on a silicon substrate. A monolithically integrated GaN based inverted high electron mobility transistor (HEMT) and a resonant tunneling diode (RTD) are designed and simulated using the ATLAS simulator and MATLAB in this study. The 10% Al composition in the barrier layer of the GaN based RTD structure provides a peak-to-valley current ratio of 2.66 which controls the GaN based HEMT performance. Thus the results indicate an improvement in the current–voltage characteristics of the RTHEMT by controlling the gate voltage in this structure. The introduction of silicon as a substrate is a unique step taken by us for this type of RTHEMT structure. (paper)

  3. Ag Nanorods-Oxide Hybrid Array Substrates: Synthesis, Characterization, and Applications in Surface-Enhanced Raman Scattering

    Directory of Open Access Journals (Sweden)

    Lingwei Ma

    2017-08-01

    Full Text Available Over the last few decades, benefitting from the sufficient sensitivity, high specificity, nondestructive, and rapid detection capability of the surface-enhanced Raman scattering (SERS technique, numerous nanostructures have been elaborately designed and successfully synthesized as high-performance SERS substrates, which have been extensively exploited for the identification of chemical and biological analytes. Among these, Ag nanorods coated with thin metal oxide layers (AgNRs-oxide hybrid array substrates featuring many outstanding advantages have been proposed as fascinating SERS substrates, and are of particular research interest. The present review provides a systematic overview towards the representative achievements of AgNRs-oxide hybrid array substrates for SERS applications from diverse perspectives, so as to promote the realization of real-world SERS sensors. First, various fabrication approaches of AgNRs-oxide nanostructures are introduced, which are followed by a discussion on the novel merits of AgNRs-oxide arrays, such as superior SERS sensitivity and reproducibility, high thermal stability, long-term activity in air, corrosion resistivity, and intense chemisorption of target molecules. Next, we present recent advances of AgNRs-oxide substrates in terms of practical applications. Intriguingly, the recyclability, qualitative and quantitative analyses, as well as vapor-phase molecule sensing have been achieved on these nanocomposites. We further discuss the major challenges and prospects of AgNRs-oxide substrates for future SERS developments, aiming to expand the versatility of SERS technique.

  4. Enhanced Raman scattering in porous silicon grating.

    Science.gov (United States)

    Wang, Jiajia; Jia, Zhenhong; Lv, Changwu

    2018-03-19

    The enhancement of Raman signal on monocrystalline silicon gratings with varying groove depths and on porous silicon grating were studied for a highly sensitive surface enhanced Raman scattering (SERS) response. In the experiment conducted, porous silicon gratings were fabricated. Silver nanoparticles (Ag NPs) were then deposited on the porous silicon grating to enhance the Raman signal of the detective objects. Results show that the enhancement of Raman signal on silicon grating improved when groove depth increased. The enhanced performance of Raman signal on porous silicon grating was also further improved. The Rhodamine SERS response based on Ag NPs/ porous silicon grating substrates was enhanced relative to the SERS response on Ag NPs/ porous silicon substrates. Ag NPs / porous silicon grating SERS substrate system achieved a highly sensitive SERS response due to the coupling of various Raman enhancement factors.

  5. Phase transformation during silica cluster impact on crystal silicon substrate studied by molecular dynamics simulation

    International Nuclear Information System (INIS)

    Chen Ruling; Luo Jianbin; Guo Dan; Lu Xinchun

    2008-01-01

    The process of a silica cluster impact on a crystal silicon substrate is studied by molecular dynamics simulation. At the impact loading stage, crystal silicon of the impact zone transforms to a locally ordered molten with increasing the local temperature and pressure of the impact zone. And then the transient molten forms amorphous silicon directly as the local temperature and pressure decrease at the impact unloading stage. Moreover, the phase behavior between the locally ordered molten and amorphous silicon exhibits the reversible structural transition. The transient molten contains not only lots of four-fold atom but also many three- and five-fold atoms. And the five-fold atom is similar to the mixture structure of semi-Si-II and semi-bct5-Si. The structure transformation between five- and four-fold atoms is affected by both pressure and temperature. The structure transformation between three- and four-fold atoms is affected mostly by temperature. The direct structure transformation between five- and three-fold atoms is not observed. Finally, these five- and three-fold atoms are also different from the usual five- and three-fold deficient atoms of amorphous silicon. In addition, according to the change of coordination number of atoms the impact process is divided into six stages: elastic, plastic, hysteresis, phase regressive, adhesion and cooling stages

  6. Recovery of indium-tin-oxide/silicon heterojunction solar cells by thermal annealing

    OpenAIRE

    Morales Vilches, Ana Belén; Voz Sánchez, Cristóbal; Colina Brito, Mónica Alejandra; López Rodríguez, Gema; Martín García, Isidro; Ortega Villasclaras, Pablo Rafael; Orpella García, Alberto; Alcubilla González, Ramón

    2014-01-01

    The emitter of silicon heterojunction solar cells consists of very thin hydrogenated amorphous silicon layers deposited at low temperature. The high sheet resistance of this type of emitter requires a transparent conductive oxide layer, which also acts as an effective antireflection coating. The deposition of this front electrode, typically by Sputtering, involves a relatively high energy ion bombardment at the surface that could degrade the emitter quality. The work function of the tra...

  7. Iron oxide shell coating on nano silicon prepared from the sand for lithium-ion battery application

    Science.gov (United States)

    Furquan, Mohammad; Vijayalakshmi, S.; Mitra, Sagar

    2018-05-01

    Elemental silicon, due to its high specific capacity (4200 mAh g-1) and non-toxicity is expected to be an attractive anode material for Li-ion battery. But its huge expansion volume (> 300 %) during charging of battery, leads to pulverization and cracking in the silicon particles and causes sudden failure of the Li-ion battery. In this work, we have designed yolk-shell type morphology of silicon, prepared from carbon coated silicon nanoparticles soaked in aqueous solution of ferric nitrate and potassium hydroxide. The soaked silicon particles were dried and finally calcined at 800 °C for 30 minutes. The product obtained is deprived of carbon and has a kind of yolk-shell morphology of nano silicon with iron oxide coating (Si@Iron oxide). This material has been tested for half-cell lithium-ion battery configuration. The discharge capacity is found to be ≈ 600 mAh g-1 at a current rate of 1.0 A g-1 for 200 cycles. It has shown a stable performance as anode for Li-ion battery application.

  8. Black Silicon Solar Cells with Black Ribbons

    DEFF Research Database (Denmark)

    Davidsen, Rasmus Schmidt; Tang, Peter Torben; Mizushima, Io

    2016-01-01

    We present the combination of mask-less reactive ion etch (RIE) texturing and blackened interconnecting ribbons as a method for obtaining all-black solar panels, while using conventional, front-contacted solar cells. Black silicon made by mask-less reactive ion etching has total, average...... in the range 15.7-16.3%. The KOH-textured reference cell had an efficiency of 17.9%. The combination of black Si and black interconnecting ribbons may result in aesthetic, all-black panels based on conventional, front-contacted silicon solar cells....... reflectance below 0.5% across a 156x156 mm2 silicon (Si) wafer. Black interconnecting ribbons were realized by oxidizing copper resulting in reflectance below 3% in the visible wavelength range. Screen-printed Si solar cells were realized on 156x156 mm2 black Si substrates with resulting efficiencies...

  9. [Oxidation of sulfur-containing substrates by aboriginal and experimentally designed microbial communities].

    Science.gov (United States)

    Pivovarova, T A; Bulaev, A G; Roshchupko, P V; Belyĭ, A V; Kondrat'eva, T F

    2012-01-01

    Aboriginal and experimental (constructed of pure microbial cultures) communities of acidophilic chemolithotrophs have been studied. The oxidation of elemental sulfur, sodium thiosulfate, and potassium tetrathionate as sole sources of energy has been monitored. The oxidation rate of the experimental community is higher as compared to the aboriginal community isolated from a flotation concentrate of pyrrhotine-containing pyrite-arsenopyrite gold-arsenic sulfide ore. The degree of oxidation of the mentioned S substrates amounts to 17.91, 68.30, and 93.94% for the experimental microbial community and to 10.71, 56.03, and 79.50% for the aboriginal community, respectively. The degree of oxidation of sulfur sulfide forms in the ore flotation concentrate is 59.15% by the aboriginal microbial community and 49.40% by the experimental microbial community. Despite a higher rate of oxidation of S substrates as a sole source of energy by the experimental microbial community, the aboriginal community oxidizes S substrates at a higher rate in the flotation concentrate of pyrrhotine-containing pyrite-arsenopyrite gold-arsenic sulfide ore, from which it was isolated. Bacterial-chemical oxidation of the flotation concentrate by the aboriginal microbial community allows for the extraction of an additional 32.3% of gold from sulfide minerals, which is by 5.7% larger compared to the yield obtained by the experimental microbial community.

  10. A study of size dependent structure, morphology and luminescence behavior of CdS films on Si substrate

    International Nuclear Information System (INIS)

    Kaushik, Diksha; Singh, Ragini Raj; Sharma, Madhulika; Gupta, D.K.; Lalla, N.P.; Pandey, R.K.

    2007-01-01

    Size tunable cadmium sulfide (CdS) films deposited by a dip coating technique on silicon (100) and indium tin oxide/glass substrates have been characterized using X-ray diffraction, X-ray reflectivity, transmission electron microscopy, atomic force microscopy and photoluminescence spectroscopy. The structural characterization indicated growth of an oriented phase of cadmium sulfide. Transmission electron microscopy used to calculate the particle size indicated narrow size dispersion. The tendency of nanocrystalline CdS films to form ordered clusters of CdS quantum dots on silicon (100) substrate has been revealed by morphological studies using atomic force microscopy. The photoluminescence emission spectroscopy of the cadmium sulfide films has also been investigated. It is shown that the nanocrystalline CdS exhibit intense photoluminescence as compared to the large grained polycrystalline CdS films. The effect of quantum confinement also manifested as a blue shift of photoluminescence emission. It is shown that the observed photoluminescence behavior of CdS is substantially enhanced when the nanocrystallites are assembled on silicon (100) substrate

  11. Dewetting and deposition of thin films with insoluble surfactants from curved silicone hydrogel substrates.

    Science.gov (United States)

    Bhamla, M Saad; Balemans, Caroline; Fuller, Gerald G

    2015-07-01

    We investigate the stabilizing effect of insoluble surfactant monolayers on thin aqueous films. We first describe an experimental platform that enables the formation of aqueous films laden with dipalmitoylphosphatidylcholine (DPPC) monolayers on curved silicone hydrogel (SiHy) substrates. We show that these surfactant layers extend the lifetime of the aqueous films. The films eventually "dewet" by the nucleation and growth of dry areas and the onset of this dewetting can be controlled by the surface rheology of the DPPC layer. We thus demonstrate that increasing the interfacial rheology of the DPPC layer leads to stable films that delay dewetting. We also show that dewetting can be exploited to controllably pattern the underlying curved SiHy substrates with DPPC layers. Copyright © 2015 Elsevier Inc. All rights reserved.

  12. Direct-current substrate bias effects on amorphous silicon sputter-deposited films for thin film transistor fabrication

    International Nuclear Information System (INIS)

    Jun, Seung-Ik; Rack, Philip D.; McKnight, Timothy E.; Melechko, Anatoli V.; Simpson, Michael L.

    2005-01-01

    The effect that direct current (dc) substrate bias has on radio frequency-sputter-deposited amorphous silicon (a-Si) films has been investigated. The substrate bias produces a denser a-Si film with fewer defects compared to unbiased films. The reduced number of defects results in a higher resistivity because defect-mediated conduction paths are reduced. Thin film transistors (TFTs) that were completely sputter deposited were fabricated and characterized. The TFT with the biased a-Si film showed lower leakage (off-state) current, higher on/off current ratio, and higher transconductance (field effect mobility) than the TFT with the unbiased a-Si film

  13. Porous Aluminum Oxide and Magnesium Oxide Films Using Organic Hydrogels as Structure Matrices

    Directory of Open Access Journals (Sweden)

    Zimei Chen

    2018-03-01

    Full Text Available We describe the synthesis of mesoporous Al2O3 and MgO layers on silicon wafer substrates by using poly(dimethylacrylamide hydrogels as porogenic matrices. Hydrogel films are prepared by spreading the polymer through spin-coating, followed by photo-cross-linking and anchoring to the substrate surface. The metal oxides are obtained by swelling the hydrogels in the respective metal nitrate solutions and subsequent thermal conversion. Combustion of the hydrogel results in mesoporous metal oxide layers with thicknesses in the μm range and high specific surface areas up to 558 m2∙g−1. Materials are characterized by SEM, FIB ablation, EDX, and Kr physisorption porosimetry.

  14. Toward Annealing-Stable Molybdenum-Oxide-Based Hole-Selective Contacts For Silicon Photovoltaics

    KAUST Repository

    Essig, Stephanie

    2018-02-21

    Molybdenum oxide (MoOX) combines a high work function with broadband optical transparency. Sandwiched between a hydrogenated intrinsic amorphous silicon passivation layer and a transparent conductive oxide, this material allows a highly efficient hole-selective front contact stack for crystalline silicon solar cells. However, hole extraction from the Si wafer and transport through this stack degrades upon annealing at 190 °C, which is needed to cure the screen-printed Ag metallization applied to typical Si solar cells. Here, we show that effusion of hydrogen from the adjacent layers is a likely cause for this degradation, highlighting the need for hydrogen-lean passivation layers when using such metal-oxide-based carrier-selective contacts. Pre-MoOX-deposition annealing of the passivating a-Si:H layer is shown to be a straightforward approach to manufacturing MoOX-based devices with high fill factors using screen-printed metallization cured at 190 °C.

  15. Functionalization of silicon oxide using supercritical fluid deposition of 3,4-epoxybutyltrimethoxysilane for the immobilization of amino-modified oligonucleotide

    Energy Technology Data Exchange (ETDEWEB)

    Rull, Jordi [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); CEA, iRTSV, LCBM, Grenoble 38054 (France); CNRS, UMR 5249, Grenoble (France); Nonglaton, Guillaume, E-mail: guillaume.nonglaton@cea.fr [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); Costa, Guillaume; Fontelaye, Caroline [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); Marchi-Delapierre, Caroline; Ménage, Stéphane [Université Grenoble Alpes, Grenoble F38000 (France); CEA, iRTSV, LCBM, Grenoble 38054 (France); CNRS, UMR 5249, Grenoble (France); Marchand, Gilles [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France)

    2015-11-01

    Graphical abstract: - Highlights: • First example of grafting of 3,4-epoxybutyltrimethoxysilane (EBTMOS) onto silicon oxide by supercritical fluid deposition. • Extraordinary efficiency of the supercritical fluid deposition for the grafting of the EBTMOS compared with the conventional solution or vapor phase methodologies. • Demonstration of the efficiency of this functionalization process for the immobilization of amino-modified oligonucleotides. - Abstract: The functionalization of silicon oxide based substrates using silanes is generally performed through liquid phase methodologies. These processes involve a huge quantity of potentially toxic solvents and present some important disadvantages for the functionalization of microdevices or porous materials, for example the low diffusion. To overcome this drawback, solvent-free methodologies like molecular vapor deposition (MVD) or supercritical fluid deposition (SFD) have been developed. In this paper, the deposition process of 3,4-epoxybutyltrimethoxysilane (EBTMOS) on silicon oxide using supercritical carbon dioxide (scCO{sub 2}) as a solvent is studied for the first time. The oxirane ring of epoxy silanes readily reacts with amine group and is of particular interest for the grafting of amino-modified oligonucleotides or antibodies for diagnostic application. Then the ability of this specific EBTMOS layer to react with amine functions has been evaluated using the immobilization of amino-modified oligonucleotide probes. The presence of the probes is revealed by fluorescence using hybridization with a fluorescent target oligonucleotide. The performances of SFD of EBTMOS have been optimized and then compared with the dip coating and molecular vapor deposition methods, evidencing a better grafting efficiency and homogeneity, a lower reaction time in addition to the eco-friendly properties of the supercritical carbon dioxide. The epoxysilane layers have been characterized by surface enhanced ellipsometric

  16. Thermal processing and native oxidation of silicon nanoparticles

    International Nuclear Information System (INIS)

    Winters, Brandon J.; Holm, Jason; Roberts, Jeffrey T.

    2011-01-01

    In this study, Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), and electron energy loss spectroscopy (EELS) were used to investigate in-air oxidation of silicon nanoparticles ca. 11 nm in diameter. Particle samples were prepared first by extracting them from an RF plasma synthesis reactor, and then heating them in an inert carrier gas stream. The resulting particles had varying surface hydrogen coverages and relative amounts of SiH x (x = 1, 2, and 3), depending on the temperature to which they had been heated. The particles were allowed to oxidize in-air for several weeks. FTIR, XPS, and EELS analyses that were performed during this period clearly establish that adsorbed hydrogen retards oxidation, although in complex ways. In particular, particles that have been heated to intermediate hydrogen coverages oxidize more slowly in air than do freshly generated particles that have a much higher hydrogen content. In addition, the loss of surface hydride species at high processing temperatures results in fast initial oxidation and the formation of a self-limiting oxide layer. Analogous measurements made on deuterium-covered particles show broadly similar behavior; i.e., that oxidation is the slowest at some intermediate coverage of adsorbed deuterium.

  17. Self-Assembled Local Artificial Substrates of GaAs on Si Substrate

    Directory of Open Access Journals (Sweden)

    Frigeri C

    2010-01-01

    Full Text Available Abstract We propose a self-assembling procedure for the fabrication of GaAs islands by Droplet Epitaxy on silicon substrate. Controlling substrate temperature and amount of supplied gallium is possible to tune the base size of the islands from 70 up to 250 nm and the density from 107 to 109 cm−2. The islands show a standard deviation of base size distribution below 10% and their shape evolves changing the aspect ratio from 0.3 to 0.5 as size increases. Due to their characteristics, these islands are suitable to be used as local artificial substrates for the integration of III–V quantum nanostructures directly on silicon substrate.

  18. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  19. Combined Effect of Surface Nano-Topography and Delivery of Therapeutics on the Adhesion of Tumor Cells on Porous Silicon Substrates

    KAUST Repository

    De Vitis, S.

    2016-02-23

    Porous silicon is a nano material in which pores with different sizes, densities and depths are infiltrated in conventional silicon imparting it augmented properties including biodegradability, biocompatibility, photoluminescence. Here, we realized porous silicon substrates in which the pore size and the fractal dimension were varied over a significant range. We loaded the described substrates with a PtCl(O, O′ − acac)(DMSO) antitumor drug and determined its release profile as a function of pore size over time up to 15 days. We observed that the efficacy of delivery augments with the pore size moving from small (∼ 8nm, efficiency of delivery ∼ 0.2) to large (∼ 55nm, efficiency of delivery ∼ 0.7). Then, we verified the adhesion of MCF-7 breast cancer cells on the described substrates with and without the administration of the antitumor drug. This permitted to decouple and understand the coincidental effects of nano-topography and a controlled dosage of drugs on cell adhesion and growth. While large pore sizes guarantee elevated drug dosages, large fractal dimensions boost cell adhesion on a surface. For the particular case of tumor cells and the delivery of an anti-tumor drug, substrates with a small fractal dimension and large pore size hamper cell growth. The competition between nano-topography and a controlled dosage of drugs may either accelerate or block the adhesion of cells on a nanostructured surface, for applications in tissue engineering, regenerative medicine, personalized lab-on-a-chips, and the rational design of implantable drug delivery systems.

  20. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  1. Increasing the radiation resistance of single-crystal silicon epitaxial layers

    Directory of Open Access Journals (Sweden)

    Kurmashev Sh. D.

    2014-12-01

    Full Text Available The authors investigate the possibility of increasing the radiation resistance of silicon epitaxial layers by creating radiation defects sinks in the form of dislocation networks of the density of 109—1012 m–2. Such networks are created before the epitaxial layer is applied on the front surface of the silicon substrate by its preliminary oxidation and subsequent etching of the oxide layer. The substrates were silicon wafers KEF-4.5 and KDB-10 with a diameter of about 40 mm, grown by the Czochralski method. Irradiation of the samples was carried out using electron linear accelerator "Electronics" (ЭЛУ-4. Energy of the particles was 2,3—3,0 MeV, radiation dose 1015—1020 m–2, electron beam current 2 mA/m2. It is shown that in structures containing dislocation networks, irradiation results in reduction of the reverse currents by 5—8 times and of the density of defects by 5—10 times, while the mobility of the charge carriers is increased by 1,2 times. Wafer yield for operation under radiation exposure, when the semiconductor structures are formed in the optimal mode, is increased by 7—10% compared to the structures without dislocation networks. The results obtained can be used in manufacturing technology for radiation-resistant integrated circuits (bipolar, CMOS, BiCMOS, etc..

  2. Passivation mechanism in silicon heterojunction solar cells with intrinsic hydrogenated amorphous silicon oxide layers

    Science.gov (United States)

    Deligiannis, Dimitrios; van Vliet, Jeroen; Vasudevan, Ravi; van Swaaij, René A. C. M. M.; Zeman, Miro

    2017-02-01

    In this work, we use intrinsic hydrogenated amorphous silicon oxide layers (a-SiOx:H) with varying oxygen content (cO) but similar hydrogen content to passivate the crystalline silicon wafers. Using our deposition conditions, we obtain an effective lifetime (τeff) above 5 ms for cO ≤ 6 at. % for passivation layers with a thickness of 36 ± 2 nm. We subsequently reduce the thickness of the layers using an accurate wet etching method to ˜7 nm and deposit p- and n-type doped layers fabricating a device structure. After the deposition of the doped layers, τeff appears to be predominantly determined by the doped layers themselves and is less dependent on the cO of the a-SiOx:H layers. The results suggest that τeff is determined by the field-effect rather than by chemical passivation.

  3. Optimization of oxidation processes to improve crystalline silicon solar cell emitters

    Directory of Open Access Journals (Sweden)

    L. Shen

    2014-02-01

    Full Text Available Control of the oxidation process is one key issue in producing high-quality emitters for crystalline silicon solar cells. In this paper, the oxidation parameters of pre-oxidation time, oxygen concentration during pre-oxidation and pre-deposition and drive-in time were optimized by using orthogonal experiments. By analyzing experimental measurements of short-circuit current, open circuit voltage, series resistance and solar cell efficiency in solar cells with different sheet resistances which were produced by using different diffusion processes, we inferred that an emitter with a sheet resistance of approximately 70 Ω/□ performed best under the existing standard solar cell process. Further investigations were conducted on emitters with sheet resistances of approximately 70 Ω/□ that were obtained from different preparation processes. The results indicate that emitters with surface phosphorus concentrations between 4.96 × 1020 cm−3 and 7.78 × 1020 cm−3 and with junction depths between 0.46 μm and 0.55 μm possessed the best quality. With no extra processing, the final preparation of the crystalline silicon solar cell efficiency can reach 18.41%, which is an increase of 0.4%abs compared to conventional emitters with 50 Ω/□ sheet resistance.

  4. Al and Cu Implantation into Silicon Substrate for Ohmic Contact in Solar Cell Fabrication

    International Nuclear Information System (INIS)

    Sri Sulamdari; Sudjatmoko; Wirjoadi; Yunanto; Bambang Siswanto

    2002-01-01

    Research on the implantation of Al and Cu ions into silicon substrate for ohmic contact in solar cell fabrication has been carried using ion accelerator machine. Al and Cu ions are from 98% Al and 99.9% Cu powder ionized in ion source system. provided in ion implantor machine. Before implantation process, (0.5 x 1) cm 2 N type and P type silicon were washed in water and then etched in Cp-4A solution. After that, P type silicon were implanted with Al ions and N type silicon were implanted with Cu ions with the ions dose from 10 13 ion/cm 2 - 10 16 ion/cm 2 and energy 20 keV - 80 keV. Implanted samples were then annealed at temperature 400 o C - 850 o C. Implanted and annealed samples were characterized their resistivities using four point probe FPP-5000. It was found that at full electrically active conditions the ρ s for N type was 1.30 x 10 8 Ω/sq, this was achieved at ion dose 10 13 ion/cm 2 and annealing temperature 500 o C. While for P type, the ρ s was 1.13 x 10 2 Ω/sq, this was achieved at ion dose 10 13 ion/cm 2 and energy 40 keV, and annealing temperature 500 o C. (author)

  5. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Science.gov (United States)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  6. Substrate inhibition: Oxidation of D-sorbitol and D-mannitol by potassium periodate in alkaline medium

    Science.gov (United States)

    Lakshman Kumar, Y.; Venkata Nadh, R.; Radhakrishnamurti, P. S.

    2014-05-01

    In the oxidation of D-sorbitol and D-mannitol by potassium periodate in alkaline media, substrate inhibition was observed with both substrates, i.e., a decrease in the rate of the reaction was observed with an increase in the concentration of substrate. The substrate inhibition was attributed to the formation of stable complex between the substrate and periodate. The reactions were found to be first order in case of periodate and a positive fractional order with hydroxide ions. Arrhenius parameters were calculated for the oxidation of sorbitol and mannitol by potassium periodate in alkali media.

  7. Plastic properties of thin films on substrates as measured by submicron indentation hardness and substrate curvature techniques

    International Nuclear Information System (INIS)

    Doerner, M.F.; Gardner, D.S.; Nix, W.D.

    1986-01-01

    Substrate curvature and submicron indentation measurements have been used recently to study plastic deformation in thin films on substrates. In the present work both of these techniques have been employed to study the strength of aluminum and tungsten thin films on silicon substrates. In the case of aluminum films on silicon substrates, the film strength is found to increase with decreasing thickness. Grain size variations with film thickness do not account for the variations in strength. Wafer curvature measurements give strengths higher than those predicted from hardness measurements suggesting the substrate plays a role in strengthening the film. The observed strengthening effect with decreased thickness may be due to image forces on dislocations in the film due to the elastically stiffer silicon substrate. For sputtered tungsten films, where the substrate is less stiff than the film, the film strength decreases with decreasing film thickness

  8. Giant Dirac point shift of graphene phototransistors by doped silicon substrate current

    Directory of Open Access Journals (Sweden)

    Masaaki Shimatani

    2016-03-01

    Full Text Available Graphene is a promising new material for photodetectors due to its excellent optical properties and high-speed response. However, graphene-based phototransistors have low responsivity due to the weak light absorption of graphene. We have observed a giant Dirac point shift upon white light illumination in graphene-based phototransistors with n-doped Si substrates, but not those with p-doped substrates. The source-drain current and substrate current were investigated with and without illumination for both p-type and n-type Si substrates. The decay time of the drain-source current indicates that the Si substrate, SiO2 layer, and metal electrode comprise a metal-oxide-semiconductor (MOS capacitor due to the presence of defects at the interface between the Si substrate and SiO2 layer. The difference in the diffusion time of the intrinsic major carriers (electrons and the photogenerated electron-hole pairs to the depletion layer delays the application of the gate voltage to the graphene channel. Therefore, the giant Dirac point shift is attributed to the n-type Si substrate current. This phenomenon can be exploited to realize high-performance graphene-based phototransistors.

  9. Giant Dirac point shift of graphene phototransistors by doped silicon substrate current

    Energy Technology Data Exchange (ETDEWEB)

    Shimatani, Masaaki; Ogawa, Shinpei, E-mail: Ogawa.Shimpei@eb.MitsubishiElectric.co.jp; Fujisawa, Daisuke [Advanced Technology R& D Center, Mitsubishi Electric Corporation, 8-1-1 Tsukaguchi-Honmachi, Amagasaki, Hyogo 661-8661 (Japan); Okuda, Satoshi [Advanced Technology R& D Center, Mitsubishi Electric Corporation, 8-1-1 Tsukaguchi-Honmachi, Amagasaki, Hyogo 661-8661 (Japan); The Institute of the Scientific and Industrial Research, Osaka University, Ibaraki, Osaka 567-0047 (Japan); Kanai, Yasushi; Ono, Takao; Matsumoto, Kazuhiko [The Institute of the Scientific and Industrial Research, Osaka University, Ibaraki, Osaka 567-0047 (Japan)

    2016-03-15

    Graphene is a promising new material for photodetectors due to its excellent optical properties and high-speed response. However, graphene-based phototransistors have low responsivity due to the weak light absorption of graphene. We have observed a giant Dirac point shift upon white light illumination in graphene-based phototransistors with n-doped Si substrates, but not those with p-doped substrates. The source-drain current and substrate current were investigated with and without illumination for both p-type and n-type Si substrates. The decay time of the drain-source current indicates that the Si substrate, SiO{sub 2} layer, and metal electrode comprise a metal-oxide-semiconductor (MOS) capacitor due to the presence of defects at the interface between the Si substrate and SiO{sub 2} layer. The difference in the diffusion time of the intrinsic major carriers (electrons) and the photogenerated electron-hole pairs to the depletion layer delays the application of the gate voltage to the graphene channel. Therefore, the giant Dirac point shift is attributed to the n-type Si substrate current. This phenomenon can be exploited to realize high-performance graphene-based phototransistors.

  10. Temperature dependence of nickel oxide effect on the optoelectronic properties of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Riahi, R., E-mail: riahirim01@gmail.com [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Faculty of Sciences Tunis–El Manar University (Tunisia); Derbali, L. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Ouertani, B. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Environment Science and Technology of Borj-Cedria (Tunisia); Ezzaouia, H. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2017-05-15

    Highlights: • The treatment of porous silicon (PS) with nickel oxide (NiO) decreases the reflectivity significantly. • FTIR analysis showed a substitution of Si−H bonds to Si−O−Si and Si−O−Ni after the thermal annealing. • Annealing the treated NiO/PS at 400 °C leads to a noticeable improvement of the photoluminescence (PL) intensity. • A blueshift was obtained in the PL spectra due to the decrease of silicon nanocrystallites size after exceeding 400 °C. - Abstract: This paper investigates the effect of Nickel oxide (NiO) on the structural and optical properties of porous silicon (PS). Our investigations showed an obvious improvement of porous silicon optoelectronique properties after coating the PS with NiO thin film as a passivating process. The as-prepared NiO/PS thin film was subjected to a thermal annealing to study the effect of temperature on the efficiency of this treatment. The deposition of NiO onto the porous silicon layer was performed using the spray pyrolysis method. The surface modification of the as-prepared NiO/PS samples was investigated after annealing at various temperatures, using an infrared furnace, ranging between 300 °C and 600 °C. The X-ray Diffraction results showed that obtained films show cubic structure with preferred (200) plane orientation. We found an obvious dependence of the PS nanocrystallites size (nc-Si) to the annealing temperature. Photoluminescence (PL) is directly related to the electronic structure and transitions. The characteristic change of the band gap with decrease in size of the nanostructures can be pointed out by the observed blue shift in the photoluminescence spectra. Nickel oxide treatment of Porous silicon led to a significant increase of photoluminescence with a resulting blue-shift at higher annealing temperature. The surface morphology was examined by scanning electron microscope (SEM), and FTIR spectroscopy was used to study the chemical composition of the films. Moreover, the total

  11. Temperature dependence of nickel oxide effect on the optoelectronic properties of porous silicon

    International Nuclear Information System (INIS)

    Riahi, R.; Derbali, L.; Ouertani, B.; Ezzaouia, H.

    2017-01-01

    Highlights: • The treatment of porous silicon (PS) with nickel oxide (NiO) decreases the reflectivity significantly. • FTIR analysis showed a substitution of Si−H bonds to Si−O−Si and Si−O−Ni after the thermal annealing. • Annealing the treated NiO/PS at 400 °C leads to a noticeable improvement of the photoluminescence (PL) intensity. • A blueshift was obtained in the PL spectra due to the decrease of silicon nanocrystallites size after exceeding 400 °C. - Abstract: This paper investigates the effect of Nickel oxide (NiO) on the structural and optical properties of porous silicon (PS). Our investigations showed an obvious improvement of porous silicon optoelectronique properties after coating the PS with NiO thin film as a passivating process. The as-prepared NiO/PS thin film was subjected to a thermal annealing to study the effect of temperature on the efficiency of this treatment. The deposition of NiO onto the porous silicon layer was performed using the spray pyrolysis method. The surface modification of the as-prepared NiO/PS samples was investigated after annealing at various temperatures, using an infrared furnace, ranging between 300 °C and 600 °C. The X-ray Diffraction results showed that obtained films show cubic structure with preferred (200) plane orientation. We found an obvious dependence of the PS nanocrystallites size (nc-Si) to the annealing temperature. Photoluminescence (PL) is directly related to the electronic structure and transitions. The characteristic change of the band gap with decrease in size of the nanostructures can be pointed out by the observed blue shift in the photoluminescence spectra. Nickel oxide treatment of Porous silicon led to a significant increase of photoluminescence with a resulting blue-shift at higher annealing temperature. The surface morphology was examined by scanning electron microscope (SEM), and FTIR spectroscopy was used to study the chemical composition of the films. Moreover, the total

  12. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    Science.gov (United States)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C. N.; Mihailescu, I. N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A. C.; Luculescu, C. R.; Craciun, V.

    2012-11-01

    The influence of target-substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10-4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  13. Selective tuning of high-Q silicon photonic crystal nanocavities via laser-assisted local oxidation.

    Science.gov (United States)

    Chen, Charlton J; Zheng, Jiangjun; Gu, Tingyi; McMillan, James F; Yu, Mingbin; Lo, Guo-Qiang; Kwong, Dim-Lee; Wong, Chee Wei

    2011-06-20

    We examine the cavity resonance tuning of high-Q silicon photonic crystal heterostructures by localized laser-assisted thermal oxidation using a 532 nm continuous wave laser focused to a 2.5 μm radius spot-size. The total shift is consistent with the parabolic rate law. A tuning range of up to 8.7 nm is achieved with ∼ 30 mW laser powers. Over this tuning range, the cavity Qs decreases from 3.2×10(5) to 1.2×10(5). Numerical simulations model the temperature distributions in the silicon photonic crystal membrane and the cavity resonance shift from oxidation.

  14. Stabilization and operation of porous silicon photonic structures from near-ultraviolet to near-infrared using high-pressure water vapor annealing

    International Nuclear Information System (INIS)

    Gelloz, Bernard; Koshida, Nobuyoshi

    2010-01-01

    The effects of high-pressure water vapor annealing (HWA), electrochemical oxidation, and substrate resistivity on the properties of porous silicon Bragg mirrors and photoluminescent cavities have been investigated. The photonic structures treated by HWA show very good stability upon ageing in air whereas as-formed structures exhibit significant drifts in their optical properties. Using HWA with lightly doped porous silicon, the structure transparency is enhanced sufficiently to enable the possible photonic operation in the near-ultraviolet. However, the index contrast achievable with these structures is very low in the visible and near-infrared. Useful index contrasts in this range can be achieved with either lightly doped porous silicon treated by electrochemical oxidation and HWA or heavily doped porous silicon treated by HWA.

  15. Development of Silicon-substrate Based Fabry-Perot Etalons for far-IR Astrophysics

    Science.gov (United States)

    Stacey, Gordon

    We propose to design, construct and test silicon-substrate-based (SSB) mirrors necessary for high performance Fabry-Perot interferometers (FPIs) to be used in the 25-40 um mid-IR band. These mirrors will be fabricated from silicon wafers that are anti-reflection coated (ARC) by micromachining an artificial dielectric meta-material on one side, and depositing optimized gold-metalized patterns on the other. Two mirrors with the metalized surfaces facing one-another form the Fabry-Perot cavity, also known as the FPI etalon. The exterior surfaces of the silicon mirrors are anti-reflection coated for both good transmission in the science band, and to prevent unwanted parasitic FPI cavities from forming between the four surfaces (one anti-reflection coated, one metalized for each mirror) of the FPI etalon. The mirrors will be tested within a Miniature Cryogenic Scanning Fabry-Perot (MCSF) that we have designed through support of a previous NASA grant (NNX09AB95G). This design is based on our long experience in constructing and using scanning FPI in the mid-IR to submm range, and fits within test-beds we have on hand that are suitable for both warm and cold tests. The key technologies are the ARC and tuned mirrors that are enabled by silicon nano-machining techniques. The creation of these SSB mirrors promises greatly improved performance over previous versions of mid-IR to submm-band FPIs that are based on mirrors made from free-standing metal mesh stretched over support rings. Performance is improved both structurally and in terms of sensitivity, and is measured as the product of the cavity finesse times transmission. Our electromagnetic modeling suggests that SSB mirrors will improve this product by a factor of 2 over the best free standing mesh etalons available. This translates into a factor of sqrt(2) improvement in sensitivity per etalon, or a full factor of 2 when used in a tandem (dual etalon) FPI spectrometer. The SSB improvements are due to both the stiff (~ 0

  16. Effects of ion implantation on charges in the silicon--silicon dioxide system

    International Nuclear Information System (INIS)

    Learn, A.J.; Hess, D.W.

    1977-01-01

    Structures consisting of thermally grown oxide on silicon were implanted with boron, arsenic, or argon ions. For argon implantation through oxides, an increased fixed oxide charge (Q/sub ss/) was observed with the increase being greater for than for silicon. This effect is attributed to oxygen recoil which produces additional excess ionized silicon in the oxide of a type similar to that arising in thermal oxidation. Fast surface state (N/sub st/) generation was also noted which in most cases obscured the Q/sub ss/ increase. Of various heat treatments tested, only a 900 degreeC anneal in hydrogen annihilated N/sub st/ and allowed Q/sub ss/ measurement. Such N/sub st/ apparently arises as a consequence of implantation damage at the silicon--silicon dioxide interface. With the exception of boron implantations into thick oxides or through aluminum electrodes, reduction of the mobile ionic charge (Q/sub o/) was achieved by implantation. The reduction again is presumably damage related and is not negated by high-temperature annealing but may be counterbalanced by aluminum incorporation in the oxide

  17. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots

    Science.gov (United States)

    Yoo, Hana; Park, Soojin

    2010-06-01

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm × 5 cm.

  18. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Hana; Park, Soojin, E-mail: spark@unist.ac.kr [Interdisciplinary School of Green Energy, Ulsan National Institute of Science and Technology, Banyeon-ri 100, Ulsan 689-798 (Korea, Republic of)

    2010-06-18

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm x 5 cm.

  19. Low-field microwave absorption and magnetoresistance in iron nanostructures grown by electrodeposition on n-type lightly doped silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Felix, J.F. [Universidade Federal de Viçosa-UFV, Departamento de Física, 36570-900 Viçosa, MG (Brazil); Universidade de Brasília-UnB, Instituto de Física, Núcleo de Física Aplicada, 70910-900 Brasília, DF (Brazil); Figueiredo, L.C. [Universidade de Brasília-UnB, Instituto de Física, Núcleo de Física Aplicada, 70910-900 Brasília, DF (Brazil); Mendes, J.B.S. [Universidade Federal de Viçosa-UFV, Departamento de Física, 36570-900 Viçosa, MG (Brazil); Morais, P.C. [Universidade de Brasília-UnB, Instituto de Física, Núcleo de Física Aplicada, 70910-900 Brasília, DF (Brazil); Huazhong University of Science and Technology, School of Automation, 430074 Wuhan (China); Araujo, C.I.L. de., E-mail: dearaujo@ufv.br [Universidade de Brasília-UnB, Instituto de Física, Núcleo de Física Aplicada, 70910-900 Brasília, DF (Brazil)

    2015-12-01

    In this study we investigate magnetic properties, surface morphology and crystal structure in iron nanoclusters electrodeposited on lightly doped (100) n-type silicon substrates. Our goal is to investigate the spin injection and detection in the Fe/Si lateral structures. The samples obtained under electric percolation were characterized by magnetoresistive and magnetic resonance measurements with cycling the sweeping applied field in order to understand the spin dynamics in the as-produced samples. The observed hysteresis in the magnetic resonance spectra, plus the presence of a broad peak in the non-saturated regime confirming the low field microwave absorption (LFMA), were correlated to the peaks and slopes found in the magnetoresistance curves. The results suggest long range spin injection and detection in low resistive silicon and the magnetic resonance technique is herein introduced as a promising tool for analysis of electric contactless magnetoresistive samples. - Highlights: • Electrodeposition of Fe nanostructures on high resistive silicon substrates. • Spin polarized current among clusters through Si suggested by isotropic magnetoresistance. • Low field microwave absorption arising from the sample shape anisotropy. • Contactless magnetoresistive device characterization by resonance measurements.

  20. Anisotropy-based crystalline oxide-on-semiconductor material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  1. A study for the detection of ionizing particles with phototransistors on thick high-resistivity silicon substrates

    International Nuclear Information System (INIS)

    Batignani, G.; Angelini, C.; Bisogni, M.G.; Boscardin, M.; Bettarini, S.; Bondioli, M.; Bosisio, L.; Bucci, F.; Calderini, G.; Carpinelli, M.; Ciacchi, M.; Dalla Betta, G.F.; Dittongo, S.; Forti, F.; Giorgi, M.A.; Gregori, P.; Han, D.J.; Manfredi, P.F.; Manghisoni, M.; Marchiori, G.; Neri, N.; Novelli, M.; Paoloni, E.; Piemonte, C.; Rachevskaia, I.; Rama, M.; Ratti, L.; Re, V.; Rizzo, G.; Ronchin, S.; Rosso, V.; Simi, G.; Speziali, V.; Stefanini, A.; Zorzi, N.

    2004-01-01

    We report on bipolar NPN phototransistors fabricated at ITC-IRST on thick high-resistivity silicon substrates. The phototransistor emitter is composed of a phosphorus n+ implant, the base is a diffused high-energy boron implant, and the collector is the 600-800 μm thick silicon bulk, contacted on the backplane. We have studied the current amplification for two different doping profiles of the emitter, obtaining values of β ranging from 60 to 3000. For various emitter and base configurations, we measured the static device characteristics and extracted the leakage currents and the base resistance, verifying the fundamental relationship between them and the total base capacitances. The use of such phototransistors to detect ionizing particles is exploited and discussed

  2. A study for the detection of ionizing particles with phototransistors on thick high-resistivity silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Batignani, G. E-mail: giovanni.batignani@pi.infn.it; Angelini, C.; Bisogni, M.G.; Boscardin, M.; Bettarini, S.; Bondioli, M.; Bosisio, L.; Bucci, F.; Calderini, G.; Carpinelli, M.; Ciacchi, M.; Dalla Betta, G.F.; Dittongo, S.; Forti, F.; Giorgi, M.A.; Gregori, P.; Han, D.J.; Manfredi, P.F.; Manghisoni, M.; Marchiori, G.; Neri, N.; Novelli, M.; Paoloni, E.; Piemonte, C.; Rachevskaia, I.; Rama, M.; Ratti, L.; Re, V.; Rizzo, G.; Ronchin, S.; Rosso, V.; Simi, G.; Speziali, V.; Stefanini, A.; Zorzi, N

    2004-09-01

    We report on bipolar NPN phototransistors fabricated at ITC-IRST on thick high-resistivity silicon substrates. The phototransistor emitter is composed of a phosphorus n+ implant, the base is a diffused high-energy boron implant, and the collector is the 600-800 {mu}m thick silicon bulk, contacted on the backplane. We have studied the current amplification for two different doping profiles of the emitter, obtaining values of {beta} ranging from 60 to 3000. For various emitter and base configurations, we measured the static device characteristics and extracted the leakage currents and the base resistance, verifying the fundamental relationship between them and the total base capacitances. The use of such phototransistors to detect ionizing particles is exploited and discussed.

  3. High-stability transparent amorphous oxide TFT with a silicon-doped back-channel layer

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hyoung-Rae; Park, Jea-Gun [Hanyang University, Seoul (Korea, Republic of)

    2014-10-15

    We significantly reduced various electrical instabilities of amorphous indium gallium zinc oxide thin-film transistors (TFTs) by using the co-deposition of silicon on an a-IGZO back channel. This process showed improved stability of the threshold voltage (V{sub th}) under high temperature and humidity and negative gate-bias illumination stress (NBIS) without any reduction of IDS. The enhanced stability was achieved with silicon, which has higher metal-oxide bonding strengths than gallium does. Additionally, SiO{sub x} distributed on the a-IGZO surface reduced the adsorption and the desorption of H{sub 2}O and O{sub 2}. This process is applicable to the TFT manufacturing process with a variable sputtering target.

  4. Synchrotron x-ray reflectivity study of oxidation/passivation of copper and silicon

    International Nuclear Information System (INIS)

    Chu, Y.; Nagy, Z.; Parkhutik, V.; You, H.

    1999-01-01

    Synchrotron x-ray-scattering technique studies of copper and silicon electrochemical interfaces are reported. These two examples illustrate the application of synchrotron x-ray techniques for oxidation, passivation, and dissolution of metals and semiconductors

  5. Synchrotron x-ray reflectivity study of oxidation/passivation of copper and silicon.

    Energy Technology Data Exchange (ETDEWEB)

    Chu, Y.; Nagy, Z.; Parkhutik, V.; You, H.

    1999-07-21

    Synchrotron x-ray-scattering technique studies of copper and silicon electrochemical interfaces are reported. These two examples illustrate the application of synchrotron x-ray techniques for oxidation, passivation, and dissolution of metals and semiconductors.

  6. Thermal oxidation effect on structural and optical properties of heavily doped phosphorus polycrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Birouk, B.; Madi, D. [Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Cite Ouled Aissa, BP 98, Jijel (Algeria)

    2011-08-15

    The study reported in this paper contributes to better understanding the thermal oxidation effect on structural and optical properties of polycrystalline silicon heavily in situ P-LPCVD films. The deposits, doped at levels 3 x 10{sup 19} and 1.6 x 10{sup 20} cm{sup -3}, have been elaborated from silane decomposition (400 mTorrs, 605 C) on monosilicon substrate oriented left angle 111 right angle. The thermal oxidation was performed at temperatures: 850 C during 1 hour, 1000, 1050, and 1100 C during 15 minutes. The XRD spectra analysis pointed out significant left angle 111 right angle texture evolution, while in the case of left angle 220 right angle and left angle 311 right angle textures, the intensities are practically invariant (variations fall in the uncertainty intervals). The optical characterizations showed that refractive index and absorption coefficient are very sensitive to the oxidation treatment, mainly when the doping level is not very high. We think that atomic oxygen acts as defects passivating agent leading to carriers' concentration increasing. Besides, the optical behavior is modeled in visible and near infrared, by a seven-term polynomial function n {sup 2}=f({lambda} {sup 2}), with alternate signs, instead of theoretically unlimited terms number from Drude's model. It has been shown that fitting parameters fall on Gaussian curves like they do in the theoretical model. (orig.)

  7. The effect of thermal oxidation on the luminescence properties of nanostructured silicon.

    Science.gov (United States)

    Liu, Lijia; Sham, Tsun-Kong

    2012-08-06

    Herein is reported a detailed study of the luminescence properties of nanostructured Si using X-ray excited optical luminescence (XEOL) in combination with X-ray absorption near-edge structures (XANES). P-type Si nanowires synthesized via electroless chemical etching from Si wafers of different doping levels and porous Si synthesized using electrochemical method are examined under X-ray excitation across the Si K-, L(3,2) -, and O K-edges. It is found that while as-prepared Si nanostructures are weak light emitters, intense visible luminescence is observed from thermally oxidized Si nanowires and porous Si. The luminescence mechanism of Si upon oxidation is investigated by oxidizing nanostructured Si at different temperatures. Interestingly, the two luminescence bands observed show different response with the variation of absorption coefficient upon Si and O core-electron excitation in elemental silicon and silicon oxide. A correlation between luminescence properties and electronic structures is thus established. The implications of the finding are discussed in terms of the behavior of the oxygen deficient center (OCD) and non-bridging oxygen hole center (NBOHC). Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Flexible substrate compatible solution processed P-N heterojunction diodes with indium-gallium-zinc oxide and copper oxide

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, Ishan; Deepak, E-mail: saboo@iitk.ac.in

    2017-04-15

    Highlights: • Both n and p-type semiconductors are solution processed. • Temperature compatibility with flexible substrates such as polyimide. • Compatibility of p-type film (CuO) on n-type film (IZO). • Diode with rectification ratio of 10{sup 4} and operating voltage <1.5 V. • Construction of band alignment using XPS. - Abstract: Printed electronics on flexible substrates requires low temperature and solution processed active inks. With n-type indium-gallium-zinc oxide (IGZO) based electronics maturing for thin film transistor (TFT), we here demonstrate its heterojunction diode with p-copper oxide, prepared by sol-gel method and processed at temperatures compatible with polyimide substrates. The phase obtained for copper oxide is CuO. When coated on n-type oxide, it is prone to develop morphological features, which are minimized by annealing treatment. Diodes of p-CuO films with IGZO are of poor quality due to its high resistivity while, conducting indium-zinc oxide (IZO) films yielded good diode with rectification ratio of 10{sup 4} and operating voltage <1.5 V. A detailed measurement at the interface by X-ray photoelectron spectroscopy and optical absorption ascertained the band alignment to be of staggered type. Consistently, the current in the diode is established to be due to electrons tunnelling from n-IZO to p-CuO.

  9. Towards nanometer-spaced silicon contacts to proteins

    Science.gov (United States)

    Schukfeh, Muhammed I.; Sepunaru, Lior; Behr, Pascal; Li, Wenjie; Pecht, Israel; Sheves, Mordechai; Cahen, David; Tornow, Marc

    2016-03-01

    A vertical nanogap device (VND) structure comprising all-silicon contacts as electrodes for the investigation of electronic transport processes in bioelectronic systems is reported. Devices were fabricated from silicon-on-insulator substrates whose buried oxide (SiO2) layer of a few nanometers in thickness is embedded within two highly doped single crystalline silicon layers. Individual VNDs were fabricated by standard photolithography and a combination of anisotropic and selective wet etching techniques, resulting in p+ silicon contacts, vertically separated by 4 or 8 nm, depending on the chosen buried oxide thickness. The buried oxide was selectively recess-etched with buffered hydrofluoric acid, exposing a nanogap. For verification of the devices’ electrical functionality, gold nanoparticles were successfully trapped onto the nanogap electrodes’ edges using AC dielectrophoresis. Subsequently, the suitability of the VND structures for transport measurements on proteins was investigated by functionalizing the devices with cytochrome c protein from solution, thereby providing non-destructive, permanent semiconducting contacts to the proteins. Current-voltage measurements performed after protein deposition exhibited an increase in the junctions’ conductance of up to several orders of magnitude relative to that measured prior to cytochrome c immobilization. This increase in conductance was lost upon heating the functionalized device to above the protein’s denaturation temperature (80 °C). Thus, the VND junctions allow conductance measurements which reflect the averaged electronic transport through a large number of protein molecules, contacted in parallel with permanent contacts and, for the first time, in a symmetrical Si-protein-Si configuration.

  10. Towards nanometer-spaced silicon contacts to proteins

    International Nuclear Information System (INIS)

    Schukfeh, Muhammed I; Behr, Pascal; Tornow, Marc; Sepunaru, Lior; Li, Wenjie; Pecht, Israel; Sheves, Mordechai; Cahen, David

    2016-01-01

    A vertical nanogap device (VND) structure comprising all-silicon contacts as electrodes for the investigation of electronic transport processes in bioelectronic systems is reported. Devices were fabricated from silicon-on-insulator substrates whose buried oxide (SiO_2) layer of a few nanometers in thickness is embedded within two highly doped single crystalline silicon layers. Individual VNDs were fabricated by standard photolithography and a combination of anisotropic and selective wet etching techniques, resulting in p"+ silicon contacts, vertically separated by 4 or 8 nm, depending on the chosen buried oxide thickness. The buried oxide was selectively recess-etched with buffered hydrofluoric acid, exposing a nanogap. For verification of the devices’ electrical functionality, gold nanoparticles were successfully trapped onto the nanogap electrodes’ edges using AC dielectrophoresis. Subsequently, the suitability of the VND structures for transport measurements on proteins was investigated by functionalizing the devices with cytochrome c protein from solution, thereby providing non-destructive, permanent semiconducting contacts to the proteins. Current–voltage measurements performed after protein deposition exhibited an increase in the junctions’ conductance of up to several orders of magnitude relative to that measured prior to cytochrome c immobilization. This increase in conductance was lost upon heating the functionalized device to above the protein’s denaturation temperature (80 °C). Thus, the VND junctions allow conductance measurements which reflect the averaged electronic transport through a large number of protein molecules, contacted in parallel with permanent contacts and, for the first time, in a symmetrical Si–protein–Si configuration. (paper)

  11. Improving long term oxidation protection for {gamma}-TiAl substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bobzin, K.; Schlaefer, T.; Bruehl, M.; Linke, T.F. [Thermisches Spritzen, Institut fuer Oberflaechentechnik (IOT), RWTH Aachen University (Germany); Warda, T.

    2011-11-15

    In previous work, a thermal spray multilayer system consisting of Zirconia (ZrO{sub 2}) and MCrAlY top coat showed promising results regarding the oxidation behavior of the Gamma Titanium Aluminides substrates tested, which encouraged further research activities. Diffusion of substrate material was successfully inhibited by a ceramic Zirconia coating. A building up of a dense and stable oxide layer could be achieved by additional application of an MCrAlY top coat, leading to improved oxidation resistance and thus showing feasibility. In this work the main focus for development was put on enhancing adhesion and lowering residual stresses of the coatings in order to allow long term and cyclic testing without delamination taking place. Being a very brittle material, Gamma Titanium Aluminides require special surface treatment to enable roughening which is crucial for a strong mechanical bond between substrate and coating. Alternatives to conventional grit blasting as a standard preparation method were investigated. These were micro-abrasive blasting and blasting at elevated temperature ({approx}300-550 C) to allow a more ductile behavior. The paper will highlight the implications by means of these measures and will also show the present development status of the multilayer system. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Design and fabrication of non silicon substrate based MEMS energy harvester for arbitrary surface applications

    Energy Technology Data Exchange (ETDEWEB)

    Balpande, Suresh S., E-mail: balpandes@rknec.edu [Ph.D.. Scholar, Department of Electronics Engineering Shri Ramdeobaba College of Engineering & Management, Nagpur-13, (M.S.) (India); Pande, Rajesh S. [Professor, Department of Electronics Engineering Shri Ramdeobaba College of Engineering & Management, Nagpur-13, (M.S.) (India)

    2016-04-13

    Internet of Things (IoT) uses MEMS sensor nodes and actuators to sense and control objects through Internet. IOT deploys millions of chemical battery driven sensors at different locations which are not reliable many times because of frequent requirement of charging & battery replacement in case of underground laying, placement at harsh environmental conditions, huge count and difference between demand (24 % per year) and availability (energy density growing rate 8% per year). Energy harvester fabricated on silicon wafers have been widely used in manufacturing MEMS structures. These devices require complex fabrication processes, costly chemicals & clean room. In addition to this silicon wafer based devices are not suitable for curved surfaces like pipes, human bodies, organisms, or other arbitrary surface like clothes, structure surfaces which does not have flat and smooth surface always. Therefore, devices based on rigid silicon wafers are not suitable for these applications. Flexible structures are the key solution for this problems. Energy transduction mechanism generates power from free surrounding vibrations or impact. Sensor nodes application has been purposefully selected due to discrete power requirement at low duty cycle. Such nodes require an average power budget in the range of about 0.1 microwatt to 1 mW over a period of 3-5 seconds. Energy harvester is the best alternate source in contrast with battery for sensor node application. Novel design of Energy Harvester based on cheapest flexible non silicon substrate i.e. cellulose acetate substrate have been modeled, simulated and analyzed on COMSOL multiphysics and fabricated using sol-gel spin coating setup. Single cantilever based harvester generates 60-75 mV peak electric potential at 22Hz frequency and approximately 22 µW power at 1K-Ohm load. Cantilever array can be employed for generating higher voltage by replicating this structure. This work covers design, optimization, fabrication of

  13. Design and fabrication of non silicon substrate based MEMS energy harvester for arbitrary surface applications

    International Nuclear Information System (INIS)

    Balpande, Suresh S.; Pande, Rajesh S.

    2016-01-01

    Internet of Things (IoT) uses MEMS sensor nodes and actuators to sense and control objects through Internet. IOT deploys millions of chemical battery driven sensors at different locations which are not reliable many times because of frequent requirement of charging & battery replacement in case of underground laying, placement at harsh environmental conditions, huge count and difference between demand (24 % per year) and availability (energy density growing rate 8% per year). Energy harvester fabricated on silicon wafers have been widely used in manufacturing MEMS structures. These devices require complex fabrication processes, costly chemicals & clean room. In addition to this silicon wafer based devices are not suitable for curved surfaces like pipes, human bodies, organisms, or other arbitrary surface like clothes, structure surfaces which does not have flat and smooth surface always. Therefore, devices based on rigid silicon wafers are not suitable for these applications. Flexible structures are the key solution for this problems. Energy transduction mechanism generates power from free surrounding vibrations or impact. Sensor nodes application has been purposefully selected due to discrete power requirement at low duty cycle. Such nodes require an average power budget in the range of about 0.1 microwatt to 1 mW over a period of 3-5 seconds. Energy harvester is the best alternate source in contrast with battery for sensor node application. Novel design of Energy Harvester based on cheapest flexible non silicon substrate i.e. cellulose acetate substrate have been modeled, simulated and analyzed on COMSOL multiphysics and fabricated using sol-gel spin coating setup. Single cantilever based harvester generates 60-75 mV peak electric potential at 22Hz frequency and approximately 22 µW power at 1K-Ohm load. Cantilever array can be employed for generating higher voltage by replicating this structure. This work covers design, optimization, fabrication of

  14. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  15. Asymmetric band offsets in silicon heterojunction solar cells: Impact on device performance

    Energy Technology Data Exchange (ETDEWEB)

    Seif, Johannes Peter, E-mail: johannes.seif@alumni.epfl.ch; Ballif, Christophe; De Wolf, Stefaan [Photovoltaics and Thin-Film Electronics Laboratory, Institute of Microengineering (IMT), Ecole Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2002 Neuchâtel (Switzerland); Menda, Deneb; Özdemir, Orhan [Department of Physics, Yıldız Technical University, Davutpasa Campus, TR-34210 Esenler, Istanbul (Turkey); Descoeudres, Antoine; Barraud, Loris [CSEM, PV-Center, Jaquet-Droz 1, CH-2002 Neuchâtel (Switzerland)

    2016-08-07

    Amorphous/crystalline silicon interfaces feature considerably larger valence than conduction band offsets. In this article, we analyze the impact of such band offset asymmetry on the performance of silicon heterojunction solar cells. To this end, we use silicon suboxides as passivation layers—inserted between substrate and (front or rear) contacts—since such layers enable intentionally exacerbated band-offset asymmetry. Investigating all topologically possible passivation layer permutations and focussing on light and dark current-voltage characteristics, we confirm that to avoid fill factor losses, wider-bandgap silicon oxide films (of at least several nanometer thin) should be avoided in hole-collecting contacts. As a consequence, device implementation of such films as window layers—without degraded carrier collection—demands electron collection at the front and hole collection at the rear. Furthermore, at elevated operating temperatures, once possible carrier transport barriers are overcome by thermionic (field) emission, the device performance is mainly dictated by the passivation of its surfaces. In this context, compared to the standard amorphous silicon layers, the wide-bandgap oxide layers applied here passivate remarkably better at these temperatures, which may represent an additional benefit under practical operation conditions.

  16. Electrical properties of pressure quenched silicon by thermal spraying

    International Nuclear Information System (INIS)

    Tan, S.Y.; Gambino, R.J.; Sampath, S.; Herman, H.

    2007-01-01

    High velocity thermal spray deposition of polycrystalline silicon film onto single crystal substrates, yields metastable high pressure forms of silicon in nanocrystalline form within the deposit. The phases observed in the deposit include hexagonal diamond-Si, R-8, BC-8 and Si-IX. The peculiar attribute of this transformation is that it occurs only on orientation silicon substrate. The silicon deposits containing the high pressure phases display a substantially higher electrical conductivity. The resistivity profile of the silicon deposit containing shock induced metastable silicon phases identified by X-ray diffraction patterns. The density of the pressure induced polymorphic silicon is higher at deposit/substrate interface. A modified two-layer model is presented to explain the resistivity of the deposit impacted by the pressure induced polymorphic silicon generated by the thermal spraying process. The pressure quenched silicon deposits on the p - silicon substrate, with or without metastable phases, display the barrier potential of about 0.72 eV. The measured hall mobility value of pressure quenched silicon deposits is in the range of polycrystalline silicon. The significance of this work lies in the fact that the versatility of thermal spray may enable applications of these high pressure forms of silicon

  17. A silicon-on-insulator vertical nanogap device for electrical transport measurements in aqueous electrolyte solution

    Energy Technology Data Exchange (ETDEWEB)

    Strobel, Sebastian [Walter Schottky Institut, Technische Universitaet Muenchen, Am Coulombwall, D-85748 Garching (Germany); Arinaga, Kenji [Walter Schottky Institut, Technische Universitaet Muenchen, Am Coulombwall, D-85748 Garching (Germany); Hansen, Allan [Walter Schottky Institut, Technische Universitaet Muenchen, Am Coulombwall, D-85748 Garching (Germany); Tornow, Marc [Walter Schottky Institut, Technische Universitaet Muenchen, Am Coulombwall, D-85748 Garching (Germany)

    2007-07-25

    A novel concept for metal electrodes with few 10 nm separation for electrical conductance measurements in an aqueous electrolyte environment is presented. Silicon-on-insulator (SOI) material with 10 nm buried silicon dioxide serves as a base substrate for the formation of SOI plateau structures which, after recess-etching the thin oxide layer, thermal oxidation and subsequent metal thin film evaporation, feature vertically oriented nanogap electrodes at their exposed sidewalls. During fabrication only standard silicon process technology without any high-resolution nanolithographic techniques is employed. The vertical concept allows an array-like parallel processing of many individual devices on the same substrate chip. As analysed by cross-sectional TEM analysis the devices exhibit a well-defined material layer architecture, determined by the chosen material thicknesses and process parameters. To investigate the device in aqueous solution, we passivated the sample surface by a polymer layer, leaving a micrometre-size fluid access window to the nanogap region only. First current-voltage characteristics of a 65 nm gap device measured in 60 mM buffer solution reveal excellent electrical isolation behaviour which suggests applications in the field of biomolecular electronics in a natural environment.

  18. In-situ GISAXS study on the oxidation behavior of liquid Ga on Ni(Cu)/Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Weidong [College of Materials Science and Engineering, Qiqihar University, Qiqihar 161006 (China); Liu, Mingling [Department of Mechanical and Electrical Engineering, Qinhuangdao Institute of Technology, Qinhuangdao 066100 (China); Wu, Zhaojun [Department of Practice Teaching and Equipment Management, Qiqihar University, Qiqihar 161006 (China); Xing, Xueqing; Mo, Guang; Wu, Zhonghua [Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100049 (China); Liu, Hong, E-mail: lhong68@sina.com.cn [School of Biomedical Engineering, Capital Medical University, Beijing 100069 (China)

    2015-11-01

    Liquid Ga could be used as a flexible heat-transfer medium or contact medium in the synchrotron-radiation-based instruments. The chemical stability of liquid Ga on other metal surface determines the serviceability of liquid Ga. In this paper, the oxidation evolutions of liquid Ga on Ni and Cu substrates have been investigated by in-situ grazing incidence small angle X-ray scattering (GISAXS) as a function of substrate temperature. The liquid Ga on Ni and Cu substrates shows different oxidation behaviors. A successive and slower oxidation from oxide clusters to oxide layer takes place with temperature increasing from 25 to 190 °C on the surface of the Ga/Ni/Si specimen, but a quick oxidation occurs on the entire surface of the Ga/Cu/Si specimen at the initial 25 °C. The subsequent heating increases the surface roughness of both liquid Ga, but increases simultaneously the surface curvature of the Ga/Cu/Si specimen. The understanding of the substrate-dependent oxidation behavior of liquid Ga is beneficial to its application as a heat-transfer medium.

  19. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  20. X-ray and synchrotron studies of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Sivkov, V. N., E-mail: svn@dm.komisc.ru [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation); Lomov, A. A. [Russian Academy of Sciences, Physical-Technological Institute (Russian Federation); Vasil' ev, A. L. [Russian Academy of Sciences, Shubnikov Institute of Crystallography (Russian Federation); Nekipelov, S. V. [Komi State Pedagogical Institute (Russian Federation); Petrova, O. V. [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation)

    2013-08-15

    The results of comprehensive studies of layers of porous silicon of different conductivity types, grown by anodizing standard Si(111) substrates in an electrolyte based on fluoric acid and ethanol with the addition of 5% of iodine and kept in air for a long time, are discussed. Measurements are performed by scanning electron microscopy, high-resolution X-ray diffraction, and ultrasoft X-ray spectroscopy using synchrotron radiation. The structural parameters of the layers (thickness, strain, and porosity) and atomic and chemical composition of the porous-silicon surface are determined. It is found that an oxide layer 1.5-2.3-nm thick is formed on the surface of the silicon skeleton. The near-edge fine structure of the Si 2p absorption spectrum of this layer corresponds to the fine structure of the 2p spectrum of well coordinated SiO{sub 2}. In this case, the fine structure in the Si 2p-edge absorption region of the silicon skeleton is identical to that of the 2p absorption spectrum of crystalline silicon.

  1. Characterization of the porous anodic alumina nanostructures with a metal interlayer on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Chia-Hui; Chen, Hung-Ing; Hsiao, Jui-Ju; Wang, Jen-Cheng; Nee, Tzer-En, E-mail: neete@mail.cgu.edu.tw

    2014-04-15

    Porous anodic alumina (PAA) films produced by the anodization technique have made possible the mass production of porous nano-scale structures where the pore height and diameter are controllable. A metal interlayer is observed to have a significant influence on the characteristics of these PAA nanostructures. In this study, we investigate in-depth the effect of the current density on the properties of porous anodic alumina nanostructures with a metal interlayer. A thin film layer of tungsten (W) and titanium (Ti) was sandwiched between a porous anodic alumina film and a silicon (Si) substrate to form PAA/W/Si and PAA/Ti/Si structures. The material and optical characteristics of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates were studied using the scanning electron microscopy, X-ray diffraction (XRD), and temperature-dependent photoluminescence (PL) measurements. The current densities of the porous anodic alumina nanostructures with the metal interlayer are higher than for the PAA/Si, resulting in an increase of the growth rate of the oxide layer. It can be observed from the X-ray diffraction curves that there is more aluminum oxide inside the structure with the metal interlayer. Furthermore, it has been found that there is a reduction in the photoluminescence intensity of the oxygen vacancy with only one electron due to the formation of oxygen vacancies inside the aluminum oxide during the re-crystallization process. This leads to competition between the two kinds of different oxygen-deficient defect centers (F+ and F centers) in the carrier recombination mechanism from the PL spectra of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates. -- Highlights: • Study of porous anodic alumina (PAA) films with metal interlayers on silicon. • The highly ordered PAA film with a fairly regular nano-porous structure. • The luminescence properties of PAA films were

  2. MICROSTRUCTURING OF SILICON SINGLE CRYSTALS BY FIBER LASER IN HIGH-SPEED SCANNING MODE

    Directory of Open Access Journals (Sweden)

    T. A. Trifonova

    2015-11-01

    Full Text Available Subject of Study. The surface structure of the silicon wafers (substrate with a thermally grown silicon dioxide on the surface (of SiO2/Si is studied after irradiation by pulse fiber laser of ILI-1-20 type. The main requirements for exposure modes of the system are: the preservation of the integrity of the film of silicon dioxide in the process of microstructuring and the absence of interference of surrounding irradiated areas of the substrate. Method. Studies were carried out on silicon wafers KEF-4,5 oriented in the crystallographic plane (111 with the source (natural silicon dioxide (SiO2 with thickness of about 4 nm, and SiO2 with 40 nm and 150 nm thickness, grown by thermal oxidation in moist oxygen. Also, wafers KHB-10 oriented in the plane (100 with 500 nm thickness of thermal oxide were investigated. Irradiation of SiO2/Si system was produced by laser complex based on ytterbium fiber pulse laser ILI-1-20. Nominal output power of the laser was 20 W, and the laser wavelength was λ = 1062 nm. Irradiation was carried out by a focused beam spot with a diameter of 25 microns and a pulse repetition rate of 99 kHz. The samples with 150 nm and 40 nm thickness of SiO2 were irradiated at a power density equal to 1,2·102 W/cm2, and the samples of SiO2 with 500 nm thickness were irradiated at a power density equal to 2,0·102 W/cm2. Scanning was performed using a two-axis Coordinate Scanning Device based on VM2500+ drives with control via a PC with the software package "SinMarkTM." Only one scan line was used at the maximum speed of the beam equal to 8750 mm/s. Morphology control of the irradiated samples was conducted by an optical microscope ZeissA1M with high-resolution CCD array. A scanning probe microscope Nanoedicator of the NT-MDT company was used for structural measurements. Main Results. It has been shown that at a single exposure of high-frequency pulsed laser radiation on SiO2/Si system, with maintaining the integrity of the SiO2 film

  3. UV radiation hardness of silicon inversion layer solar cells

    International Nuclear Information System (INIS)

    Hezel, R.

    1990-01-01

    For full utilization of the high spectral response of inversion layer solar cells in the very-short-wavelength range of the solar spectrum sufficient ultraviolet-radiation hardness is required. In addition to the charge-induced passivation achieved by cesium incorporation into the silicon nitride AR coating, in this paper the following means for further drastic reduction of UV light-induced effects in inversion layer solar cells without encapsulation are introduced and interpretations are given: increasing the nitride deposition temperature, silicon surface oxidation at low temperatures, and texture etching and using higher substrate resistivities. High UV radiation tolerance and improvement of the cell efficiency could be obtained simultaneously

  4. Effect of substrate properties and thermal annealing on the resistivity of molybdenum thin films

    International Nuclear Information System (INIS)

    Schmid, U.; Seidel, H.

    2005-01-01

    In this study, the influence of substrate properties (e.g. roughness characteristics and chemical composition) on the electrical resistivity of evaporated molybdenum thin films is investigated as a function of varying parameters, such as film thickness (25-115 nm) and post-deposition annealing with temperatures up to T PDA = 900 deg. C. A thermally oxidized silicon wafer with very low surface roughness was used as one substrate type. In contrast, a low temperature co-fired ceramics substrate with a glass encapsulant printed in thick film technology is the representative for rough surface morphology. The electrical resistivity follows the prediction of the size effect up to T PDA = 600 deg. C independent of substrate nature. On the silicon-based substrate, the thickness-independent portion of the film resistivity ρ g in the 'as deposited' state is about 29 times higher than the corresponding bulk value for a mono-crystalline sample. Thin films of this refractory metal on the SiO 2 /Si substrate exhibit an average grain size of 4.9 nm and a negative temperature coefficient of resistivity (TCR). On the glass/ceramic-based substrate, however, ρ g is half the value as compared to that obtained on the SiO 2 /Si substrate and the TCR is positive

  5. Probiotic E. coli Nissle 1917 biofilms on silicone substrates for bacterial interference against pathogen colonization.

    Science.gov (United States)

    Chen, Quan; Zhu, Zhiling; Wang, Jun; Lopez, Analette I; Li, Siheng; Kumar, Amit; Yu, Fei; Chen, Haoqing; Cai, Chengzhi; Zhang, Lijuan

    2017-03-01

    Bacterial interference is an alternative strategy to fight against device-associated bacterial infections. Pursuing this strategy, a non-pathogenic bacterial biofilm is used as a live, protective barrier to fence off pathogen colonization. In this work, biofilms formed by probiotic Escherichia coli strain Nissle 1917 (EcN) are investigated for their potential for long-term bacterial interference against infections associated with silicone-based urinary catheters and indwelling catheters used in the digestive system, such as feeding tubes and voice prostheses. We have shown that EcN can form stable biofilms on silicone substrates, particularly those modified with a biphenyl mannoside derivative. These biofilms greatly reduced the colonization by pathogenic Enterococcus faecalis in Lysogeny broth (LB) for 11days. Bacterial interference is an alternative strategy to fight against device-associated bacterial infections. Pursuing this strategy, we use non-pathogenic bacteria to form a biofilm that serves as a live, protective barrier against pathogen colonization. Herein, we report the first use of preformed probiotic E. coli Nissle 1917 biofilms on the mannoside-presenting silicone substrates to prevent pathogen colonization. The biofilms serve as a live, protective barrier to fence off the pathogens, whereas current antimicrobial/antifouling coatings are subjected to gradual coverage by the biomass from the rapidly growing pathogens in a high-nutrient environment. It should be noted that E. coli Nissle 1917 is commercially available and has been used in many clinical trials. We also demonstrated that this probiotic strain performed significantly better than the non-commercial, genetically modified E. coli strain that we previously reported. Copyright © 2017 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  6. Band engineering of amorphous silicon ruthenium thin film and its near-infrared absorption enhancement combined with nano-holes pattern on back surface of silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Anran; Zhong, Hao [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Li, Wei, E-mail: wli@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Gu, Deen; Jiang, Xiangdong [School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Jiang, Yadong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2016-10-30

    Highlights: • The increase of Ru concentration leads to a narrower bandgap of a-Si{sub 1-x}Ru{sub x} thin film. • The absorption coefficient of a-Si{sub 1-x}Ru{sub x} is higher than that of SiGe. • A double-layer absorber comprising of a-Si{sub 1-x}Ru{sub x} film and Si nano-holes layer is achieved. - Abstract: Silicon is widely used in semiconductor industry but has poor performance in near-infrared photoelectronic devices because of its bandgap limit. In this study, a narrow bandgap silicon rich semiconductor is achieved by introducing ruthenium (Ru) into amorphous silicon (a-Si) to form amorphous silicon ruthenium (a-Si{sub 1-x}Ru{sub x}) thin films through co-sputtering. The increase of Ru concentration leads to an enhancement of light absorption and a narrower bandgap. Meanwhile, a specific light trapping technique is employed to realize high absorption of a-Si{sub 1-x}Ru{sub x} thin film in a finite thickness to avoid unnecessary carrier recombination. A double-layer absorber comprising of a-Si{sub 1-x}Ru{sub x} thin film and silicon random nano-holes layer is formed on the back surface of silicon substrates, and significantly improves near-infrared absorption while the leaky light intensity is less than 5%. This novel absorber, combining narrow bandgap thin film with light trapping structure, may have a potential application in near-infrared photoelectronic devices.

  7. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  8. Identifying suitable substrates for high-quality graphene-based heterostructures

    Science.gov (United States)

    Banszerus, L.; Janssen, H.; Otto, M.; Epping, A.; Taniguchi, T.; Watanabe, K.; Beschoten, B.; Neumaier, D.; Stampfer, C.

    2017-06-01

    We report on a scanning confocal Raman spectroscopy study investigating the strain-uniformity and the overall strain and doping of high-quality chemical vapour deposited (CVD) graphene-based heterostuctures on a large number of different substrate materials, including hexagonal boron nitride (hBN), transition metal dichalcogenides, silicon, different oxides and nitrides, as well as polymers. By applying a hBN-assisted, contamination free, dry transfer process for CVD graphene, high-quality heterostructures with low doping densities and low strain variations are assembled. The Raman spectra of these pristine heterostructures are sensitive to substrate-induced doping and strain variations and are thus used to probe the suitability of the substrate material for potential high-quality graphene devices. We find that the flatness of the substrate material is a key figure for gaining, or preserving high-quality graphene.

  9. A photoemission study of the effectiveness of nickel, manganese, and cobalt based corrosion barriers for silicon photo-anodes during water oxidation

    Energy Technology Data Exchange (ETDEWEB)

    O' Connor, Robert; Bogan, Justin; McCoy, Anthony; Byrne, Conor; Hughes, Greg [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland)

    2016-05-21

    Silicon is an attractive material for solar water splitting applications due to its abundance and its capacity to absorb a large fraction of incident solar radiation. However, it has not received as much attention as other materials due to its tendency to oxidize very quickly in aqueous environments, particularly when it is employed as the anode where it drives the oxygen evolution reaction. In recent years, several works have appeared in the literature examining the suitability of thin transition metal oxide films grown on top of the silicon to act as a corrosion barrier. The film should be transparent to solar radiation, allow hole transport from the silicon surface to the electrolyte, and stop the diffusion of oxygen from the electrolyte back to the silicon. In this work, we compare Mn-oxide, Co-oxide, and Ni-oxide thin films grown using physical vapor deposition in order to evaluate which material offers the best combination of photocurrent and corrosion protection. In addition to the electrochemical data, we also present a detailed before-and-after study of the surface chemistry of the films using x-ray photoelectron spectroscopy. This approach allows for a comprehensive analysis of the mechanisms by which the corrosion barriers protect the underlying silicon, and how they degrade during the water oxidation reaction.

  10. Study of oxide facing at silicone detectors of ionization detectors

    International Nuclear Information System (INIS)

    Kopestansky, J.; Tykva, R.

    1999-01-01

    Formation of oxide facing on silicone in discrete phases of technological preparation of detectors and interaction of gold (aluminium) steamed with SiO x layer were studied. The homogeneity of Au and Si) x layers and interface Au-SiO x and SiO x -Si were examined. The methods SIMS, and partially XPS, AES and RBS were used

  11. Surface thiolation of silicon for antifouling application.

    Science.gov (United States)

    Zhang, Xiaoning; Gao, Pei; Hollimon, Valerie; Brodus, DaShan; Johnson, Arion; Hu, Hongmei

    2018-02-07

    Thiol groups grafted silicon surface was prepared as previously described. 1H,1H,2H,2H-perfluorodecanethiol (PFDT) molecules were then immobilized on such a surface through disulfide bonds formation. To investigate the contribution of PFDT coating to antifouling, the adhesion behaviors of Botryococcus braunii (B. braunii) and Escherichia coli (E. coli) were studied through biofouling assays in the laboratory. The representative microscope images suggest reduced B. braunii and E. coli accumulation densities on PFDT integrated silicon substrate. However, the antifouling performance of PFDT integrated silicon substrate decreased over time. By incubating the aged substrate in 10 mM TCEP·HCl solution for 1 h, the fouled PFDT coating could be removed as the disulfide bonds were cleaved, resulting in reduced absorption of algal cells and exposure of non-fouled silicon substrate surface. Our results indicate that the thiol-terminated substrate can be potentially useful for restoring the fouled surface, as well as maximizing the effective usage of the substrate.

  12. RF plasma cleaning of silicon substrates with high-density polyethylene contamination

    Science.gov (United States)

    Cagomoc, Charisse Marie D.; De Leon, Mark Jeffry D.; Ebuen, Anna Sophia M.; Gilos, Marlo Nicole R.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    Upon contact with a polymeric material, microparticles from the polymer may adhere to a silicon (Si) substrate during device processing. The adhesion contaminates the surface and, in turn, leads to defects in the fabricated Si-based microelectronic devices. In this study, Si substrates with artificially induced high-density polyethylene (HDPE) contamination was exposed to 13.56 MHz radio frequency (RF) plasma utilizing argon and oxygen gas admixtures at a power density of 5.6 W/cm2 and a working pressure of 110 Pa for up to 6 min of treatment. Optical microscopy studies revealed the removal of up to 74% of the polymer contamination upon plasma exposure. Surface free energy (SFE) increased owing to the removal of contaminants as well as the formation of polar groups on the Si surface after plasma treatment. Atomic force microscopy scans showed a decrease in surface roughness from 12.25 nm for contaminated samples to 0.77 nm after plasma cleaning. The smoothening effect can be attributed to the removal of HDPE particles from the surface. In addition, scanning electron microscope images showed that there was a decrease in the amount of HDPE contaminants adhering onto the surface after plasma exposure.

  13. The effect of substrate orientation on the kinetics and thermodynamics of initial oxide-film growth on metals

    Energy Technology Data Exchange (ETDEWEB)

    Reichel, Friederike

    2007-11-19

    This thesis addresses the effect of the parent metal-substrate orientation on the thermodynamics and kinetics of ultra-thin oxide-film growth on bare metals upon their exposure to oxygen gas at low temperatures (up to 650 K). A model description has been developed to predict the thermodynamically stable microstructure of a thin oxide film grown on its bare metal substrate as function of the oxidation conditions and the substrate orientation. For Mg and Ni, the critical oxide-film thickness is less than 1 oxide monolayer and therefore the initial development of an amorphous oxide phase on these metal substrates is unlikely. Finally, for Cu and densely packed Cr and Fe metal surfaces, oxide overgrowth is predicted to proceed by the direct formation and growth of a crystalline oxide phase. Further, polished Al single-crystals with {l_brace}111{r_brace}, {l_brace}100{r_brace} and {l_brace}110{r_brace} surface orientations were introduced in an ultra-high vacuum system for specimen processing and analysis. After surface cleaning and annealing, the bare Al substrates have been oxidized by exposure to pure oxygen gas. During the oxidation, the oxide-film growth kinetics has been established by real-time in-situ spectroscopic ellipsometry. After the oxidation, the oxide-film microstructures were investigated by angle-resolved X-ray photoelectron spectroscopy and low energy electron diffraction. Finally, high-resolution transmission electron microscopic analysis was applied to study the microstructure and morphology of the grown oxide films on an atomic scale. (orig.)

  14. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    International Nuclear Information System (INIS)

    Cecchi, S.; Chrastina, D.; Frigerio, J.; Isella, G.; Gatti, E.; Guzzi, M.; Müller Gubler, E.; Paul, D. J.

    2014-01-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si 1−x Ge x buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si 1−x Ge x layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach

  15. Probing photo-carrier collection efficiencies of individual silicon nanowire diodes on a wafer substrate.

    Science.gov (United States)

    Schmitt, S W; Brönstrup, G; Shalev, G; Srivastava, S K; Bashouti, M Y; Döhler, G H; Christiansen, S H

    2014-07-21

    Vertically aligned silicon nanowire (SiNW) diodes are promising candidates for the integration into various opto-electronic device concepts for e.g. sensing or solar energy conversion. Individual SiNW p-n diodes have intensively been studied, but to date an assessment of their device performance once integrated on a silicon substrate has not been made. We show that using a scanning electron microscope (SEM) equipped with a nano-manipulator and an optical fiber feed-through for tunable (wavelength, power using a tunable laser source) sample illumination, the dark and illuminated current-voltage (I-V) curve of individual SiNW diodes on the substrate wafer can be measured. Surprisingly, the I-V-curve of the serially coupled system composed of SiNW/wafers is accurately described by an equivalent circuit model of a single diode and diode parameters like series and shunting resistivity, diode ideality factor and photocurrent can be retrieved from a fit. We show that the photo-carrier collection efficiency (PCE) of the integrated diode illuminated with variable wavelength and intensity light directly gives insight into the quality of the device design at the nanoscale. We find that the PCE decreases for high light intensities and photocurrent densities, due to the fact that considerable amounts of photo-excited carriers generated within the substrate lead to a decrease in shunting resistivity of the SiNW diode and deteriorate its rectification. The PCE decreases systematically for smaller wavelengths of visible light, showing the possibility of monitoring the effectiveness of the SiNW device surface passivation using the shown measurement technique. The integrated device was pre-characterized using secondary ion mass spectrometry (SIMS), TCAD simulations and electron beam induced current (EBIC) measurements to validate the properties of the characterized material at the single SiNW diode level.

  16. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Youroukov, S; Kitova, S; Danev, G [Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 113 Sofia (Bulgaria)], E-mail: skitova@clf.bas.bg

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO{sub 2} together with concurrent bombardment with low energy N{sub 2}{sup +} ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N{sub 2}{sup +} ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV)

  17. A primary reduced TCA flux governs substrate oxidation in T2D skeletal muscle

    DEFF Research Database (Denmark)

    Gaster, Michael

    2012-01-01

    Our current knowledge on substrate oxidation in skeletal muscle in relation to insulin resistance and type 2 diabetes (T2D) originate mainly from in vivo studies. The oxidative capacity of skeletal muscle is highly influenced by physical activity, ageing, hormonal status, and fiber type composition...... further regulatory mechanism to our understanding of substrate oxidation in human skeletal muscle during normo- an pathophysiological conditions, focusing especially on the governing influence of a primary reduced TCA flux for the diabetic phenotype in skeletal muscle....

  18. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    Science.gov (United States)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  19. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  20. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  1. Patterned growth of carbon nanotubes on Si substrates without predeposition of metal catalysts

    Science.gov (United States)

    Chen, Y.; Yu, J.

    2005-07-01

    Aligned carbon nanotubes (CNTs) can be readily synthesized on quartz or silicon-oxide-coated Si substrates using a chemical vapor deposition method, but it is difficult to grow them on pure Si substrates without predeposition of metal catalysts. We report that aligned CNTs were grown by pyrolysis of iron phthalocyanine at 1000°C on the templates created on Si substrates with simple mechanical scratching. Scanning electron microscopy and x-ray energy spectroscopy analysis revealed that the trenches and patterns created on the surface of Si substrates were preferred nucleation sites for nanotube growth due to a high surface energy, metastable surface structure, and possible capillarity effect. A two-step pyrolysis process maintained Fe as an active catalyst.

  2. POLYPYRROLE COATED CELLULOSIC SUBSTRATE MODIFIED BY COPPER OXIDE AS ELECTRODE FOR NITRATE ELECTROREDUCTION

    OpenAIRE

    A. HAMAM; D. OUKIL; A. DIB; H. HAMMACHE; L. MAKHLOUFI; B. SAIDANI

    2015-01-01

    The aim of this work is to synthesize polypyrrole (PPy) films on nonconducting cellulosic substrate and modified by copper oxide particles for use in the nitrate electroreduction process. Firstly, the chemical polymerization of polypyrrole onto cellulosic substrate is conducted by using FeCl3 as an oxidant and pyrrole as monomer. The thickness and topography of the different PPy films obtained were estimated using a profilometer apparatus. The electrochemical reactivity of the obtained electr...

  3. Gelatin Template Synthesis of Aluminum Oxide and/or Silicon Oxide Containing Micro/Mesopores Using the Proteic Sol-Gel Method

    Directory of Open Access Journals (Sweden)

    Amanda Sayure Kasuya de Oliveira

    2017-01-01

    Full Text Available Aluminum oxide and/or silicon oxide-based supports were synthesized by proteic sol-gel method. The characterization was performed through the analysis of TG, XRD, FTIR, SEM, and N2 physisorption. The XRD diffractograms showed an amorphous material profile. TG results indicate the total liberation of the organic and inorganic material in the calcination temperature used, occurring in different mass loss range. This piece of information was reaffirmed by the FTIR spectra, which presented characteristic bands of gelatin structure before calcinations which disappear in the spectrum of the solid after calcinations, indicating the loss of organic matter from gelatin after heat treatment. The spectra exhibited M-O stretching vibration at low wavenumbers after calcinations related to metal oxides. The acquired images by SEM suggest the obtaining of a highly porous material with very different characteristics depending on the composition of the support. The N2 isotherms indicate the presence of a micro/mesoporous oxide with interesting textural properties, particularly for the supports containing aluminum and silicon oxide. The ethanol dehydration results showed greater selectivity to diethyl ether compared to ethylene. From the reaction data, the following order of acid strength was obtained: 2Si-Al > Si-2Al > Si-Al > Al, which is related to the Si-Al ratio.

  4. Effects of the oxygen fraction and substrate bias power on the electrical and optical properties of silicon oxide films by plasma enhanced chemical vapour deposition using TMOS/O2 gas

    International Nuclear Information System (INIS)

    Bang, S B; Chung, T H; Kim, Y; Kang, M S; Kim, J K

    2004-01-01

    Thin oxide films are deposited from tetramethoxysilane in an inductively coupled oxygen glow discharge supplied with radio frequency power. The chemical bonding states of deposited films are analysed by Fourier transform infrared spectroscopy. The deposition rate and optical properties are determined from spectroscopic ellipsometry. Capacitance-voltage measurements are performed in MOS capacitors to obtain the electrical properties of the deposited films. With these tools, the effects of the substrate bias power and the oxygen mole fraction in the gas on the properties of the film are investigated. The refractive index first decreases with an increase in the oxygen mole fraction, and then increases again, showing a behaviour opposite to that of the deposition rate. The deposition rate increases with increasing substrate bias power and then saturates, while the refractive index increases slightly with an increase in the substrate bias power. The fixed oxide charge density decreases with increasing oxygen fraction and with increasing substrate bias power, while the interface trap density increases with increasing oxygen fraction and with increasing substrate bias power

  5. Hydrogenated amorphous silicon p-i-n solar cells deposited under well controlled ion bombardment using pulse-shaped substrate biasing

    NARCIS (Netherlands)

    Wank, M. A.; van Swaaij, R.; R. van de Sanden,; Zeman, M.

    2012-01-01

    We applied pulse-shaped biasing (PSB) to the expanding thermal plasma deposition of intrinsic hydrogenated amorphous silicon layers at substrate temperatures of 200 degrees C and growth rates of about 1?nm/s. Fourier transform infrared spectroscopy of intrinsic films showed a densification with

  6. Ion beam analysis of PECVD silicon oxide thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.; Rodriguez, J.A.; Pedrero, E.; Fonseca Filho, H.D.; Llovera, A.; Riera, M.; Dominguez, C.; Behar, M.; Zawislak, F.C.

    2006-01-01

    A study of ion beam analysis techniques of plasma enhanced chemical vapor deposited (PECVD) silicon oxide thin films (1 μm thick) obtained from silane (SiH 4 ) and nitrous oxide (N 2 O) is reported. The film, elemental composition and surface morphology were determined as function of the reactant gas flow ratio, R = [N 2 O]/[SiH 4 ] in the 22-110 range using the Rutherford backscattering spectrometry, nuclear reaction analysis and atomic force microscopy techniques. The density of the films was determined by combining the RBS and thickness measurements. All the experiments were done at a deposition temperature of 300 deg. C. In all the cases almost stoichiometric oxides were obtained being the impurity content function of R. It was also observed that physical properties such as density, surface roughness and shape factor increase with R in the studied interval

  7. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    Energy Technology Data Exchange (ETDEWEB)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao, E-mail: yxzheng@fudan.edu.c [Key Laboratory of Micro and Nano Photonic Structures, Ministry of Education, Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China)

    2010-11-10

    A series of SiO{sub 2} films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO{sub 2} films thicker than 60 nm are close to those of bulk SiO{sub 2}. For the thin films deposited at the rate of {approx}1.0 nm s{sup -1}, the refractive indices increase with decreasing thickness from {approx}60 to {approx}10 nm and then drop sharply with decreasing thickness below {approx}10 nm. However, for thin films deposited at the rates of {approx}0.4 and {approx}0.2 nm s{sup -1}, the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  8. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    International Nuclear Information System (INIS)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao

    2010-01-01

    A series of SiO 2 films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO 2 films thicker than 60 nm are close to those of bulk SiO 2 . For the thin films deposited at the rate of ∼1.0 nm s -1 , the refractive indices increase with decreasing thickness from ∼60 to ∼10 nm and then drop sharply with decreasing thickness below ∼10 nm. However, for thin films deposited at the rates of ∼0.4 and ∼0.2 nm s -1 , the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  9. Substrate specificity and copper loading of the manganese-oxidizing multicopper oxidase Mnx from Bacillus sp. PL-12.

    Science.gov (United States)

    Butterfield, Cristina N; Tebo, Bradley M

    2017-02-22

    Manganese(ii) oxidation in the environment is thought to be driven by bacteria because enzymatic catalysis is many orders of magnitude faster than the abiotic processes. The heterologously purified Mn oxidase (Mnx) from marine Bacillus sp. PL-12 is made up of the multicopper oxidase (MCO) MnxG and two small Cu and heme-binding proteins of unknown function, MnxE and MnxF. Mnx binds Cu and oxidizes both Mn(ii) and Mn(iii), generating Mn(iv) oxide minerals that resemble those found on the Bacillus spore surface. Spectroscopic techniques have illuminated details about the metallo-cofactors of Mnx, but very little is known about their requirement for catalytic activity, and even less is known about the substrate specificity of Mnx. Here we quantify the canonical MCO Cu and persistent peripheral Cu bound to Mnx, and test Mnx oxidizing ability toward different substrates at varying pH. Mn(ii) appears to be the best substrate in terms of k cat , but its oxidation does not follow Michaelis-Menten kinetics, instead showing a sigmoidal cooperative behavior. Mnx also oxidizes Fe(ii) substrate, but in a Michaelis-Menten manner and with a decreased activity, as well as organic substrates. The reduced metals are more rapidly consumed than the larger organic substrates, suggesting the hypothesis that the Mnx substrate site is small and tuned for metal oxidation. Of biological relevance is the result that Mnx has the highest catalytic efficiency for Mn(ii) at the pH of sea water, especially when the protein is loaded with greater than the requisite four MCO copper atoms, suggesting that the protein has evolved specifically for Mn oxidation.

  10. In vitro antibacterial activity of oxide and non-oxide bioceramics for arthroplastic devices: II. Fourier transform infrared spectroscopy.

    Science.gov (United States)

    Boschetto, Francesco; Toyama, Nami; Horiguchi, Satoshi; Bock, Ryan M; McEntire, Bryan J; Adachi, Tetsuya; Marin, Elia; Zhu, Wenliang; Mazda, Osam; Bal, B Sonny; Pezzotti, Giuseppe

    2018-04-30

    The metabolic response of Gram-positive Staphylococcus epidermidis (S. epidermidis) bacteria to bioceramic substrates was probed by means of Fourier transform infrared spectroscopy (FTIR). Oxide zirconia-toughened alumina (ZTA) and non-oxide silicon nitride (Si3N4) substrates were tested. Bacteria exposed to silica glass substrates were used as a control. S. epidermidis, a major cause of periprosthetic infections, was screened to obtain a precise time-lapse knowledge of its molecular composition and to mechanistically understand its interaction with different substrates. At the molecular level, the structure of proteins, lipids, nucleic acid, and aromatic amino acids evolved with time in response to different substrates. In combination with statistical validation and local pH measurements, a chemical lysis mechanism was spectroscopically observed in situ on the Si3N4 substrates. Utilization of FTIR in this study avoided fluorescence noise which occurred while probing the ZTA samples with Raman spectroscopy in a companion paper. The substrate-driven dynamics of polysaccharide and peptide variations in the bacterial cell wall, peculiar to Si3N4 bioceramics, are elucidated.

  11. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.; Kutbee, Arwa T.; Ghodsi Nasseri, Seyed Faizelldin; Bersuker, G.; Hussain, Muhammad Mustafa

    2014-01-01

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect

  12. On the oxidation mechanism of microcrystalline silicon thin films studied by Fourier transform infrared spectroscopy

    NARCIS (Netherlands)

    Bronneberg, A. C.; Smets, A. H. M.; Creatore, M.; M. C. M. van de Sanden,

    2011-01-01

    Insight into the oxidation mechanism of microcrystalline silicon thin films has been obtained by means of Fourier transform infrared spectroscopy. The films were deposited by using the expanding thermal plasma and their oxidation upon air exposure was followed in time. Transmission spectra were

  13. Intravitreal properties of porous silicon photonic crystals

    Science.gov (United States)

    Cheng, L; Anglin, E; Cunin, F; Kim, D; Sailor, M J; Falkenstein, I; Tammewar, A; Freeman, W R

    2009-01-01

    Aim To determine the suitability of porous silicon photonic crystals for intraocular drug-delivery. Methods A rugate structure was electrochemically etched into a highly doped p-type silicon substrate to create a porous silicon film that was subsequently removed and ultrasonically fractured into particles. To stabilise the particles in aqueous media, the silicon particles were modified by surface alkylation (using thermal hydrosilylation) or by thermal oxidation. Unmodified particles, hydrosilylated particles and oxidised particles were injected into rabbit vitreous. The stability and toxicity of each type of particle were studied by indirect ophthalmoscopy, biomicroscopy, tonometry, electroretinography (ERG) and histology. Results No toxicity was observed with any type of the particles during a period of >4 months. Surface alkylation led to dramatically increased intravitreal stability and slow degradation. The estimated vitreous half-life increased from 1 week (fresh particles) to 5 weeks (oxidised particles) and to 16 weeks (hydrosilylated particles). Conclusion The porous silicon photonic crystals showed good biocompatibility and may be used as an intraocular drug-delivery system. The intravitreal injectable porous silicon photonic crystals may be engineered to host a variety of therapeutics and achieve controlled drug release over long periods of time to treat chronic vitreoretinal diseases. PMID:18441177

  14. Back contact to film silicon on metal for photovoltaic cells

    Science.gov (United States)

    Branz, Howard M.; Teplin, Charles; Stradins, Pauls

    2013-06-18

    A crystal oriented metal back contact for solar cells is disclosed herein. In one embodiment, a photovoltaic device and methods for making the photovoltaic device are disclosed. The photovoltaic device includes a metal substrate with a crystalline orientation and a heteroepitaxial crystal silicon layer having the same crystal orientation of the metal substrate. A heteroepitaxial buffer layer having the crystal orientation of the metal substrate is positioned between the substrate and the crystal silicon layer to reduce diffusion of metal from the metal foil into the crystal silicon layer and provide chemical compatibility with the heteroepitaxial crystal silicon layer. Additionally, the buffer layer includes one or more electrically conductive pathways to electrically couple the crystal silicon layer and the metal substrate.

  15. Transfer of Graphene Layers Grown on SiC Wafers to Other Substrates and Their Integration into Field Effect Transistors

    Science.gov (United States)

    Unarunotai, Sakulsuk; Murata, Yuya; Chialvo, Cesar; Kim, Hoon-Sik; MacLaren, Scott; Mason, Nadya; Petrov, Ivan; Rogers, John

    2010-03-01

    An approach to produce graphene films by epitaxial growth on silicon carbide substrate is promising, but its current implementation requires the use of SiC as the device substrate. We present a simple method for transferring epitaxial sheets of graphene on SiC to other substrates. The graphene was grown on the (0001) face of 6H-SiC by thermal annealing in a hydrogen atmosphere. Transfer was accomplished using a peeling process with a bilayer film of Gold/polyimide, to yield graphene with square millimeters of coverage on the target substrate. Back gated field-effect transistors fabricated on oxidized silicon substrates with Cr/Au as source-drain electrodes exhibited ambipolar characteristics with hole mobilities of ˜100 cm^2/V-s, and negligible influence of resistance at the contacts. This work was supported by the U.S. DOE, under Award No. DE-FG02-07ER46471, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  16. Fabrication of Up-Conversion Phosphor Films on Flexible Substrates Using a Nanostructured Organo-Silicon.

    Science.gov (United States)

    Jeon, Young-Sun; Kim, Tae-Un; Kim, Seon-Hoon; Lee, Young-Hwan; Choi, Pil-Son; Hwang, Kyu-Seog

    2018-03-01

    Up-conversion phosphors have attracted considerable attention because of their applications in solid-state lasers, optical communications, flat-panel displays, photovoltaic cells, and biological labels. Among them, NaYF4 is reported as one of the most efficient hosts for infrared to visible photon up-conversion of Yb3+ and Er3+ ions. However, a low-temperature method is required for industrial scale fabrication of photonic and optoelectronic devices on flexible organic substrates. In this study, hexagonal β-NaYF4: 3 mol% Yb3+, 3 mol% Er3+ up-conversion phosphor using Ca2+ was prepared by chemical solution method. Then, we synthesized a nanostructured organo-silicon compound from methyl tri-methoxysilane and 3-glycidoxy-propyl-trimethoxy-silane. The transmittance of the organo-silicon compound was found to be over 90% in the wavelength range of 400~1500 nm. Then we prepared a fluoride-based phosphor paste by mixing the organo-silicon compound with Na(Ca)YF4:Yb3+, Er3+. Subsequently, this paste was coated on polyethylene terephthalate, followed by heat-treatment at 120 °C. The visible emission of the infrared detection card was found to be at 655 nm and 661 nm an excitation wavelength of 980 nm.

  17. Copper and CuNi alloys substrates for HTS coated conductor applications protected from oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Segarra, M; Diaz, J; Xuriguera, H; Chimenos, J M; Espiell, F [Dept. of Chemical Engineering and Metallurgy, Univ. of Barcelona, Barcelona (Spain); Miralles, L [Lab. d' Investigacio en Formacions Geologiques. Dept. of Petrology, Geochemistry and Geological Prospecting, Univ. of Barcelona, Barcelona (Spain); Pinol, S [Inst. de Ciencia de Materials de Barcelona, Bellaterra (Spain)

    2003-07-01

    Copper is an interesting substrate for HTS coated conductors for its low cost compared to other metallic substrates, and for its low resistivity. Nevertheless, mechanical properties and resistance to oxidation should be improved in order to use it as substrate for YBCO deposition by non-vacuum techniques. Therefore, different cube textured CuNi tapes were prepared by RABIT as possible substrates for deposition of high critical current density YBCO films. Under the optimised conditions of deformation and annealing, all the studied CuNi alloys (2%, 5%, and 10% Ni) presented (100) left angle 001 right angle cube texture which is compatible for YBCO deposition. Textured CuNi alloys present higher tensile strength than pure copper. Oxidation resistance of CuNi tapes under different oxygen atmospheres was also studied by thermogravimetric analysis and compared to pure copper tapes. Although the presence of nickel improves mechanical properties of annealed copper, it does not improve its oxidation resistance. However, when a chromium buffer layer is electrodeposited on the tape, oxygen diffusion is slowed down. Chromium is, therefore, useful for protecting copper and CuNi alloys from oxidation although its recrystallisation texture, (110), is not suitable for coated conductors. (orig.)

  18. Hydrogenated amorphous silicon p–i–n solar cells deposited under well controlled ion bombardment using pulse-shaped substrate biasing

    NARCIS (Netherlands)

    Wank, M.A.; Swaaij, van R.A.C.M.M.; Sanden, van de M.C.M.; Zeman, M.

    2012-01-01

    We applied pulse-shaped biasing (PSB) to the expanding thermal plasma deposition of intrinsic hydrogenated amorphous silicon layers at substrate temperatures of 200¿°C and growth rates of about 1¿nm/s. Fourier transform infrared spectroscopy of intrinsic films showed a densification with increasing

  19. Synthetic polymeric substrates as potent pro-oxidant versus anti-oxidant regulators of cytoskeletal remodeling and cell apoptosis.

    Science.gov (United States)

    Sung, Hak-Joon; Chandra, Prafulla; Treiser, Matthew D; Liu, Er; Iovine, Carmine P; Moghe, Prabhas V; Kohn, Joachim

    2009-03-01

    The role of reactive oxygen species (ROS)-mediated cell signal transduction pathways emanating from engineered cell substrates remains unclear. To elucidate the role, polymers derived from the amino acid L-tyrosine were used as synthetic matrix substrates. Variations in their chemical properties were created by co-polymerizing hydrophobic L-tyrosine derivatives with uncharged hydrophilic poly(ethylene glycol) (PEG, Mw = 1,000 Da), and negatively charged desaminotyrosyl-tyrosine (DT). These substrates were characterized for their intrinsic ability to generate ROS, as well as their ability to elicit Saos-2 cell responses in terms of intracellular ROS production, actin remodeling, and apoptosis. PEG-containing substrates induced both exogenous and intracellular ROS production, whereas the charged substrates reduced production of both types, indicating a coupling of exogenous ROS generation and intracellular ROS production. Furthermore, PEG-mediated ROS induction caused nuclear translocation of glyceraldehyde-3-phosphate dehydrogenase and an increase in caspase-3 activity, confirming a link with apoptosis. PEG-rich pro-oxidant substrates caused cytoskeletal actin remodeling through beta-actin cleavage by caspase-3 into fractins. The fractins co-localized to the mitochondria and reduced the mitochondrial membrane potential. The remnant cytosolic beta-actin was polymerized and condensed, events consistent with apoptotic cell shrinkage. The cytoskeletal remodeling was integral to the further augmentation of intracellular ROS production. Conversely, the anti-oxidant DT-containing charged substrates suppressed the entire cascade of apoptotic progression. We demonstrate that ROS activity serves an important role in "outside-in" signaling for cells grown on substrates: the ROS activity couples exogenous stress, driven by substrate composition, to changes in intracellular signaling. This signaling causes cell apoptosis, which is mediated by actin remodeling.

  20. Copper substrate as a catalyst for the oxidation of chemical vapor deposition-grown graphene

    International Nuclear Information System (INIS)

    Li, Zhiting; Zhou, Feng; Parobek, David; Shenoy, Ganesh J.; Muldoon, Patrick; Liu, Haitao

    2015-01-01

    We report the catalytic effect of copper substrate on graphene–oxygen reaction at high temperature. Previous studies showed that graphene grown on copper are mostly defect-free with strong oxidation resistance. We found that a freshly prepared copper-supported graphene sample can be completely oxidized in trace amount of oxygen (<3 ppm) at 600 °C within 2 h. Both X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) suggest that upon ambient air exposure, oxygen molecules diffuse into the space between graphene and copper, resulting in the formation of copper oxide which acts as catalytic sites for the graphene-oxygen reaction. This result has important implications for the characterization, processing, and storage of copper-supported graphene samples. - Graphical abstract: The copper substrate enhances the thermel oxidation of single-layer graphene. - Highlights: • A copper-supported graphene can be oxidized in Ar (O 2 <3 ppm, 600 °C, 2 h). • O 2 intercalates between graphene and copper upon exposure to air. • The copper foil should not be considered as an inert substrate