WorldWideScience

Sample records for silicon manufacturing process

  1. Achievement Report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Development of silicon mass-production manufacturing technology for solar cells; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    In order to manufacture silicon for solar cells, development is intended on a technology to manufacture silicon (SOG-Si) for solar cells by means of metallurgical methods using metallic silicon with purity generally available as an interim starting material. The silicon is required of p-type electric conductivity characteristics with specific resistance of 0.5 to 1.5 ohm per cm, to be sufficient even with 6-7N as compared to silicon for semiconductors (11-N), and to be low in cost. While the NEDO fluid bed process and the metallurgical NEDO direct reduction process have been developed based on the technology to manufacture silicon for semiconductors, the basic policy was established to develop a new manufacturing method using commercially available high-purity metallic silicon as an interim starting material, with an objective to achieve cost as low as capable of responding to small-quantity phase production for proliferation purpose. Removal of boron and phosphor has been the main issue in the development, whereas SOG-Si was manufactured in a laboratory scale by combining with the conventional component technologies in fiscal 1991 and 1992. The scale was expanded to 20 kg since fiscal 1993, and a five year plan starting fiscal 1996 was decided to develop the technology for industrial scale. Fiscal 1997 has promoted the development by using the 20-kg scale device, and introduced facilities to develop technology for mass-production scale. (NEDO)

  2. Environmentally benign silicon solar cell manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Tsuo, Y.S. [National Renewable Energy Lab., Golden, CO (United States); Gee, J.M. [Sandia National Labs., Albuquerque, NM (United States); Menna, P. [National Agency for New Technologies Energy and Environment, Portici (Italy); Strebkov, D.S.; Pinov, A.; Zadde, V. [Intersolarcenter, Moscow (Russian Federation)

    1998-09-01

    The manufacturing of silicon devices--from polysilicon production, crystal growth, ingot slicing, wafer cleaning, device processing, to encapsulation--requires many steps that are energy intensive and use large amounts of water and toxic chemicals. In the past two years, the silicon integrated-circuit (IC) industry has initiated several programs to promote environmentally benign manufacturing, i.e., manufacturing practices that recover, recycle, and reuse materials resources with a minimal consumption of energy. Crystalline-silicon solar photovoltaic (PV) modules, which accounted for 87% of the worldwide module shipments in 1997, are large-area devices with many manufacturing steps similar to those used in the IC industry. Obviously, there are significant opportunities for the PV industry to implement more environmentally benign manufacturing approaches. Such approaches often have the potential for significant cost reduction by reducing energy use and/or the purchase volume of new chemicals and by cutting the amount of used chemicals that must be discarded. This paper will review recent accomplishments of the IC industry initiatives and discuss new processes for environmentally benign silicon solar-cell manufacturing.

  3. Report on achievements in fiscal 1999. Development of energy usage rationalizing silicon manufacturing process (Development of manufacturing technology for mass production of silicon for solar cells); 1999 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Discussions were given on manufacture of raw material silicon for solar cells with regard to boron removal, solidification, finishing and refining of metallic impurities, refining of unutilized silicon scraps, and making them into wafers and solar cells after refining. This paper summarizes the achievements in fiscal 1999. With regard to purity deterioration due to contamination by boron containing silica powder generated during the boron removal in the manufacturing process, the facilities were modified resulting in the reduction thereof to 0.04 ppmw or less. Regarding the repetitive use of boron removing crucibles, the experiment identified the possibility of using them for more than three times. In trial fabrication of samples by using the solidification refining and cast integrated process, ingots of 550 mm square and about 300 mm high were obtained, which were sliced into 10-cm square materials for use as wafers. Measurement of the conversion efficiency has resulted in 13% or more which is almost equivalent in the center and edges of the ingot. It was revealed that solar cell wafers may be fabricated by using this process, which can use either the p-type low-resistance silicon scraps or the metallic silicon as the starting material. (NEDO)

  4. Development in fiscal 1998 of silicon manufacturing process to rationalize energy usage. Surveys and researches on analysis of practical application of technology to manufacture silicon raw materials for solar cells; 1998 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchi silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    With an objective to develop a mass production technology to manufacture silicon raw materials for solar cells, and assist its practical application, surveys and analyses were performed on trends in development of the related technologies, the problems therein , market trends and industrial trends thereof. This paper summarizes the achievements in fiscal 1998. The worldwide production amount of solar cells in 1998 is estimated to have achieved 150 MW, and the silicon consumption reached the level of 2,300 tons. In spite of the economic recession environment, there was no change in the expansion trend. In developing an SOG-Si mass production and manufacturing technology, construction of pilot plants for each process has been completed, and entered into the operation research phase. In developing a technology to manufacture high quality poly-crystalline silicon substrates, fabrication has been completed on the on-line ingot cutting equipment and the plasma heating equipment, and the stage is now in operation research of continuous electromagnetic casting process. The conversion efficiency of the poly-crystalline silicon solar cells is 14 to 16% at the mass production level, whose enhancement requires indispensably the improvement in quality of the substrate. Discussions are required on the ingot manufacturing conditions in coordination with improvement in the cell manufacturing technology. (NEDO)

  5. Achievement report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Investigation and research on analyzing practical application of a technology to manufacture solar cell silicon raw materials; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchi silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    This paper describes the achievement in fiscal 1997 of analyzing practical application of a technology to manufacture solar cell silicon raw materials. Silicon consumption for solar cells in fiscal 1997 has increased to 2000-ton level, and the supply has been very tight. For drastic improvement in the demand and supply situation, development of SOG-Si manufacturing technology and its early practical application are desired. The development of the NEDO mass-production technology using melting and refining has completed constructing the process facilities in fiscal 1998, and will enter the stage of operational research. However, insufficiency in the basic data about behavior of impurities is inhibiting the development. In the substrate manufacturing technology, discussions have shown progress on use of diversifying silicons outside the standard by using the electromagnetic casting process. For slicing and processing the substrates, development of a high-performance slicing equipment and automatic rough rinsing machine is under way. Properties required on silicon raw materials vary considerably widely because of difference in cell making systems and conditions, which is attributable to unknown impurity behavior. When 1GW production is assumed, the cell module manufacturing cost is calculated as 137 yen/W, for which low-cost mass production for its realization, slicing productivity enhancement, and cost reduction are required. The paper also describes site surveys in overseas countries. (NEDO)

  6. Safety procedures used during the manufacturing of amorphous silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Dickson, C R

    1987-01-01

    The Solarex Thin Film Division is a leader in the manufacturing of amorphous-silicon products for sale in domestic and foreign markets. Similarly, Solarex assumes a leadership role in recognizing the importance of safety in a manufacturing environment. Although many of the safety issues are similar to those in the semiconductor industry, this paper presents topics specific to amorphous silicon technology and the manufacturing ,f amorphous-silicon products. These topics are deposition of conducting transparent oxides (CTOs), amorphous silicon deposition, laser scribing, processing chemicals, fire prevention and administrative responsibilities.

  7. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  8. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  9. Report on achievements in fiscal 1998. Development of silicon manufacturing process to rationalize energy usage (Development of mass production technology for solar-grade silicon); 1998 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    In the proliferation stage of solar cells, a technology is required to manufacture low-cost SOG-Si that can handle small quantity production. Development is being made on a manufacturing technology using high purity metallic silicon (99.5%) as the raw material. Considering that the subject impurities are P, B and metallic impurities (Fe, Ti and Al), a manufacturing method consisting of the following processes is being developed: metallic silicon/phosphorus removal, solidification and rough refining/boron removal, solidification and fine refining. Discussions are being advanced on phosphorus removal by using a large electron beam fusion equipment, and at the same time, the discussions are supported by fabricating and installing a large equipment intended of removing boron and the metallic impurities. Boron is removed by oxidizing it with steam. Therefore, the basic mechanism of the equipment is to spray argon plasma added with steam onto the molten silicon surface. In boron removal, diffusion of boron onto the reaction interface in the primary reaction determines the rate. A boron removal rate for B/10 to 0.1 ppm of 45 kg/h as maximum was achieved. The derived silicon has met the requirement. (NEDO)

  10. Silicon processing for photovoltaics II

    CERN Document Server

    Khattak, CP

    2012-01-01

    The processing of semiconductor silicon for manufacturing low cost photovoltaic products has been a field of increasing activity over the past decade and a number of papers have been published in the technical literature. This volume presents comprehensive, in-depth reviews on some of the key technologies developed for processing silicon for photovoltaic applications. It is complementary to Volume 5 in this series and together they provide the only collection of reviews in silicon photovoltaics available.The volume contains papers on: the effect of introducing grain boundaries in silicon; the

  11. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  12. Neurovascular Modeling: Small-Batch Manufacturing of Silicone Vascular Replicas

    Science.gov (United States)

    Chueh, J.Y.; Wakhloo, A.K.; Gounis, M.J.

    2009-01-01

    BACKGROUND AND PURPOSE Realistic, population based cerebrovascular replicas are required for the development of neuroendovascular devices. The objective of this work was to develop an efficient methodology for manufacturing realistic cerebrovascular replicas. MATERIALS AND METHODS Brain MR angiography data from 20 patients were acquired. The centerline of the vasculature was calculated, and geometric parameters were measured to describe quantitatively the internal carotid artery (ICA) siphon. A representative model was created on the basis of the quantitative measurements. Using this virtual model, we designed a mold with core-shell structure and converted it into a physical object by fused-deposit manufacturing. Vascular replicas were created by injection molding of different silicones. Mechanical properties, including the stiffness and luminal coefficient of friction, were measured. RESULTS The average diameter, length, and curvature of the ICA siphon were 4.15 ± 0.09 mm, 22.60 ± 0.79 mm, and 0.34 ± 0.02 mm-1 (average ± standard error of the mean), respectively. From these image datasets, we created a median virtual model, which was transformed into a physical replica by an efficient batch-manufacturing process. The coefficient of friction of the luminal surface of the replica was reduced by up to 55% by using liquid silicone rubber coatings. The modulus ranged from 0.67 to 1.15 MPa compared with 0.42 MPa from human postmortem studies, depending on the material used to make the replica. CONCLUSIONS Population-representative, smooth, and true-to-scale silicone arterial replicas with uniform wall thickness were successfully built for in vitro neurointerventional device-testing by using a batch-manufacturing process. PMID:19321626

  13. High Efficiency, Low Cost Solar Cells Manufactured Using 'Silicon Ink' on Thin Crystalline Silicon Wafers

    Energy Technology Data Exchange (ETDEWEB)

    Antoniadis, H.

    2011-03-01

    Reported are the development and demonstration of a 17% efficient 25mm x 25mm crystalline Silicon solar cell and a 16% efficient 125mm x 125mm crystalline Silicon solar cell, both produced by Ink-jet printing Silicon Ink on a thin crystalline Silicon wafer. To achieve these objectives, processing approaches were developed to print the Silicon Ink in a predetermined pattern to form a high efficiency selective emitter, remove the solvents in the Silicon Ink and fuse the deposited particle Silicon films. Additionally, standard solar cell manufacturing equipment with slightly modified processes were used to complete the fabrication of the Silicon Ink high efficiency solar cells. Also reported are the development and demonstration of a 18.5% efficient 125mm x 125mm monocrystalline Silicon cell, and a 17% efficient 125mm x 125mm multicrystalline Silicon cell, by utilizing high throughput Ink-jet and screen printing technologies. To achieve these objectives, Innovalight developed new high throughput processing tools to print and fuse both p and n type particle Silicon Inks in a predetermined pat-tern applied either on the front or the back of the cell. Additionally, a customized Ink-jet and screen printing systems, coupled with customized substrate handling solution, customized printing algorithms, and a customized ink drying process, in combination with a purchased turn-key line, were used to complete the high efficiency solar cells. This development work delivered a process capable of high volume producing 18.5% efficient crystalline Silicon solar cells and enabled the Innovalight to commercialize its technology by the summer of 2010.

  14. Simulation of atomistic processes during silicon oxidation

    OpenAIRE

    Bongiorno, Angelo

    2003-01-01

    Silicon dioxide (SiO2) films grown on silicon monocrystal (Si) substrates form the gate oxides in current Si-based microelectronics devices. The understanding at the atomic scale of both the silicon oxidation process and the properties of the Si(100)-SiO2 interface is of significant importance in state-of-the-art silicon microelectronics manufacturing. These two topics are intimately coupled and are both addressed in this theoretical investigation mainly through first-principles calculations....

  15. Achievement report for fiscal 1999 on the development of silicon manufacturing process rationalizing energy utilization. Research and study on analysis to put silicon raw material manufacturing technology for solar cells into practical use; 1999 nendo energy shiyo gorika silicon seizo process kaihatsu seika hokokusho. Taiyo denchi silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    In order to support the development and practical application of a mass production technology for manufacturing silicon raw materials for solar cells, research and study were performed on trends of developing the related technologies, and movements in markets and industries. This paper reports the achievements thereof in fiscal 1999. Markets for solar cells are growing favorably, and the worldwide solar cell production in 1999 was 200 MWp, of which 80% or more is occupied by crystalline silicon solar cell. While development of the manufacturing technology for SOG-Si mass-production is in the stage of operation research of pilot plants, it has been verified that problems of impurity contamination was resolved, and high-purity silicon can be manufactured. In developing the silicon scrap utilization technology and a technology to integrate silicon refinement with casting, a conversion efficiency of 14% or higher was acquired in prototype sample substrates. It has been verified that a variety of raw materials can be dealt with by using the above technology, which has a possibility of cost reduction. In developing a substrate manufacturing technology, a great progress has been made in enhancing the productivity and reducing the cost by developing the continuous casting in the electromagnetic casting and the automation technology. (NEDO)

  16. Geometric accuracy of wax bade models manufactured in silicon moulds

    Directory of Open Access Journals (Sweden)

    G. Budzik

    2010-01-01

    Full Text Available The article presents the test results of the geometric accuracy of wax blade models manufactured in silicon moulds in the Rapid Tooling process, with the application of the Vacuum Casting technology. In batch production casting waxes are designed for the manufacture of models and components of model sets through injection into a metal die. The objective of the tests was to determine the possibility of using traditional wax for the production of casting models in the rapid prototyping process. Blade models made of five types of casting wax were measured. The definition of the geometric accuracy of wax blade models makes it possible to introduce individual modifications aimed at improving their shape in order to increase the dimensional accuracy of blade models manufactured in the rapid prototyping process.

  17. Decade of PV Industry R and D Advances in Silicon Module Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Symko-Davis, M.; Mitchell, R.L.; Witt, C.E.; Thomas, H.P. [National Renewable Energy Laboratory; King, R.[U.S. Department of Energy; Ruby, D.S. [Sandia National Laboratories

    2001-01-18

    The US Photovoltaic (PV) industry has made significant technical advances in crystalline silicon (Si) module manufacturing through the PV Manufacturing R and D Project during the past decade. Funded Si technologies in this project have been Czochralski, cast polycrystalline, edge-defined film-fed growth (EFG) ribbon, string ribbon, and Si-film. Specific R and D Si module-manufacturing categories that have shown technical growth and will be discussed are in crystal growth and processing, wafering, cell fabrication, and module manufacturing. These R and D advancements since 1992 have contributed to a 30% decrease in PV manufacturing costs and stimulated a sevenfold increase in PV production capacity.

  18. Low energy production processes in manufacturing of silicon solar cells

    Science.gov (United States)

    Kirkpatrick, A. R.

    1976-01-01

    Ion implantation and pulsed energy techniques are being combined for fabrication of silicon solar cells totally under vacuum and at room temperature. Simplified sequences allow very short processing times with small process energy consumption. Economic projections for fully automated production are excellent.

  19. A convenient way of manufacturing silicon nanotubes on a silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Changchang; Cheng, Heming; Liu, Xiang, E-mail: liuxiang@ahut.edu.cn

    2016-07-01

    A convenient approach of preparing silicon nanotubes (SiNTs) on a silicon substrate is described in this work in detail. Firstly, a porous silicon (PSi) slice is prepared by a galvanic displacement reaction. Then it is put into aqueous solutions of 20% (w%) ammonium fluoride and 2.5 mM cobalt nitrate for a predetermined time. The cobalt ions are reduced and the resulted cobalt particles are deposited on the PSi slice. After the cobalt particles are removed with 5 M nitric acid a plenty of SiNTs come out and exhibit disorderly on the silicon substrate, which are illustrated by scanning electron microscopy (SEM). The compositions of the SiNTs are examined by energy-dispersive X-ray spectroscopy. Based on the SEM images, a suggested mechanism is put forward to explain the generation of the SiNTs on the PSi substrate. - Highlights: • A facile approach of preparing silicon nano tubes was invented. • The experimental results demonstrated the strong reducibility of Si-H{sub x} species. • It provided a new way of manufacturing silicon-contained hybrids.

  20. High Volume Manufacturing of Silicon-Film Solar Cells and Modules; Final Subcontract Report, 26 February 2003 - 30 September 2003

    Energy Technology Data Exchange (ETDEWEB)

    Rand, J. A.; Culik, J. S.

    2005-10-01

    The objective of the PV Manufacturing R&D subcontract was to continue to improve AstroPower's technology for manufacturing Silicon-Film* wafers, solar cells, and modules to reduce costs, and increase production yield, throughput, and capacity. As part of the effort, new technology such as the continuous back metallization screen-printing system and the laser scribing system were developed and implemented. Existing processes, such as the silicon nitride antireflection coating system and the fire-through process were optimized. Improvements were made to the statistical process control (SPC) systems of the major manufacturing processes: feedstock preparation, wafer growth, surface etch, diffusion, and the antireflection coating process. These process improvements and improved process control have led to an increase of 5% relative power, and nearly 15% relative improvement in mechanical and visual yield.

  1. Multivariate data analysis of process control data from neutron transmutation doping of silicon

    DEFF Research Database (Denmark)

    Heydorn, K.; Hegaard, N.

    1994-01-01

    Final resistivities obtained by neutron transmutation doping (NTD) of silicon can be measured only after an annealing process has been carried out at the manufacturer's plant. The reactor centre carrying out the neutron doping process by irradiation under selected conditions must control the proc......Final resistivities obtained by neutron transmutation doping (NTD) of silicon can be measured only after an annealing process has been carried out at the manufacturer's plant. The reactor centre carrying out the neutron doping process by irradiation under selected conditions must control...

  2. Silicon-Film(TM) Solar Cells by a Flexible Manufacturing System: Final Report, 16 April 1998 -- 31 March 2001

    Energy Technology Data Exchange (ETDEWEB)

    Rand, J.

    2002-02-01

    This report describes the overall goal to engineer and develop flexible manufacturing methods and equipment to process Silicon-Film solar cells and modules. Three major thrusts of this three-year effort were to: develop a new larger-area (208 mm x 208 mm) Silicon-Film solar cell, the APx-8; construct and operate a new high-throughput wafer-making system; and develop a 15-MW single-thread manufacturing process. Specific technical accomplishments from this period are: Increase solar cell area by 80%, increase the generation capacity of a Silicon-Film wafer-making system by 350%, use a new in-line HF etch system in solar cell production, design and develop an in-line NaOH etch system, eliminate cassettes in solar cell processing, and design a new family of module products.

  3. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics

    KAUST Repository

    Ghoneim, Mohamed T.; Hussain, Muhammad Mustafa

    2017-01-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible

  4. The importance of silicon photovoltaic manufacturing in Saudi Arabia

    International Nuclear Information System (INIS)

    Elani, U.A.; Bagazi, S.A.

    1998-01-01

    In this paper, the potential of silicon development for photovoltaics will be discussed in conjunction with the availability of raw material and photovoltaic demand in Saudi Arabia. Recent studies suggest that silicon raw material for photovoltaic production should be considered for further investigation towards solar cells manufacturing in Saudi Arabia. (author)

  5. Large-Scale PV Module Manufacturing Using Ultra-Thin Polycrystalline Silicon Solar Cells: Annual Subcontract Report, 1 October 2003--30 September 2004

    Energy Technology Data Exchange (ETDEWEB)

    Wohlgemuth, J.; Narayanan, M.

    2005-03-01

    The major objectives of this program are to continue the advancement of BP Solar polycrystalline silicon manufacturing technology. The program includes work in the following areas: Efforts in the casting area to increase ingot size, improve ingot material quality, and improve handling of silicon feedstock as it is loaded into the casting stations; developing wire saws to slice 100- m-thick silicon wafers on 290- m centers; developing equipment for demounting and subsequent handling of very thin silicon wafers; developing cell processes using 100- m-thick silicon wafers that produce encapsulated cells with efficiencies of at least 15.4% at an overall yield exceeding 95%; expanding existing in-line manufacturing data reporting systems to provide active process control; establishing a 50-MW (annual nominal capacity) green-field Mega-plant factory model template based on this new thin polycrystalline silicon technology; facilitating an increase in the silicon feedstock industry's production capacity for lower-cost solar-grade silicon feedstock.

  6. Additive Manufacturing of Overhang Structures Using Moisture-Cured Silicone with Support Material

    Directory of Open Access Journals (Sweden)

    Mohan Muthusamy

    2018-04-01

    Full Text Available Additive manufacturing (AM of soft materials has a wide variety of applications, such as customized or wearable devices. Silicone is one popular material for these applications given its favorable material properties. However, AM of silicone parts with overhang structures remains challenging due to the soft nature of the material. Overhang structures are the areas where there is no underlying structure. Typically, a support material is used and built in the underlying space so that the overhang structures can be built upon it. Currently, there is no support structure that has been used for AM of silicone. The goal of this study is to develop an AM process to fabricate silicone parts with overhang structures. We first identified and confirmed poly-vinyl alcohol (PVA, a water-soluble material, as a suitable support material for silicone by evaluating the adhesion strength between silicone and PVA. Process parameters for the support material, including critical overhang angle and minimum infill density for the support material, are identified. However, overhang angle alone is not the only determining factor for support material. As silicone is a soft material, it deflects due to its own weight when the height of the overhang structure increases. A finite element model is developed to estimate the critical overhang height paired with different overhang angles to determine whether the use of support material is needed. Finally, parts with overhang structures are printed to demonstrate the capability of the developed process.

  7. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-02-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible, stretchable, and reconfigurable) electronic systems.

  8. Fiscal 2000 achievement report. Development of energy use rationalization-oriented silicon manufacturing process (Development of silicon substrate manufacturing technology for high-quality solar cell); 2000 nendo shin energy sangyo gijutsu sogo kaihatsu kiko kyodo kenkyu gyomu seika hokokusho. Energy shiyo gorika silicon seizo process kaihatsu (Kohinshitsu taiyodenchiyou silicon kiban seizo gijutsu no kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Research and development was conducted for enhancing productivity and energy conservation by rendering continuous and automatic the electromagnetic casting process for manufacturing polycrystalline silicon substrates for solar cells. In the manufacture of ingots for substrates by continuous electromagnetic casting, the chuck type system for feeding power to the melt plasma was replaced by a roller type system, and the power feeding position was moved to the high temperature region. Also, an on-line ingot slicing technique was established. In the manufacture of substrates at a slicing rate of 300 {mu}m/minute, productivity of 115,000 wafers/month, yield of 98%, and thickness tolerance of 30 {mu}m were achieved. A high-speed cleaning technique was developed using a jet stream, by which the cleaning time was reduced to 5 minutes and the slurry recovery rate was elevated to 95%. Based on these, substrate-related costs in the case of 100 MW/year production was calculated, which resulted in a cost of 98.8 yen/wafer (target: 103.3 yen/wafer) for manufacturing 15 cm square substrates from ingots and in a 15 cm square substrate slicing and cleaning cost of 135.1 yen/wafer (target: 135.4 yen/wafer). (NEDO)

  9. Large-Scale PV Module Manufacturing Using Ultra-Thin Polycrystalline Silicon Solar Cells: Final Subcontract Report, 1 April 2002--28 February 2006

    Energy Technology Data Exchange (ETDEWEB)

    Wohlgemuth, J.; Narayanan, M.

    2006-07-01

    The major objectives of this program were to continue advances of BP Solar polycrystalline silicon manufacturing technology. The Program included work in the following areas. (1) Efforts in the casting area to increase ingot size, improve ingot material quality, and improve handling of silicon feedstock as it is loaded into the casting stations. (2) Developing wire saws to slice 100-..mu..m-thick silicon wafers on 290-..mu..m-centers. (3) Developing equipment for demounting and subsequent handling of very thin silicon wafers. (4) Developing cell processes using 100-..mu..m-thick silicon wafers that produce encapsulated cells with efficiencies of at least 15.4% at an overall yield exceeding 95%. (5) Expanding existing in-line manufacturing data reporting systems to provide active process control. (6) Establishing a 50-MW (annual nominal capacity) green-field Mega-plant factory model template based on this new thin polycrystalline silicon technology. (7) Facilitating an increase in the silicon feedstock industry's production capacity for lower-cost solar-grade silicon feedstock..

  10. Large-Scale PV Module Manufacturing Using Ultra-Thin Polycrystalline Silicon Solar Cells: Annual Subcontract Report, 1 April 2002--30 September 2003 (Revised)

    Energy Technology Data Exchange (ETDEWEB)

    Wohlgemuth, J.; Shea, S. P.

    2004-04-01

    The goal of BP Solar's Crystalline PVMaT program is to improve the present polycrystalline silicon manufacturing facility to reduce cost, improve efficiency, and increase production capacity. Key components of the program are: increasing ingot size; improving ingot material quality; improving material handling; developing wire saws to slice 100 ..mu..m thick silicon wafers on 200 ..mu..m centers; developing equipment for demounting and subsequent handling of very thin silicon wafers; developing cell processes using 100 ..mu..m thick silicon wafers that produce encapsulated cells with efficiencies of at least 15.4% at an overall yield exceeding 95%; expanding existing in-line manufacturing data reporting systems to provide active process control; establishing a 50 MW (annual nominal capacity) green-field Mega plant factory model template based on this new thin polycrystalline silicon technology; and facilitating an increase in the silicon feedstock industry's production capacity for lower-cost solar-grade silicon feedstock.

  11. Novel Manufacturing Process for Unique Mixed Carbide Refractory Composites, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This STTR Phase I project will establish the feasibility of an innovative manufacturing process to fabricate a range of unique hafnium/silicon based carbide...

  12. Fiscal 2000 achievement report. Development of energy use rationalization-oriented silicon manufacturing process (Survey and study of analysis of commercialization of solar-grade silicon material manufacturing technology); 2000 nendo shin energy sangyo gijutsu sogo kaihatsu kiko kyodo kenkyu gyomu seika hokokusho. Energy shiyo gorika silicon seizo process kaihatsu (Taiyodenchiyou silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The trend of technology development, problems harbored therein, trend of the market, and the like were investigated for supporting the development of technologies for the mass production and commercialization of solar-grade silicon materials. Concerning the future of production enhancement and cost reduction in the manufacture of polycrystalline silicon solar cells, studies were made from the technological viewpoint. The results are shown below. It is estimated that approximately 4,500 tons of material silicon will be necessary in 2005 and 6,500-10,700 tons in 2010. Since the melting purification method of NEDO (New Energy and Industrial Technology Development Organization) now under development step by step toward commercialization as well as the conventional source will provide the necessary amount of material silicon, it is inferred that the development of solar cells will go on without any restraint originating in the semiconductor industry. With the commercialization of the technologies so far developed and the development/commercialization of the fast-acting high-performance solar cell technology, probabilities are high that the polycrystalline silicon solar cell manufacturing cost in 2010 will be as low as to be on the 100 yen/W (93-118 yen/W) level which is the level now held up as the goal. (NEDO)

  13. In-house manufacturing of cylindrical silicone models for hemodynamic research

    Science.gov (United States)

    Denisenko, Nikita S.; Kulik, Viktor M.

    2017-10-01

    Laboratory studies of fluid motion in artificial vessels modeling a distinct part of circulatory system of human are of a great importance for fundamental biomechanics and for medical applications. In the medicine they are used for advancing known and developing new methods for curing cardiovascular diseases. In biomechanics, the phantoms of blood vessels are used for studying the fluid motion. However, they are quite expensive. Therefore, a development of technique for in-house manufacturing of phantoms is quite attractive. In this paper methods of manufacturing cylindrical channels of silicone rubbers (the model of the straight part of an artery) and determination of their elastic properties are described. A specially developed acrylic mold is used for this purpose. The phantoms are cast from a mixture of SKTN-A silicone and PMS-5 oil (Penta-91, Novosibirsk, Russia). The oil is used for changing elasticity properties of the silicone.

  14. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics.

    Science.gov (United States)

    Ghoneim, Mohamed Tarek; Hussain, Muhammad Mustafa

    2017-04-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible, stretchable, and reconfigurable) electronic systems. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Silicon Nano fabrication by Atomic Force Microscopy-Based Mechanical Processing

    International Nuclear Information System (INIS)

    Miyake, Sh.; Wang, M.; Kim, J.

    2014-01-01

    This paper reviews silicon nano fabrication processes using atomic force microscopy (AFM). In particular, it summarizes recent results obtained in our research group regarding AFM-based silicon nano fabrication through mechanochemical local oxidation by diamond tip sliding, as well as mechanical, electrical, and electromechanical processing using an electrically conductive diamond tip. Microscopic three-dimensional manufacturing mainly relies on etching, deposition, and lithography. Therefore, a special emphasis was placed on nano mechanical processes, mechanochemical reaction by potassium hydroxide solution etching, and mechanical and electrical approaches. Several important surface characterization techniques consisting of scanning tunneling microscopy and related techniques, such as scanning probe microscopy and AFM, were also discussed.

  16. Evaluation of selected chemical processes for production of low-cost silicon

    Science.gov (United States)

    Blocher, J. M., Jr.; Browning, M. F.; Wilson, W. J.; Carmichael, D. C.

    1976-01-01

    Plant construction costs and manufacturing costs were estimated for the production of solar-grade silicon by the reduction of silicon tetrachloride in a fluidized bed of seed particles, and several modifications of the iodide process using either thermal decomposition on heated filaments (rods) or hydrogen reduction in a fluidized bed of seed particles. Energy consumption data for the zinc reduction process and each of the iodide process options are given and all appear to be acceptable from the standpoint of energy pay back. Information is presented on the experimental zinc reduction of SiCl4 and electrolytic recovery of zinc from ZnCl2. All of the experimental work performed thus far has supported the initial assumption as to technical feasibility of producing semiconductor silicon by the zinc reduction or iodide processes proposed. The results of a more thorough thermodynamic evaluation of the iodination of silicon oxide/carbon mixtures are presented which explain apparent inconsistencies in an earlier cursory examination of the system.

  17. Additive Manufacturing of Silicon Carbide-Based Ceramic Matrix Composites: Technical Challenges and Opportunities

    Science.gov (United States)

    Singh, Mrityunjay; Halbig, Michael C.; Grady, Joseph E.

    2016-01-01

    Advanced SiC-based ceramic matrix composites offer significant contributions toward reducing fuel burn and emissions by enabling high overall pressure ratio (OPR) of gas turbine engines and reducing or eliminating cooling air in the hot-section components, such as shrouds, combustor liners, vanes, and blades. Additive manufacturing (AM), which allows high value, custom designed parts layer by layer, has been demonstrated for metals and polymer matrix composites. However, there has been limited activity on additive manufacturing of ceramic matrix composites (CMCs). In this presentation, laminated object manufacturing (LOM), binder jet process, and 3-D printing approaches for developing ceramic composite materials are presented. For the laminated object manufacturing (LOM), fiber prepreg laminates were cut into shape with a laser and stacked to form the desired part followed by high temperature heat treatments. For the binder jet, processing optimization was pursued through silicon carbide powder blending, infiltration with and without SiC nano powder loading, and integration of fibers into the powder bed. Scanning electron microscopy was conducted along with XRD, TGA, and mechanical testing. Various technical challenges and opportunities for additive manufacturing of ceramics and CMCs will be presented.

  18. Effect of manufacturing and experimental conditions on the mechanical and surface properties of silicone elastomer scaffolds used in endothelial mechanobiological studies.

    Science.gov (United States)

    Campeau, Marc-Antoine; Lortie, Audrey; Tremblay, Pierrick; Béliveau, Marc-Olivier; Dubé, Dominic; Langelier, Ève; Rouleau, Léonie

    2017-07-14

    Mechanobiological studies allow the characterization of cell response to mechanical stresses. Cells need to be supported by a material with properties similar to the physiological environment. Silicone elastomers have been used to produce various in vitro scaffolds of different geometries for endothelial cell studies given its relevant mechanical, optical and surface properties. However, obtaining defined and repeatable properties is a challenge as depending on the different manufacturing and processing steps, mechanical and surface properties may vary significantly between research groups. The impact of different manufacturing and processing methods on the mechanical and surface properties was assessed by measuring the Young's modulus and the contact angle. Silicone samples were produced using different curing temperatures and processed with different sterilization techniques and hydrophilization conditions. Different curing temperatures were used to obtain materials of different stiffness with a chosen silicone elastomer, i.e. Sylgard 184 ® . Sterilization by boiling had a tendency to stiffen samples cured at lower temperatures whereas UV and ethanol did not alter the material properties. Hydrophilization using sulphuric acid allowed to decrease surface hydrophobicity, however this effect was lost over time as hydrophobic recovery occurred. Extended contact with water maintained decreased hydrophobicity up to 7 days. Mechanobiological studies require complete cell coverage of the scaffolds used prior to mechanical stresses exposure. Different concentrations of fibronectin and collagen were used to coat the scaffolds and cell seeding density was varied to optimize cell coverage. This study highlights the potential bias introduced by manufacturing and processing conditions needed in the preparation of scaffolds used in mechanobiological studies involving endothelial cells. As manufacturing, processing and cell culture conditions are known to influence cell

  19. Silicon Valley's Processing Needs versus San Jose State University's Manufacturing Systems Processing Component: Implications for Industrial Technology

    Science.gov (United States)

    Obi, Samuel C.

    2004-01-01

    Manufacturing professionals within universities tend to view manufacturing systems from a global perspective. This perspective tends to assume that manufacturing processes are employed equally in every manufacturing enterprise, irrespective of the geography and the needs of the people in those diverse regions. But in reality local and societal…

  20. Results from a beam test of silicon strip sensors manufactured by Infineon Technologies AG

    Energy Technology Data Exchange (ETDEWEB)

    Dragicevic, M., E-mail: marko.dragicevic@oeaw.ac.at [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Auzinger, G. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); CERN, Geneva (Switzerland); Bartl, U. [Infineon Technologies Austria AG, Villach (Austria); Bergauer, T. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Gamerith, S.; Hacker, J. [Infineon Technologies Austria AG, Villach (Austria); König, A. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Infineon Technologies Austria AG, Villach (Austria); Kröner, F.; Kucher, E.; Moser, J.; Neidhart, T. [Infineon Technologies Austria AG, Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, Munich (Germany); Schustereder, W. [Infineon Technologies Austria AG, Villach (Austria); Treberspurg, W. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Wübben, T. [Infineon Technologies Austria AG, Villach (Austria)

    2014-11-21

    Most modern particle physics experiments use silicon based sensors for their tracking systems. These sensors are able to detect particles generated in high energy collisions with high spatial resolution and therefore allow the precise reconstruction of particle tracks. So far only a few vendors were capable of producing silicon strip sensors with the quality needed in particle physics experiments. Together with the European-based semiconductor manufacturer Infineon Technologies AG (Infineon) the Institute of High Energy Physics of the Austrian Academy of Sciences (HEPHY) developed planar silicon strip sensors in p-on-n technology. This work presents the first results from a beam test of strip sensors manufactured by Infineon.

  1. Materials issues in silicon integrated circuit processing

    International Nuclear Information System (INIS)

    Wittmer, M.; Stimmell, J.; Strathman, M.

    1986-01-01

    The symposium on ''Materials Issues in Integrated Circuit Processing'' sought to bring together all of the materials issued pertinent to modern integrated circuit processing. The inherent properties of the materials are becoming an important concern in integrated circuit manufacturing and accordingly research in materials science is vital for the successful implementation of modern integrated circuit technology. The session on Silicon Materials Science revealed the advanced stage of knowledge which topics such as point defects, intrinsic and extrinsic gettering and diffusion kinetics have achieved. Adaption of this knowledge to specific integrated circuit processing technologies is beginning to be addressed. The session on Epitaxy included invited papers on epitaxial insulators and IR detectors. Heteroepitaxy on silicon is receiving great attention and the results presented in this session suggest that 3-d integrated structures are an increasingly realistic possibility. Progress in low temperature silicon epitaxy and epitaxy of thin films with abrupt interfaces was also reported. Diffusion and Ion Implantation were well presented. Regrowth of implant-damaged layers and the nature of the defects which remain after regrowth were discussed in no less than seven papers. Substantial progress was also reported in the understanding of amorphising boron implants and the use of gallium implants for the formation of shallow p/sup +/ -layers

  2. 13th Workshop on Crystalline Silicon Solar Cell Materials and Processes: Extended Abstracts and Papers

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.; Rand, J.; Saitoh, T.; Sinton, R.; Stavola, M.; Swanson, D.; Tan, T.; Weber, E.; Werner, J.; Al-Jassim, M.

    2003-08-01

    The 13th Workshop will provide a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. It will offer an excellent opportunity for researchers in private industry and at universities to prioritize mutual needs for future collaborative research. The workshop is intended to address the fundamental aspects of impurities and defects in silicon: their properties, the dynamics during device processing, and their application for developing low-cost processes for manufacturing high-efficiency silicon solar cells. A combination of oral, poster, and discussion sessions will review recent advances in crystal growth, new cell structures, new processes and process characterization techniques, and cell fabrication approaches suitable for future manufacturing demands.

  3. Ion beam figuring of silicon aspheres

    Science.gov (United States)

    Demmler, Marcel; Zeuner, Michael; Luca, Alfonz; Dunger, Thoralf; Rost, Dirk; Kiontke, Sven; Krüger, Marcus

    2011-03-01

    Silicon lenses are widely used for infrared applications. Especially for portable devices the size and weight of the optical system are very important factors. The use of aspherical silicon lenses instead of spherical silicon lenses results in a significant reduction of weight and size. The manufacture of silicon lenses is more challenging than the manufacture of standard glass lenses. Typically conventional methods like diamond turning, grinding and polishing are used. However, due to the high hardness of silicon, diamond turning is very difficult and requires a lot of experience. To achieve surfaces of a high quality a polishing step is mandatory within the manufacturing process. Nevertheless, the required surface form accuracy cannot be achieved through the use of conventional polishing methods because of the unpredictable behavior of the polishing tools, which leads to an unstable removal rate. To overcome these disadvantages a method called Ion Beam Figuring can be used to manufacture silicon lenses with high surface form accuracies. The general advantage of the Ion Beam Figuring technology is a contactless polishing process without any aging effects of the tool. Due to this an excellent stability of the removal rate without any mechanical surface damage is achieved. The related physical process - called sputtering - can be applied to any material and is therefore also applicable to materials of high hardness like Silicon (SiC, WC). The process is realized through the commercially available ion beam figuring system IonScan 3D. During the process, the substrate is moved in front of a focused broad ion beam. The local milling rate is controlled via a modulated velocity profile, which is calculated specifically for each surface topology in order to mill the material at the associated positions to the target geometry. The authors will present aspherical silicon lenses with very high surface form accuracies compared to conventionally manufactured lenses.

  4. Process Simulation and Characterization of Substrate Engineered Silicon Thin Film Transistor for Display Sensors and Large Area Electronics

    International Nuclear Information System (INIS)

    Hashmi, S M; Ahmed, S

    2013-01-01

    Design, simulation, fabrication and post-process qualification of substrate-engineered Thin Film Transistors (TFTs) are carried out to suggest an alternate manufacturing process step focused on display sensors and large area electronics applications. Damage created by ion implantation of Helium and Silicon ions into single-crystalline n-type silicon substrate provides an alternate route to create an amorphized region responsible for the fabrication of TFT structures with controllable and application-specific output parameters. The post-process qualification of starting material and full-cycle devices using Rutherford Backscattering Spectrometry (RBS) and Proton or Particle induced X-ray Emission (PIXE) techniques also provide an insight to optimize the process protocols as well as their applicability in the manufacturing cycle

  5. Surface morphology evolution in silicon during ion beam processing; TOPICAL

    International Nuclear Information System (INIS)

    Bedrossian P; Caturla, M; Diaz de la Rubia, T; Johnson, M

    1999-01-01

    The Semiconductor Industry Association (SIA) projects that the semiconductor chips used in personal computers and scientific workstations will reach five times the speed and ten times the memory capacity of the current pentium-class processor by the year 2007. However, 1 GHz on-chip clock speeds and 64 Gbits/Chip DRAM technology will not come easy and without a price. Such technologies will require scaling the minimum feature size of CMOS devices (the transistors in the silicon chip) down to below 100nm from the current 180 to 250 nm. This requirement has profound implications for device manufacturing. Existing processing techniques must increasingly be understood quantitatively and modeled with unprecedented precision. Indeed, revolutionary advances in the development of physics-based process simulation tools will be required to achieve the goals for cost efficient manufacturing, and to satisfy the needs of the defense industrial base. These advances will necessitate a fundamental improvement in our basic understanding of microstructure evolution during processing. In order to cut development time and costs, the semiconductor industry makes extensive use of simple models of dopant implantation, and of phenomenological models of defect annealing and diffusion. However, the production of a single device often requires more than 200 processing steps, and the cumulative effects of the various steps are far too complex to be treated with these models. The lack of accurate process modeling simulators is proving to be a serious impediment to the development of next generation devices. New atomic-level models are required to describe the point defect distributions produced by the implantation process, and the defect and dopant diffusion resulting from rapid thermal annealing steps. In this LDRD project, we investigated the migration kinetics of defects and dopants in silicon both experimentally and theoretically to provide a fundamental database for use in the development

  6. Silicon web process development

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Skutch, M. E.; Driggers, J. M.; Hopkins, R. H.

    1981-01-01

    The silicon web process takes advantage of natural crystallographic stabilizing forces to grow long, thin single crystal ribbons directly from liquid silicon. The ribbon, or web, is formed by the solidification of a liquid film supported by surface tension between two silicon filaments, called dendrites, which border the edges of the growing strip. The ribbon can be propagated indefinitely by replenishing the liquid silicon as it is transformed to crystal. The dendritic web process has several advantages for achieving low cost, high efficiency solar cells. These advantages are discussed.

  7. Development of practical application technology for photovoltaic power generation systems in fiscal 1997. Development of technologies to manufacture application type thin film solar cells with new structure (development of technologies to manufacture amorphous silicon and thin film poly-crystal silicon hybrid thin film solar cells); 1997 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu. Usumaku taiyo denchi no seizo gijutsu kaihatsu, oyogata shinkozo usumaku taiyo denchi no seizo gijutsu kaihatsu (amorphous silicon/usumaku takessho silicon hybrid usumaku taiyo denchi no seizo gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Research and development was performed with an objective to manufacture amorphous silicon and thin film poly-crystal silicon hybrid solar cells with large area and at low cost, being a high-efficiency next generation solar cell. The research was performed based on a principle that low-cost substrates shall be used, that a manufacturing process capable of forming amorphous silicon films with large area shall be based on, and that silicon film with as thin as possible thickness shall be used. Fiscal 1997 has started research and development on making the cells hybrid with amorphous silicon cells. As a result of the research and development, such achievements have been attained as using texture structure on the rear layer in thin poly-crystal silicon film solar cells with a thickness of two microns, and having achieved conversion efficiency of 10.1% by optimizing the junction interface forming conditions. A photo-deterioration test was carried out on hybrid cells which combine the thin poly-crystal silicon film cells having STAR structure with the amorphous silicon cells. Stabilization efficiency of 11.5% was attained after light has been irradiated for 500 hours or longer. (NEDO)

  8. Radiation hardness of silicon detectors manufactured on wafers from various sources

    International Nuclear Information System (INIS)

    Dezillie, B.; Bates, S.; Glaser, M.; Lemeilleur, F.; Leroy, C.

    1997-01-01

    Impurity concentrations in the initial silicon material are expected to play an important role for the radiation hardness of silicon detectors, during their irradiation and for their evolution with time after irradiation. This work reports on the experimental results obtained with detectors manufactured using various float-zone (FZ) and epitaxial-grown material. Preliminary results comparing the changes in leakage current and full depletion voltage of FZ and epitaxial detectors as a function of fluence and of time after 10 14 cm -2 proton irradiation are given. The measurement of charge collection efficiency for epitaxial detectors is also presented. (orig.)

  9. Eighth Workshop on Crystalline Silicon Solar Cell Materials and Processes; Summary Discussion Sessions

    International Nuclear Information System (INIS)

    Sopori, B.; Swanson, D.; Sinton, R.; Stavola, M.; Tan, T.

    1998-01-01

    This report is a summary of the panel discussions included with the Eighth Workshop on Crystalline Silicon Solar Cell Materials and Processes. The theme of the workshop was ''Supporting the Transition to World Class Manufacturing.'' This workshop provided a forum for an informal exchange of information between researchers in the photovoltaic and nonphotovoltaic fields on various aspects of impurities and defects in silicon, their dynamics during device processing, and their application in defect engineering. This interaction helped establish a knowledge base that can be used for improving device-fabrication processes to enhance solar-cell performance and reduce cell costs. It also provided an excellent opportunity for researchers from industry and universities to recognize mutual needs for future joint research

  10. 1366 Project Silicon: Reclaiming US Silicon PV Leadership

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Adam [1366 Technologies, Bedford, MA (United States)

    2016-02-16

    1366 Technologies’ Project Silicon addresses two of the major goals of the DOE’s PV Manufacturing Initiative Part 2 program: 1) How to reclaim a strong silicon PV manufacturing presence and; 2) How to lower the levelized cost of electricity (“LCOE”) for solar to $0.05-$0.07/kWh, enabling wide-scale U.S. market adoption. To achieve these two goals, US companies must commercialize disruptive, high-value technologies that are capable of rapid scaling, defensible from foreign competition, and suited for US manufacturing. These are the aims of 1366 Technologies Direct Wafer ™ process. The research conducted during Project Silicon led to the first industrial scaling of 1366’s Direct Wafer™ process – an innovative, US-friendly (efficient, low-labor content) manufacturing process that destroys the main cost barrier limiting silicon PV cost-reductions: the 35-year-old grand challenge of making quality wafers (40% of the cost of modules) without the cost and waste of sawing. The SunPath program made it possible for 1366 Technologies to build its demonstration factory, a key and critical step in the Company’s evolution. The demonstration factory allowed 1366 to build every step of the process flow at production size, eliminating potential risk and ensuring the success of the Company’s subsequent scaling for a 1 GW factory to be constructed in Western New York in 2016 and 2017. Moreover, the commercial viability of the Direct Wafer process and its resulting wafers were established as 1366 formed key strategic partnerships, gained entry into the $8B/year multi-Si wafer market, and installed modules featuring Direct Wafer products – the veritable proving grounds for the technology. The program also contributed to the development of three Generation 3 Direct Wafer furnaces. These furnaces are the platform for copying intelligently and preparing our supply chain – large-scale expansion will not require a bigger machine but more machines. SunPath filled the

  11. High aspect ratio micro tool manufacturing for polymer replication using mu EDM of silicon, selective etching and electroforming

    DEFF Research Database (Denmark)

    Tosello, Guido; Bissacco, Giuliano; Tang, Peter Torben

    2008-01-01

    Mass fabrication of polymer micro components with high aspect ratio micro-structures requires high performance micro tools allowing the use of low cost replication processes such as micro injection moulding. In this regard an innovative process chain, based on a combination of micro electrical di...... discharge machining (mu EDM) of a silicon substrate, electroforming and selective etching was used for the manufacturing of a micro tool. The micro tool was employed for polymer replication by means of the injection moulding process....

  12. A review of manufacturing metrology for improved reliability of silicon photovoltaic modules

    Science.gov (United States)

    Davis, Kristopher O.; Walters, Joseph; Schneller, Eric; Seigneur, Hubert; Brooker, R. Paul; Scardera, Giuseppe; Rodgers, Marianne P.; Mohajeri, Nahid; Shiradkar, Narendra; Dhere, Neelkanth G.; Wohlgemuth, John; Rudack, Andrew C.; Schoenfeld, Winston V.

    2014-10-01

    In this work, the use of manufacturing metrology across the supply chain to improve crystalline silicon (c-Si) photovoltaic (PV) module reliability and durability is addressed. Additionally, an overview and summary of a recent extensive literature survey of relevant measurement techniques aimed at reducing or eliminating the probability of field failures is presented. An assessment of potential gaps is also given, wherein the PV community could benefit from new research and demonstration efforts. This review is divided into three primary areas representing different parts of the c-Si PV supply chain: (1) feedstock production, crystallization and wafering; (2) cell manufacturing; and (3) module manufacturing.

  13. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  14. Application Of Artificial Neural Networks In Modeling Of Manufactured Front Metallization Contact Resistance For Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Musztyfaga-Staszuk M.

    2015-09-01

    Full Text Available This paper presents the application of artificial neural networks for prediction contact resistance of front metallization for silicon solar cells. The influence of the obtained front electrode features on electrical properties of solar cells was estimated. The front electrode of photovoltaic cells was deposited using screen printing (SP method and next to manufactured by two methods: convectional (1. co-fired in an infrared belt furnace and unconventional (2. Selective Laser Sintering. Resistance of front electrodes solar cells was investigated using Transmission Line Model (TLM. Artificial neural networks were obtained with the use of Statistica Neural Network by Statsoft. Created artificial neural networks makes possible the easy modelling of contact resistance of manufactured front metallization and allows the better selection of production parameters. The following technological recommendations for the screen printing connected with co-firing and selective laser sintering technology such as optimal paste composition, morphology of the silicon substrate, co-firing temperature and the power and scanning speed of the laser beam to manufacture the front electrode of silicon solar cells were experimentally selected in order to obtain uniformly melted structure well adhered to substrate, of a small front electrode substrate joint resistance value. The prediction possibility of contact resistance of manufactured front metallization is valuable for manufacturers and constructors. It allows preserving the customers’ quality requirements and bringing also measurable financial advantages.

  15. Development of a Self Aligned CMOS Process for Flash Lamp Annealed Polycrystalline Silicon TFTs

    Science.gov (United States)

    Bischoff, Paul

    The emerging active matrix liquid crystal (AMLCD) display market requires a high performing semiconductor material to meet rising standards of operation. Currently amorphous silicon (a-Si) dominates the market but it does not have the required mobility for it to be used in AMLCD manufacturing. Other materials have been developed including crystallizing a-Si into poly-silicon. A new approach to crystallization through the use of flash lamp annealing (FLA) decreases manufacturing time and greatly improves carrier mobility. Previous work on FLA silicon for the use in CMOS transistors revealed significant lateral dopant diffusion into the channel greatly increasing the minimum channel length required for a working device. This was further confounded by the gate overlap due to misalignment during lithography patterning steps. Through the use of furnace dopant activation instead of FLA dopant activation and a self aligned gate the minimum size transistor can be greatly reduced. A new lithography mask and process flow were developed for the furnace annealing and self aligned gate. Fabrication of the self aligned devices resulted in oxidation of the Molybdenum self aligned gate. Further development is needed to successfully manufacture these devices. Non-self aligned transistors were made simultaneously with self aligned devices and used the furnace activation. These devices showed an increase in sheet resistance from 250 O to 800 O and lower mobility from 380 to 40.2 V/cm2s. The lower mobility can be contributed to an increase in implanted trap density indicating furnace annealing is an inferior activation method over FLA. The minimum transistor size however was reduced from 20 to 5 mum. With improvements in the self aligned process high performing small devices can be manufactured.

  16. Silicon analog components device design, process integration, characterization, and reliability

    CERN Document Server

    El-Kareh, Badih

    2015-01-01

    This book covers modern analog components, their characteristics, and interactions with process parameters. It serves as a comprehensive guide, addressing both the theoretical and practical aspects of modern silicon devices and the relationship between their electrical properties and processing conditions. Based on the authors’ extensive experience in the development of analog devices, this book is intended for engineers and scientists in semiconductor research, development and manufacturing. The problems at the end of each chapter and the numerous charts, figures and tables also make it appropriate for use as a text in graduate and advanced undergraduate courses in electrical engineering and materials science.

  17. Silicon photonics integrated circuits: a manufacturing platform for high density, low power optical I/O's.

    Science.gov (United States)

    Absil, Philippe P; Verheyen, Peter; De Heyn, Peter; Pantouvaki, Marianna; Lepage, Guy; De Coster, Jeroen; Van Campenhout, Joris

    2015-04-06

    Silicon photonics integrated circuits are considered to enable future computing systems with optical input-outputs co-packaged with CMOS chips to circumvent the limitations of electrical interfaces. In this paper we present the recent progress made to enable dense multiplexing by exploiting the integration advantage of silicon photonics integrated circuits. We also discuss the manufacturability of such circuits, a key factor for a wide adoption of this technology.

  18. 10th Workshop on Crystalline Silicon Solar Cell Materials and Processes: Extended Abstracts and Papers from the Workshop, Copper Mountain Resort; August 14-16, 2000

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B.L.; Gee, J.; Kalejs, J.; Saitoh, R.; Stavola, M.; Swanson, D.; Tan, T.; Weber, E.; Werner, J.

    2000-08-11

    The 10th Workshop provided a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and non-photovoltaic fields. Discussions included the various aspects of impurities and defects in silicon-their properties, the dynamics during device processing, and their application for developing low-cost processes for manufacturing high-efficiency silicon solar cells. Sessions and panel discussions also reviewed thin-film crystalline-silicon PV, advanced cell structures, new processes and process characterization techniques, and future manufacturing requirements to meet the ambitious expansion goals described in the recently released US PV Industry Roadmap. The Workshop also provided an excellent opportunity for researchers in private industry and at universities to recognize a mutual need for future collaborative research. The three-day workshop consisted of presentations by invited speakers, followed by discussion sessions. In addition, there was two poster sessions presenting the latest research and development results. The subjects discussed included: solar cell processing, light-induced degradation, gettering and passivation, crystalline silicon growth, thin-film silicon solar cells, and impurities and defects. Two special sessions featured at this workshop: advanced metallization and interconnections, and characterization methods.

  19. Precision laser processing for micro electronics and fiber optic manufacturing

    Science.gov (United States)

    Webb, Andrew; Osborne, Mike; Foster-Turner, Gideon; Dinkel, Duane W.

    2008-02-01

    The application of laser based materials processing for precision micro scale manufacturing in the electronics and fiber optic industry is becoming increasingly widespread and accepted. This presentation will review latest laser technologies available and discuss the issues to be considered in choosing the most appropriate laser and processing parameters. High repetition rate, short duration pulsed lasers have improved rapidly in recent years in terms of both performance and reliability enabling flexible, cost effective processing of many material types including metal, silicon, plastic, ceramic and glass. Demonstrating the relevance of laser micromachining, application examples where laser processing is in use for production will be presented, including miniaturization of surface mount capacitors by applying a laser technique for demetalization of tracks in the capacitor manufacturing process and high quality laser machining of fiber optics including stripping, cleaving and lensing, resulting in optical quality finishes without the need for traditional polishing. Applications include telecoms, biomedical and sensing. OpTek Systems was formed in 2000 and provide fully integrated systems and sub contract services for laser processes. They are headquartered in the UK and are establishing a presence in North America through a laser processing facility in South Carolina and sales office in the North East.

  20. Impurity doping processes in silicon

    CERN Document Server

    Wang, FFY

    1981-01-01

    This book introduces to non-experts several important processes of impurity doping in silicon and goes on to discuss the methods of determination of the concentration of dopants in silicon. The conventional method used is the discussion process, but, since it has been sufficiently covered in many texts, this work describes the double-diffusion method.

  1. Silicon photonics fundamentals and devices

    CERN Document Server

    Deen, M Jamal

    2012-01-01

    The creation of affordable high speed optical communications using standard semiconductor manufacturing technology is a principal aim of silicon photonics research. This would involve replacing copper connections with optical fibres or waveguides, and electrons with photons. With applications such as telecommunications and information processing, light detection, spectroscopy, holography and robotics, silicon photonics has the potential to revolutionise electronic-only systems. Providing an overview of the physics, technology and device operation of photonic devices using exclusively silicon and related alloys, the book includes: * Basic Properties of Silicon * Quantum Wells, Wires, Dots and Superlattices * Absorption Processes in Semiconductors * Light Emitters in Silicon * Photodetectors , Photodiodes and Phototransistors * Raman Lasers including Raman Scattering * Guided Lightwaves * Planar Waveguide Devices * Fabrication Techniques and Material Systems Silicon Photonics: Fundamentals and Devices outlines ...

  2. Development of processes for the production of low cost silicon dendritic web for solar cells

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Hopkins, R. H.; Skutch, M. E.; Driggers, J. M.; Hill, F. E.

    1980-01-01

    High area output rates and continuous, automated growth are two key technical requirements for the growth of low-cost silicon ribbons for solar cells. By means of computer-aided furnace design, silicon dendritic web output rates as high as 27 sq cm/min have been achieved, a value in excess of that projected to meet a $0.50 per peak watt solar array manufacturing cost. The feasibility of simultaneous web growth while the melt is replenished with pelletized silicon has also been demonstrated. This step is an important precursor to the development of an automated growth system. Solar cells made on the replenished material were just as efficient as devices fabricated on typical webs grown without replenishment. Moreover, web cells made on a less-refined, pelletized polycrystalline silicon synthesized by the Battelle process yielded efficiencies up to 13% (AM1).

  3. Free-world microelectronic manufacturing equipment

    Science.gov (United States)

    Kilby, J. S.; Arnold, W. H.; Booth, W. T.; Cunningham, J. A.; Hutcheson, J. D.; Owen, R. W.; Runyan, W. R.; McKenney, Barbara L.; McGrain, Moira; Taub, Renee G.

    1988-12-01

    Equipment is examined and evaluated for the manufacture of microelectronic integrated circuit devices and sources for that equipment within the Free World. Equipment suitable for the following are examined: single-crystal silicon slice manufacturing and processing; required lithographic processes; wafer processing; device packaging; and test of digital integrated circuits. Availability of the equipment is also discussed, now and in the near future. Very adequate equipment for most stages of the integrated circuit manufacturing process is available from several sources, in different countries, although the best and most widely used versions of most manufacturing equipment are made in the United States or Japan. There is also an active market in used equipment, suitable for manufacture of capable integrated circuits with performance somewhat short of the present state of the art.

  4. Process of preparing tritiated porous silicon

    Science.gov (United States)

    Tam, Shiu-Wing

    1997-01-01

    A process of preparing tritiated porous silicon in which porous silicon is equilibrated with a gaseous vapor containing HT/T.sub.2 gas in a diluent for a time sufficient for tritium in the gas phase to replace hydrogen present in the pore surfaces of the porous silicon.

  5. Silicon pore optics for future x-ray telescopes

    DEFF Research Database (Denmark)

    Wille, Eric; Bavdaz, Marcos; Wallace, Kotska

    2017-01-01

    arcsec or better. These specifications can only be achieved with a novel technology like Silicon Pore Optics, which is being developed by ESA together with a consortium of European industry. Silicon Pore Optics are made of commercial Si wafers using process technology adapted from the semiconductor...... industry. We present the recent upgrades made to the manufacturing processes and equipment, ranging from the manufacture of single mirror plates towards complete focusing mirror modules mounted in flight configuration, and results from first vibration tests. The performance of the mirror modules is tested...

  6. 11th Workshop on Crystalline Silicon Solar Cell Materials and Processes, Extended Abstracts and Papers, 19-22 August 2001, Estes Park, Colorado

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B.

    2001-08-16

    The 11th Workshop will provide a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and non-photovoltaic fields. Discussions will include the various aspects of impurities and defects in silicon--their properties, the dynamics during device processing, and their application for developing low-cost processes for manufacturing high-efficiency silicon solar cells. Sessions and panel discussions will review impurities and defects in crystalline-silicon PV, advanced cell structures, new processes and process characterization techniques, and future manufacturing demands. The workshop will emphasize some of the promising new technologies in Si solar cell fabrication that can lower PV energy costs and meet the throughput demands of the future. The three-day workshop will consist of presentations by invited speakers, followed by discussion sessions. Topics to be discussed are: Si Mechanical properties and Wafer Handling, Advanced Topics in PV Fundamentals, Gettering and Passivation, Impurities and Defects, Advanced Emitters, Crystalline Silicon Growth, and Solar Cell Processing. The workshop will also include presentations by NREL subcontractors who will review the highlights of their research during the current subcontract period. In addition, there will be two poster sessions presenting the latest research and development results. Some presentations will address recent technologies in the microelectronics field that may have a direct bearing on PV.

  7. An Improved Manufacturing Approach for Discrete Silicon Microneedle Arrays with Tunable Height-Pitch Ratio

    Directory of Open Access Journals (Sweden)

    Renxin Wang

    2016-10-01

    Full Text Available Silicon microneedle arrays (MNAs have been widely studied due to their potential in various transdermal applications. However, discrete MNAs, as a preferred choice to fabricate flexible penetrating devices that could adapt curved and elastic tissue, are rarely reported. Furthermore, the reported discrete MNAs have disadvantages lying in uniformity and height-pitch ratio. Therefore, an improved technique is developed to manufacture discrete MNA with tunable height-pitch ratio, which involves KOH-dicing-KOH process. The detailed process is sketched and simulated to illustrate the formation of microneedles. Furthermore, the undercutting of convex mask in two KOH etching steps are mathematically analyzed, in order to reveal the relationship between etching depth and mask dimension. Subsequently, fabrication results demonstrate KOH-dicing-KOH process. {321} facet is figured out as the surface of octagonal pyramid microneedle. MNAs with diverse height and pitch are also presented to identify the versatility of this approach. At last, the metallization is realized via successive electroplating.

  8. 12th Workshop on Crystalline Silicon Solar Cell Materials and Processes: Extended Abstracts and Papers, August 11-14, 2002, Breckenridge, Colorado

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.

    2002-08-01

    The 12th Workshop will provide a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. Discussions will include various aspects of impurities and defects in silicon-their properties, the dynamics during processing, and their application for developing low-cost processes for manufacturing high-efficiency silicon solar cells. The workshop will emphasize some of the promising new technologies in Si solar cell fabrication that can lower PV energy costs and meet the production demands of the future. It will also provide an excellent opportunity for researchers, in private industry and at universities, to prioritize mutual needs for future collaborative research. Sessions and panel discussions will review recent advances in crystal growth, new cell structures, new processes and process characterization techniques, and manufacturing approaches suitable for future manufacturing demands . Some presentations will address recent technologies in the microelectronics field that may have a direct bearing on PV. The three-day workshop will consist of presentations by invited speakers, followed by discussion sessions. In addition, there will be two poster sessions presenting the latest research and development results.

  9. Ninth workshop on crystalline silicon solar cell materials and processes: Summary discussion sessions

    International Nuclear Information System (INIS)

    Sopori, B.; Tan, T.; Swanson, D.; Rosenblum, M.; Sinton, R.

    1999-01-01

    This report is a summary of the panel discussions included with the Ninth Workshop on Crystalline Silicon Solar Cell Materials and Processes. The theme for the workshop was ''R and D Challenges and Opportunities in Si Photovoltaics''. This theme was chosen because it appropriately reflects a host of challenges that the growing production of Si photovoltaics will be facing in the new millennium. The anticipated challenges will arise in developing strategies for cost reduction, increased production, higher throughput per manufacturing line, new sources of low-cost Si, and the introduction of new manufacturing processes for cell production. At the same time, technologies based on CdTe and CIS will come on line posing new competition. With these challenges come new opportunities for Si PV to wean itself from the microelectronics industry, to embark on a more aggressive program in thin-film Si solar cells, and to try new approaches to process monitoring

  10. Floating Silicon Method

    Energy Technology Data Exchange (ETDEWEB)

    Kellerman, Peter

    2013-12-21

    The Floating Silicon Method (FSM) project at Applied Materials (formerly Varian Semiconductor Equipment Associates), has been funded, in part, by the DOE under a “Photovoltaic Supply Chain and Cross Cutting Technologies” grant (number DE-EE0000595) for the past four years. The original intent of the project was to develop the FSM process from concept to a commercially viable tool. This new manufacturing equipment would support the photovoltaic industry in following ways: eliminate kerf losses and the consumable costs associated with wafer sawing, allow optimal photovoltaic efficiency by producing high-quality silicon sheets, reduce the cost of assembling photovoltaic modules by creating large-area silicon cells which are free of micro-cracks, and would be a drop-in replacement in existing high efficiency cell production process thereby allowing rapid fan-out into the industry.

  11. Preliminary reduction of chromium ore using Si sludge generated in silicon wafer manufacturing process

    Directory of Open Access Journals (Sweden)

    Jung W.-G.

    2018-01-01

    Full Text Available In order to promote the recycling of by-product from Si wafer manufacturing process and to develop environment-friend and low cost process for ferrochrome alloy production, a basic study was performed on the preliminary reduction reaction between chromium ore and the Si sludge, comprised of SiC and Si particles, which is recovered from the Si wafer manufacturing process for the semiconductor and solar cell industries. Pellets were first made by mixing chromium ore, Si sludge, and some binders in the designed mixing ratios and were then treated at different temperatures in the 1116°C–1388°C range in an ambient atmosphere. Cordierite and SiO2 were confirmed to be formed in the products after the reduction. Additionally, metal particles were observed in the product with Fe, Cr, and Si components. It is found that temperatures above 1300°C are necessary for the reduction of the chromium ore by the Si sludge. The reduction ratio for Fe was evaluated quantitatively for our experimental conditions, and the proper mixing ratio was suggested for the pre-reduction of the chromium ore by the Si sludge. This study provides basic information for the production of ferrochrome alloys on the pre-reduction of chromium ore using Si sludge.

  12. 3D characterisation of tool wear whilst diamond turning silicon

    OpenAIRE

    Durazo-Cardenas, Isidro Sergio; Shore, Paul; Luo, X.; Jacklin, T.; Impey, S. A.; Cox, A.

    2006-01-01

    Nanometrically smooth infrared silicon optics can be manufactured by the diamond turning process. Due to its relatively low density, silicon is an ideal optical material for weight sensitive infrared (IR) applications. However, rapid diamond tool edge degradation and the effect on the achieved surface have prevented significant exploitation. With the aim of developing a process model to optimise the diamond turning of silicon optics, a series of experimental trials were devi...

  13. Implementation of hierarchical design for manufacture rules in manufacturing processes

    OpenAIRE

    Parvez, Masud

    2008-01-01

    In order to shorten the product development cycle time, minimise overall cost and smooth transition into production, early consideration of manufacturing processes is important. Design for Manufacture (DFM) is the practice of designing products with manufacturing issues using an intelligent system, which translates 3D solid models into manufacturable features. Many existing and potential applications, particularly in the field of manufacturing, require various aspects of features technology. ...

  14. DECOMPOSITION OF MANUFACTURING PROCESSES: A REVIEW

    Directory of Open Access Journals (Sweden)

    N.M.Z.N. Mohamed

    2012-06-01

    Full Text Available Manufacturing is a global activity that started during the industrial revolution in the late 19th century to cater for the large-scale production of products. Since then, manufacturing has changed tremendously through the innovations of technology, processes, materials, communication and transportation. The major challenge facing manufacturing is to produce more products using less material, less energy and less involvement of labour. To face these challenges, manufacturing companies must have a strategy and competitive priority in order for them to compete in a dynamic market. A review of the literature on the decomposition of manufacturing processes outlines three main processes, namely: high volume, medium volume and low volume. The decomposition shows that each sub process has its own characteristics and depends on the nature of the firm’s business. Two extreme processes are continuous line production (fast extreme and project shop (slow extreme. Other processes are in between these two extremes of the manufacturing spectrum. Process flow patterns become less complex with cellular, line and continuous flow compared with jobbing and project. The review also indicates that when the product is high variety and low volume, project or functional production is applied.

  15. First thin AC-coupled silicon strip sensors on 8-inch wafers

    Energy Technology Data Exchange (ETDEWEB)

    Bergauer, T., E-mail: thomas.bergauer@oeaw.ac.at [Institute of High Energy Physics of the Austrian Academy of Sciences, Nikolsdorfer Gasse 18, 1050 Wien (Vienna) (Austria); Dragicevic, M.; König, A. [Institute of High Energy Physics of the Austrian Academy of Sciences, Nikolsdorfer Gasse 18, 1050 Wien (Vienna) (Austria); Hacker, J.; Bartl, U. [Infineon Technologies Austria AG, Siemensstrasse 2, 9500 Villach (Austria)

    2016-09-11

    The Institute of High Energy Physics (HEPHY) in Vienna and the semiconductor manufacturer Infineon Technologies Austria AG developed a production process for planar AC-coupled silicon strip sensors manufactured on 200 μm thick 8-inch p-type wafers. In late 2015, the first wafers were delivered featuring the world's largest AC-coupled silicon strip sensors. Detailed electrical measurements were carried out at HEPHY, where single strip and global parameters were measured. Mechanical studies were conducted and the long-term behavior was investigated using a climate chamber. Furthermore, the electrical properties of various test structures were investigated to validate the quality of the manufacturing process.

  16. Improved Methods for Production Manufacturing Processes in Environmentally Benign Manufacturing

    Directory of Open Access Journals (Sweden)

    Yan-Yan Wang

    2011-09-01

    Full Text Available How to design a production process with low carbon emissions and low environmental impact as well as high manufacturing performance is a key factor in the success of low-carbon production. It is important to address concerns about climate change for the large carbon emission source manufacturing industries because of their high energy consumption and environmental impact during the manufacturing stage of the production life cycle. In this paper, methodology for determining a production process is developed. This methodology integrates process determination from three different levels: new production processing, selected production processing and batch production processing. This approach is taken within a manufacturing enterprise based on prior research. The methodology is aimed at providing decision support for implementing Environmentally Benign Manufacturing (EBM and low-carbon production to improve the environmental performance of the manufacturing industry. At the first level, a decision-making model for new production processes based on the Genetic Simulated Annealing Algorithm (GSAA is presented. The decision-making model considers not only the traditional factors, such as time, quality and cost, but also energy and resource consumption and environmental impact, which are different from the traditional methods. At the second level, a methodology is developed based on an IPO (Input-Process-Output model that integrates assessments of resource consumption and environmental impact in terms of a materials balance principle for batch production processes. At the third level, based on the above two levels, a method for determining production processes that focus on low-carbon production is developed based on case-based reasoning, expert systems and feature technology for designing the process flow of a new component. Through the above three levels, a method for determining the production process to identify, quantify, assess, and optimize the

  17. A repeatable and scalable fabrication method for sharp, hollow silicon microneedles

    Science.gov (United States)

    Kim, H.; Theogarajan, L. S.; Pennathur, S.

    2018-03-01

    Scalability and manufacturability are impeding the mass commercialization of microneedles in the medical field. Specifically, microneedle geometries need to be sharp, beveled, and completely controllable, difficult to achieve with microelectromechanical fabrication techniques. In this work, we performed a parametric study using silicon etch chemistries to optimize the fabrication of scalable and manufacturable beveled silicon hollow microneedles. We theoretically verified our parametric results with diffusion reaction equations and created a design guideline for a various set of miconeedles (80-160 µm needle base width, 100-1000 µm pitch, 40-50 µm inner bore diameter, and 150-350 µm height) to show the repeatability, scalability, and manufacturability of our process. As a result, hollow silicon microneedles with any dimensions can be fabricated with less than 2% non-uniformity across a wafer and 5% deviation between different processes. The key to achieving such high uniformity and consistency is a non-agitated HF-HNO3 bath, silicon nitride masks, and surrounding silicon filler materials with well-defined dimensions. Our proposed method is non-labor intensive, well defined by theory, and straightforward for wafer scale mass production, opening doors to a plethora of potential medical and biosensing applications.

  18. Laser process for extended silicon thin film solar cells

    International Nuclear Information System (INIS)

    Hessmann, M.T.; Kunz, T.; Burkert, I.; Gawehns, N.; Schaefer, L.; Frick, T.; Schmidt, M.; Meidel, B.; Auer, R.; Brabec, C.J.

    2011-01-01

    We present a large area thin film base substrate for the epitaxy of crystalline silicon. The concept of epitaxial growth of silicon on large area thin film substrates overcomes the area restrictions of an ingot based monocrystalline silicon process. Further it opens the possibility for a roll to roll process for crystalline silicon production. This concept suggests a technical pathway to overcome the limitations of silicon ingot production in terms of costs, throughput and completely prevents any sawing losses. The core idea behind these thin film substrates is a laser welding process of individual, thin silicon wafers. In this manuscript we investigate the properties of laser welded monocrystalline silicon foils (100) by micro-Raman mapping and spectroscopy. It is shown that the laser beam changes the crystalline structure of float zone grown silicon along the welding seam. This is illustrated by Raman mapping which visualizes compressive stress as well as tensile stress in a range of - 147.5 to 32.5 MPa along the welding area.

  19. Green manufacturing processes and systems

    Energy Technology Data Exchange (ETDEWEB)

    Davim, J. Paulo (ed.) [Aveiro Univ. (Portugal). Dept. of Mechanical Engineering, Campus Universitario de Santiago

    2013-02-01

    This book provides the recent advances on green manufacturing processes and systems for modern industry. Chapter 1 provides information on sustainable manufacturing through environmentally-friendly machining. Chapter 2 is dedicated to environmentally-friendly machining: vegetable based cutting fluids. Chapter 3 describes environmental-friendly joining of tubes. Chapter 4 contains information on concepts, methods and strategies for zero-waste in manufacturing. Finally, chapter 5 is dedicated to the application of hybrid MCDM approach for selecting the best tyre recycling process.

  20. Thermal system design and modeling of meniscus controlled silicon growth process for solar applications

    Science.gov (United States)

    Wang, Chenlei

    The direct conversion of solar radiation to electricity by photovoltaics has a number of significant advantages as an electricity generator. That is, solar photovoltaic conversion systems tap an inexhaustible resource which is free of charge and available anywhere in the world. Roofing tile photovoltaic generation, for example, saves excess thermal heat and preserves the local heat balance. This means that a considerable reduction of thermal pollution in densely populated city areas can be attained. A semiconductor can only convert photons with the energy of the band gap with good efficiency. It is known that silicon is not at the maximum efficiency but relatively close to it. There are several main parts for the photovoltaic materials, which include, single- and poly-crystalline silicon, ribbon silicon, crystalline thin-film silicon, amorphous silicon, copper indium diselenide and related compounds, cadmium telluride, et al. In this dissertation, we focus on melt growth of the single- and poly-crystalline silicon manufactured by Czochralski (Cz) crystal growth process, and ribbon silicon produced by the edge-defined film-fed growth (EFG) process. These two methods are the most commonly used techniques for growing photovoltaic semiconductors. For each crystal growth process, we introduce the growth mechanism, growth system design, general application, and progress in the numerical simulation. Simulation results are shown for both Czochralski and EFG systems including temperature distribution of the growth system, velocity field inside the silicon melt and electromagnetic field for the EFG growth system. Magnetic field is applied on Cz system to reduce the melt convection inside crucible and this has been simulated in our numerical model. Parametric studies are performed through numerical and analytical models to investigate the relationship between heater power levels and solidification interface movement and shape. An inverse problem control scheme is developed to

  1. Solar breeder: Energy payback time for silicon photovoltaic systems

    Science.gov (United States)

    Lindmayer, J.

    1977-01-01

    The energy expenditures of the prevailing manufacturing technology of terrestrial photovoltaic cells and panels were evaluated, including silicon reduction, silicon refinement, crystal growth, cell processing and panel building. Energy expenditures include direct energy, indirect energy, and energy in the form of equipment and overhead expenses. Payback times were development using a conventional solar cell as a test vehicle which allows for the comparison of its energy generating capability with the energies expended during the production process. It was found that the energy payback time for a typical solar panel produced by the prevailing technology is 6.4 years. Furthermore, this value drops to 3.8 years under more favorable conditions. Moreover, since the major energy use reductions in terrestrial manufacturing have occurred in cell processing, this payback time directly illustrates the areas where major future energy reductions can be made -- silicon refinement, crystal growth, and panel building.

  2. PV Cz silicon manufacturing technology improvements

    Science.gov (United States)

    Jester, T.

    1995-09-01

    This describes work done in the final phase of a 3-y, 3-phase contract to demonstrate cost reductions and improvements in manufacturing technology. The work focused on near-term projects in the SSI (Siemens Solar Industries) Czochralski (Cz) manufacturing facility in Camarillo, CA; the final phase was concentrated in areas of crystal growth, wafer technology, and environmental, safety, and health issues. During this period: (1) The crystal-growing operation improved with increased growth capacity; (2) Wafer processing with wire saws continued to progress; the wire saws yielded almost 50 percent more wafers per inch in production. The wire saws needs less etching, too; (3) Cell processing improvements focused on better handling and higher mechanical yield. The cell electrical distribution improved with a smaller standard deviation in the distribution; and (4) Module designs for lower material and labor costs continued, with focus on a new junction box, larger modules with larger cells, and less costly framing techniques. Two modules demonstrating these cost reductions were delivered during this phase.

  3. Improved Manufacturing Process for Pyronaridine Tetraphosphate

    International Nuclear Information System (INIS)

    Lee, Dong Won; Lee, Seung Kyu; Cho, Jun Ho; Yoon, Seung Soo

    2014-01-01

    Pyronaridine tetraphosphate (1) is a well-known antimalarial drug. However, it required a carefully optimized production process for the manufacture of pyronaridine tetraphosphate. Each step of its manufacturing process was reinvestigated. For the cyclization of 4-chloro-2-(6-methoxy-pyridin-3-yl-amino)-benzoic acid 6 to 7,10-dichloro-2-methoxybenzo[b]-1,5-naphthyridine 5, an improved process was developed to eliminated critical process impurity (BIA). By the redesign of the formation of triphosphate salt, the purity as API grade was increased. Thus, a robust manufacturing process with an acceptable process performance has been developed to produce high quality pyronaridine tetraphosphate

  4. Ninth Workshop on Crystalline Silicon Solar Cell Materials and Processes: Extended Abstracts and Papers of the Workshop, 9-11 August 1999, Breckenridge, Colorado

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B.L.; Gee, J.; Kalejs, J.; Saitoh, R.; Stavola, M.; Swanson, D.; Tan, T.; Weber, E.; Werner, J.

    2000-08-04

    Since 1997, the PV sales have exceeded 100 MW/yr with > 85% of the production coming from silicon photovoltaics (Si-PV). As the PV demands increase in the new millennium, there will be a host of challenges to Si-PV. The challenges will arise in developing strategies for cost reduction, increased production, higher throughput per manufacturing line, new sources of low-cost Si, and introduction of new manufacturing processes for cell fabrication. At the same time, newer thin-film technologies, based on CdTe and CIS, will come on board posing new competition. With these challenges come new opportunities for the Si-PV-to detach itself from the microelectronics industry, to embark on an aggressive program in thin-film Si solar cells, and to try new approaches to process monitoring. The 9th Workshop on Crystalline Silicon Solar Cell Materials and Processes addressed these issues in a number of sessions. In addition to covering the usual topics of impurity gettering, defects, passivation, and solar cell processing, there were sessions on poly feedstock, mechanical properties of Si, metallization, and process monitoring.

  5. A Process Management System for Networked Manufacturing

    Science.gov (United States)

    Liu, Tingting; Wang, Huifen; Liu, Linyan

    With the development of computer, communication and network, networked manufacturing has become one of the main manufacturing paradigms in the 21st century. Under the networked manufacturing environment, there exist a large number of cooperative tasks susceptible to alterations, conflicts caused by resources and problems of cost and quality. This increases the complexity of administration. Process management is a technology used to design, enact, control, and analyze networked manufacturing processes. It supports efficient execution, effective management, conflict resolution, cost containment and quality control. In this paper we propose an integrated process management system for networked manufacturing. Requirements of process management are analyzed and architecture of the system is presented. And a process model considering process cost and quality is developed. Finally a case study is provided to explain how the system runs efficiently.

  6. Structural modification of silicon during the formation process of porous silicon

    International Nuclear Information System (INIS)

    Martin-Palma, R.J.; Pascual, L.; Landa-Canovas, A.R.; Herrero, P.; Martinez-Duart, J.M.

    2005-01-01

    Direct examination of porous silicon (PS) by the use of high resolution transmission electron microscopy (HRTEM) allowed us to perform a deep insight into the formation mechanisms of this material. In particular, the structure of the PS/Si interface and that of the silicon nanocrystals that compose porous silicon were analyzed in detail. Furthermore, image processing was used to study in detail the structure of PS. The mechanism of PS formation and lattice matching between the PS layer and the Si substrate is analyzed and discussed. Finally, a formation mechanism for PS based on the experimental observations is proposed

  7. Modular Elastomer Photoresins for Digital Light Processing Additive Manufacturing.

    Science.gov (United States)

    Thrasher, Carl J; Schwartz, Johanna J; Boydston, Andrew J

    2017-11-15

    A series of photoresins suitable for the production of elastomeric objects via digital light processing additive manufacturing are reported. Notably, the printing procedure is readily accessible using only entry-level equipment under ambient conditions using visible light projection. The photoresin formulations were found to be modular in nature, and straightforward adjustments to the resin components enabled access to a range of compositions and mechanical properties. Collectively, the series includes silicones, hydrogels, and hybrids thereof. Printed test specimens displayed maximum elongations of up to 472% under tensile load, a tunable swelling behavior in water, and Shore A hardness values from 13.7 to 33.3. A combination of the resins was used to print a functional multimaterial three-armed pneumatic gripper. These photoresins could be transformative to advanced prototyping applications such as simulated human tissues, stimuli-responsive materials, wearable devices, and soft robotics.

  8. Fundamentals of semiconductor manufacturing and process control

    CERN Document Server

    May, Gary S

    2006-01-01

    A practical guide to semiconductor manufacturing from process control to yield modeling and experimental design Fundamentals of Semiconductor Manufacturing and Process Control covers all issues involved in manufacturing microelectronic devices and circuits, including fabrication sequences, process control, experimental design, process modeling, yield modeling, and CIM/CAM systems. Readers are introduced to both the theory and practice of all basic manufacturing concepts. Following an overview of manufacturing and technology, the text explores process monitoring methods, including those that focus on product wafers and those that focus on the equipment used to produce wafers. Next, the text sets forth some fundamentals of statistics and yield modeling, which set the foundation for a detailed discussion of how statistical process control is used to analyze quality and improve yields. The discussion of statistical experimental design offers readers a powerful approach for systematically varying controllable p...

  9. 1366 Project Automate: Enabling Automation for <$0.10/W High-Efficiency Kerfless Wafers Manufactured in the US

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Adam [1366 Technologies, Bedford, MA (United States)

    2017-05-10

    For photovoltaic (PV) manufacturing to thrive in the U.S., there must be an innovative core to the technology. Project Automate builds on 1366’s proprietary Direct Wafer® kerfless wafer technology and aims to unlock the cost and efficiency advantages of thin kerfless wafers. Direct Wafer is an innovative, U.S.-friendly (efficient, low-labor content) manufacturing process that addresses the main cost barrier limiting silicon PV cost-reductions – the 35-year-old grand challenge of manufacturing quality wafers (40% of the cost of modules) without the cost and waste of sawing. This simple, scalable process will allow 1366 to manufacture “drop-in” replacement wafers for the $10 billion silicon PV wafer market at 50% of the cost, 60% of the capital, and 30% of the electricity of conventional casting and sawing manufacturing processes. This SolarMat project developed the Direct Wafer processes’ unique capability to tailor the shape of wafers to simultaneously make thinner AND stronger wafers (with lower silicon usage) that enable high-efficiency cell architectures. By producing wafers with a unique target geometry including a thick border (which determines handling characteristics) and thin interior regions (which control light capture and electron transport and therefore determine efficiency), 1366 can simultaneously improve quality and lower cost (using less silicon).

  10. Flat-plate solar array project. Volume 2: Silicon material

    Science.gov (United States)

    Lutwack, R.

    1986-10-01

    The goal of the Silicon Material Task, a part of the Flat Plate Solar Array (FSA) Project, was to develop and demonstate the technology for the low cost production of silicon of suitable purity to be used as the basic material for the manufacture of terrestrial photovoltaic solar cells. Summarized are 11 different processes for the production of silicon that were investigated and developed to varying extent by industrial, university, and Government researchers. The silane production section of the Union Carbide Corp. (UCC) silane process was developed completely in this program. Coupled with Siemens-type chemical vapor deposition reactors, the process was carried through the pilot stage. The overall UCC process involves the conversion of metallurgical-grade silicon to silane followed by decomposition of the silane to purified silicon. The other process developments are described to varying extents. Studies are reported on the effects of impurities in silicon on both silicon-material properties and on solar cell performance. These studies on the effects of impurities yielded extensive information and models for relating specific elemental concentrations to levels of deleterious effects.

  11. Flat-plate solar array project. Volume 2: Silicon material

    Science.gov (United States)

    Lutwack, R.

    1986-01-01

    The goal of the Silicon Material Task, a part of the Flat Plate Solar Array (FSA) Project, was to develop and demonstate the technology for the low cost production of silicon of suitable purity to be used as the basic material for the manufacture of terrestrial photovoltaic solar cells. Summarized are 11 different processes for the production of silicon that were investigated and developed to varying extent by industrial, university, and Government researchers. The silane production section of the Union Carbide Corp. (UCC) silane process was developed completely in this program. Coupled with Siemens-type chemical vapor deposition reactors, the process was carried through the pilot stage. The overall UCC process involves the conversion of metallurgical-grade silicon to silane followed by decomposition of the silane to purified silicon. The other process developments are described to varying extents. Studies are reported on the effects of impurities in silicon on both silicon-material properties and on solar cell performance. These studies on the effects of impurities yielded extensive information and models for relating specific elemental concentrations to levels of deleterious effects.

  12. Thin film silicon solar cells: advanced processing and characterization - Final report

    Energy Technology Data Exchange (ETDEWEB)

    Ballif, Ch.

    2008-04-15

    This final report elaborated for the Swiss Federal Office of Energy (SFOE) takes a look at the results of a project carried out at the photovoltaics laboratory at the University of Neuchatel in Switzerland. The project aimed to demonstrate the production of high-efficiency thin-film silicon devices on flexible substrates using low cost processes. New ways of improving processing and characterisation are examined. The process and manufacturing know-how necessary to provide support for industrial partners within the framework of further projects is discussed. The authors state that the efficiency of most devices was significantly improved, both on glass substrates and on flexible plastic foils. The process reproducibility was also improved and the interactions between the different layers in the device are now said to be better understood. The report presents the results obtained and discusses substrate materials, transparent conductors, defect analyses and new characterisation tools. Finally, the laboratory infrastructure is described.

  13. Solidification and properties of photovoltaic silicon

    International Nuclear Information System (INIS)

    Anon.

    2007-01-01

    Strenuous efforts are being made to develop an economical process for purifying liquid metallurgical-grade silicon, in response to the growing shortages in high-purity silicon for use in manufacturing photovoltaic cells. A research project is studying this issue at C.E. Saclay, Gif-sur-Yvette, France, co-funded by ADEME (the French Environment and Energy Management Agency) and CEA-INSTN (French Atomic Energy Commission National Institute for Nuclear Science and Technology). (authors)

  14. Process development for high-efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Gee, J.M.; Basore, P.A.; Buck, M.E.; Ruby, D.S.; Schubert, W.K.; Silva, B.L.; Tingley, J.W.

    1991-12-31

    Fabrication of high-efficiency silicon solar cells in an industrial environment requires a different optimization than in a laboratory environment. Strategies are presented for process development of high-efficiency silicon solar cells, with a goal of simplifying technology transfer into an industrial setting. The strategies emphasize the use of statistical experimental design for process optimization, and the use of baseline processes and cells for process monitoring and quality control. 8 refs.

  15. DOE applied to study the effect of process parameters on silicon spacing in lost foam Al-Si-Cu alloy casting

    International Nuclear Information System (INIS)

    Shayganpour, A; Izman, S; Idris, M H; Jafari, H

    2012-01-01

    Lost foam casting as a relatively new manufacturing process is extensively employed to produce sound complicated castings. In this study, an experimental investigation on lost foam casting of an Al-Si-Cu aluminium cast alloy was conducted. The research was aimed in evaluating the effect of different pouring temperatures, slurry viscosities, vibration durations and sand grain sizes on eutectic silicon spacing of thin-wall castings. A stepped-pattern was used in the study and the focus of the investigations was at the thinnest 3 mm section. A full two-level factorial design experimental technique was used to plan the experiments and afterwards identify the significant factors affecting casting silicon spacing. The results showed that pouring temperature and its interaction with vibration time have pronounced effect on eutectic silicon phase size. Increasing pouring temperature coarsened the eutectic silicon spacing while the higher vibration time diminished coarsening effect. Moreover, no significant effects on silicon spacing were found with variation of sand size and slurry viscosity.

  16. Manufacturing Process Simulation of Large-Scale Cryotanks

    Science.gov (United States)

    Babai, Majid; Phillips, Steven; Griffin, Brian

    2003-01-01

    NASA's Space Launch Initiative (SLI) is an effort to research and develop the technologies needed to build a second-generation reusable launch vehicle. It is required that this new launch vehicle be 100 times safer and 10 times cheaper to operate than current launch vehicles. Part of the SLI includes the development of reusable composite and metallic cryotanks. The size of these reusable tanks is far greater than anything ever developed and exceeds the design limits of current manufacturing tools. Several design and manufacturing approaches have been formulated, but many factors must be weighed during the selection process. Among these factors are tooling reachability, cycle times, feasibility, and facility impacts. The manufacturing process simulation capabilities available at NASA.s Marshall Space Flight Center have played a key role in down selecting between the various manufacturing approaches. By creating 3-D manufacturing process simulations, the varying approaches can be analyzed in a virtual world before any hardware or infrastructure is built. This analysis can detect and eliminate costly flaws in the various manufacturing approaches. The simulations check for collisions between devices, verify that design limits on joints are not exceeded, and provide cycle times which aide in the development of an optimized process flow. In addition, new ideas and concerns are often raised after seeing the visual representation of a manufacturing process flow. The output of the manufacturing process simulations allows for cost and safety comparisons to be performed between the various manufacturing approaches. This output helps determine which manufacturing process options reach the safety and cost goals of the SLI. As part of the SLI, The Boeing Company was awarded a basic period contract to research and propose options for both a metallic and a composite cryotank. Boeing then entered into a task agreement with the Marshall Space Flight Center to provide manufacturing

  17. Broadband Nonlinear Signal Processing in Silicon Nanowires

    DEFF Research Database (Denmark)

    Yvind, Kresten; Pu, Minhao; Hvam, Jørn Märcher

    The fast non-linearity of silicon allows Tbit/s optical signal processing. By choosing suitable dimensions of silicon nanowires their dispersion can be tailored to ensure a high nonlinearity at power levels low enough to avoid significant two-photon abso We have fabricated low insertion...

  18. Current manufacturing processes of drug-eluting sutures.

    Science.gov (United States)

    Champeau, Mathilde; Thomassin, Jean-Michel; Tassaing, Thierry; Jérôme, Christine

    2017-11-01

    Drug-eluting sutures represent the next generation of surgical sutures since they fulfill their mechanical functions but also deliver the drug in their vicinity after implantation. These implants are produced by a variety of manufacturing processes. Drug-eluting sutures represent the next generation of surgical sutures since they fulfill their mechanical functions but also deliver the drug in their vicinity after implantation. These implants are produced by a variety of manufacturing processes. Two general approaches can be followed: (i) the ones that add the API into the material during the manufacturing process of the suture and (ii) the ones that load the API to an already manufactured suture. Areas covered: This review provides an overview of the current manufacturing processes for drug-eluting suture production and discusses their benefits and drawbacks depending on the type of drugs. The mechanical properties and the drug delivery profile of drug-eluting sutures are highlighted since these implants must fulfill both criteria. Expert opinion: For limited drug contents, melt extrusion and electrospinning are the emerging processes since the drug is added during the suture manufacture process. Advantageously, the drug release profile can be tuned by controlling the processing parameters specific to each process and the composition of the drug-containing polymer. If high drug content is targeted, the coating or grafting of a drug layer on a pre-manufactured suture allows for preservation of the tensile strength requirements of the suture.

  19. Non-Photolithographic Manufacturing Processes for Micro-Channels Functioned by Micro-Contact-Printed SAMs

    Science.gov (United States)

    Saigusa, Hiroki; Suga, Yasuo; Miki, Norihisa

    In this paper we propose non-photolithographic fabrication processes of micro-fluid channels with patterned SAMs (Self-Assembled-Monolayers). SAMs with a thiol group are micro-contact printed on a patterned Au/Ti layer, which is vapor-deposited through a shadow mask. Ti is an adhesion layer. Subsequently, the micro-channels are formed by bonding surface-activated PDMS onto the silicon substrate via a silanol group, producing a SAMs-functioned bottom wall of the micro-channel. No photolithographic processes are necessary and thus, the proposed processes are very simple, quick and low cost. The micro-reactors can have various functions associated with the micro-contact-printed SAMs. We demonstrate successful manufacturing of micro-reactors with two types of SAMs. The micro-reactor with patterned AUT (11-amino-1-undecanethiol) successfully trapped nano-particles with a carboxylic acid group, indicating that micro-contact-printed SAMs remain active after the manufacturing processes of the micro-reactor. AUT -functioned micro-channels are applicable to bioassay and to immobilize proteins for DNA arrays. ODT (1-octadecanethiol) makes surfaces hydrophobic with the methyl terminal group. When water was introduced into the micro-reactor with ODT-patterned surfaces, water droplets remained only in the hydrophilic areas where ODT was not patterned. ODT -functioned micro-channels are applicable to fluid handling.

  20. Pulsed Laser Interactions with Silicon Nano structures in Emitter Formation

    International Nuclear Information System (INIS)

    Huat, V.L.C.; Leong, C.S.; Kamaruzzaman Sopian, Saleem Hussain Zaidi

    2015-01-01

    Silicon wafer thinning is now approaching fundamental limits for wafer thickness owing to thermal expansion mismatch between Al and Si, reduced yields in wet-chemical processing as a result of fragility, and reduced optical absorption. An alternate manufacturing approach is needed to eliminate current manufacturing issues. In recent years, pulsed lasers have become readily available and costs have been significantly reduced. Pulsed laser interactions with silicon, in terms of micromachining, diffusions, and edge isolation, are well known, and have become industrial manufacturing tools. In this paper, pulsed laser interactions with silicon nano structures were identified as the most desirable solution for the fundamental limitations discussed above. Silicon nano structures have the capability for extremely high absorption that significantly reduces requirements for laser power, as well as thermal shock to the thinner wafer. Laser-assisted crystallization, in the presence of doping materials, leads to nano structure profiles that are highly desirable for sunlight absorption. The objective of this paper is the replacement of high temperature POCl_3 diffusion by laser-assisted phosphorus layers. With these improvements, complete low-temperature processing of thinner wafers was achievable with 3.7 % efficiency. Two-dimensional laser scanning was proved to be able to form uniformly annealed surfaces with higher fill factor and open-circuit voltage. (author)

  1. New Opportunities in Crystalline Silicon R and D

    International Nuclear Information System (INIS)

    Menna, P.

    1998-01-01

    To support the expected growth of the silicon solar cell industry, we believe that research and development (R ampersand D) activities should be carried out in the following areas: polysilicon feedstock for the PV industry; thin-layer silicon deposition methods, and more environmentally benign cell and module manufacturing processes. For each of these activities, we identify the main issues that needed to be addressed

  2. Development of low cost silicon solar cells by reusing the silicon saw dust collected during wafering process

    International Nuclear Information System (INIS)

    Zaidi, Z.I.; Raza, B.; Ahmed, M.; Sheikh, H.; Qazi, I.A.

    2002-01-01

    Silicon material due to its abundance in nature and maximum conversion efficiency has been successfully being used for the fabrication of electronic and photovoltaic devices such as ICs, diodes, transistors and solar cells. The 80% of the semiconductor industry is ruled by silicon material. Single crystal silicon solar cells are in use for both space and terrestrial application, due to the well developed technology and better efficiency than polycrystalline and amorphous silicon solar cells. The current research work is an attempt to reduce the cost of single crystal silicon solar cells by reusing the silicon saw dust obtained during the watering process. During the watering process about 45% Si material is wasted in the form of Si powder dust. Various waste powder silicon samples were analyzed using inductively Coupled Plasma (ICP) technique, for metallic impurities critical for solar grade silicon material. The results were evaluated from impurity and cost point of view. (author)

  3. Silicon web process development. [for low cost solar cells

    Science.gov (United States)

    Duncan, C. S.; Hopkins, R. H.; Seidensticker, R. G.; Mchugh, J. P.; Hill, F. E.; Heimlich, M. E.; Driggers, J. M.

    1979-01-01

    Silicon dendritic web, a single crystal ribbon shaped during growth by crystallographic forces and surface tension (rather than dies), is a highly promising base material for efficient low cost solar cells. The form of the product smooth, flexible strips 100 to 200 microns thick, conserves expensive silicon and facilitates automation of crystal growth and the subsequent manufacturing of solar cells. These characteristics, coupled with the highest demonstrated ribbon solar cell efficiency-15.5%-make silicon web a leading candidate to achieve, or better, the 1986 Low Cost Solar Array (LSA) Project cost objective of 50 cents per peak watt of photovoltaic output power. The main objective of the Web Program, technology development to significantly increase web output rate, and to show the feasibility for simultaneous melt replenishment and growth, have largely been accomplished. Recently, web output rates of 23.6 sq cm/min, nearly three times the 8 sq cm/min maximum rate of a year ago, were achieved. Webs 4 cm wide or greater were grown on a number of occassions.

  4. Features of the Manufacturing Vision Development Process

    DEFF Research Database (Denmark)

    Dukovska-Popovska, Iskra; Riis, Jens Ove; Boer, Harry

    2005-01-01

    of action research. The methodology recommends wide participation of people from different hierarchical and functional positions, who engage in a relatively short, playful and creative process and come up with a vision (concept) for the future manufacturing system in the company. Based on three case studies......This paper discusses the key features of the process of Manufacturing Vision Development, a process that enables companies to develop their future manufacturing concept. The basis for the process is a generic five-phase methodology (Riis and Johansen, 2003) developed as a result of ten years...... of companies going through the initial phases of the methodology, this research identified the key features of the Manufacturing Vision Development process. The paper elaborates the key features by defining them, discussing how and when they can appear, and how they influence the process....

  5. IR-laser assisted additive freeform optics manufacturing.

    Science.gov (United States)

    Hong, Zhihan; Liang, Rongguang

    2017-08-02

    Computer-controlled additive manufacturing (AM) processes, also known as three-dimensional (3D) printing, create 3D objects by the successive adding of a material or materials. While there have been tremendous developments in AM, the 3D printing of optics is lagging due to the limits in materials and tight requirements for optical applicaitons. We propose a new precision additive freeform optics manufacturing (AFOM) method using an pulsed infrared (IR) laser. Compared to ultraviolet (UV) curable materials, thermally curable optical silicones have a number of advantages, such as strong UV stability, non-yellowing, and high transmission, making it particularly suitable for optical applications. Pulsed IR laser radiation offers a distinct advantage in processing optical silicones, as the high peak intensity achieved in the focal region allows for curing the material quickly, while the brief duration of the laser-material interaction creates a negligible heat-affected zone.

  6. Ultrafast Nonlinear Signal Processing in Silicon Waveguides

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Mulvad, Hans Christian Hansen; Hu, Hao

    2012-01-01

    We describe recent demonstrations of exploiting highly nonlinear silicon waveguides for ultrafast optical signal processing. We describe wavelength conversion and serial-to-parallel conversion of 640 Gbit/s data signals and 1.28 Tbit/s demultiplexing and all-optical sampling.......We describe recent demonstrations of exploiting highly nonlinear silicon waveguides for ultrafast optical signal processing. We describe wavelength conversion and serial-to-parallel conversion of 640 Gbit/s data signals and 1.28 Tbit/s demultiplexing and all-optical sampling....

  7. Linear signal processing using silicon micro-ring resonators

    DEFF Research Database (Denmark)

    Peucheret, Christophe; Ding, Yunhong; Ou, Haiyan

    2012-01-01

    We review our recent achievements on the use of silicon micro-ring resonators for linear optical signal processing applications, including modulation format conversion, phase-to-intensity modulation conversion and waveform shaping.......We review our recent achievements on the use of silicon micro-ring resonators for linear optical signal processing applications, including modulation format conversion, phase-to-intensity modulation conversion and waveform shaping....

  8. Solid state laser applications in photovoltaics manufacturing

    Science.gov (United States)

    Dunsky, Corey; Colville, Finlay

    2008-02-01

    Photovoltaic energy conversion devices are on a rapidly accelerating growth path driven by increasing government and societal pressure to use renewable energy as part of an overall strategy to address global warming attributed to greenhouse gas emissions. Initially supported in several countries by generous tax subsidies, solar cell manufacturers are relentlessly pushing the performance/cost ratio of these devices in a quest to reach true cost parity with grid electricity. Clearly this eventual goal will result in further acceleration in the overall market growth. Silicon wafer based solar cells are currently the mainstay of solar end-user installations with a cost up to three times grid electricity. But next-generation technology in the form of thin-film devices promises streamlined, high-volume manufacturing and greatly reduced silicon consumption, resulting in dramatically lower per unit fabrication costs. Notwithstanding the modest conversion efficiency of thin-film devices compared to wafered silicon products (around 6-10% versus 15-20%), this cost reduction is driving existing and start-up solar manufacturers to switch to thin-film production. A key aspect of these devices is patterning large panels to create a monolithic array of series-interconnected cells to form a low current, high voltage module. This patterning is accomplished in three critical scribing processes called P1, P2, and P3. Lasers are the technology of choice for these processes, delivering the desired combination of high throughput and narrow, clean scribes. This paper examines these processes and discusses the optimization of industrial lasers to meet their specific needs.

  9. Simple processing of high efficiency silicon solar cells

    International Nuclear Information System (INIS)

    Hamammu, I.M.; Ibrahim, K.

    2006-01-01

    Cost effective photovoltaic devices have been an area research since the development of the first solar cells, as cost is the major factor in their usage. Silicon solar cells have the biggest share in the photovoltaic market, though silicon os not the optimal material for solar cells. This work introduces a simplified approach for high efficiency silicon solar cell processing, by minimizing the processing steps and thereby reducing cost. The suggested procedure might also allow for the usage of lower quality materials compared to the one used today. The main features of the present work fall into: simplifying the diffusion process, edge shunt isolation and using acidic texturing instead of the standard alkaline processing. Solar cells of 17% efficiency have been produced using this procedure. Investigations on the possibility of improving the efficiency and using less quality material are still underway

  10. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.

    2015-06-18

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  11. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.; Sgourou, E. N.; Londos, C. A.; Schwingenschlö gl, Udo

    2015-01-01

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  12. STM-excited luminescence of porous and spark-processed silicon

    International Nuclear Information System (INIS)

    Andrienko, I.; Kuznetsov, V.; Yuan, J.; Haneman, D.

    1998-01-01

    Full text: Scanning tunneling microscopy (STM) permits highly local electronic excitation of light emission (LE) from the surface of silicon. Measuring STM LE, one can study simultaneously both the topography and the luminescence properties of areas down to nm dimensions and thus make conclusions about the luminescence mechanism of the material. We have built an STM spectroscopy system which allows measurement of spectra of visible light emitted from areas as small as 13 x 13 nm 2 (porous silicon) and 10 x 10 nm 2 (spark-processed silicon). Porous silicon shows a broad emission band centered at 630 nm, and spark-processed silicon, one at 690 nm. The STM LE spectra of spark-processed silicon obtained for the first time. We have found that visible light is emitted only from areas containing nanometer-scale structures down to around 2 nm in diameter. STM LE occurs under negative bias voltage applied to the tip, i.e. when electrons are injected into the sample. Other workers used p-type silicon for the sample preparations, but it has been found that STM LE can be induced also from n-type silicon. Furthermore, we have shown that STM LE spectra can be resolved using much lover voltages and tunneling currents: -(7-9) V and 25 - 50 nA vs -(25-50) V and 100 nA. To consider different excitation mechanisms, the STM LE measurements are compared with photoluminescence and electroluminescence spectra of similar samples. We suggest that excitation of individual quantum confinement structures has been observed

  13. 21 CFR 1005.25 - Service of process on manufacturers.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Service of process on manufacturers. 1005.25....25 Service of process on manufacturers. (a) Every manufacturer of electronic products, prior to... United States as the manufacturer's agent upon whom service of all processes, notices, orders, decisions...

  14. Process for forming a porous silicon member in a crystalline silicon member

    Science.gov (United States)

    Northrup, M. Allen; Yu, Conrad M.; Raley, Norman F.

    1999-01-01

    Fabrication and use of porous silicon structures to increase surface area of heated reaction chambers, electrophoresis devices, and thermopneumatic sensor-actuators, chemical preconcentrates, and filtering or control flow devices. In particular, such high surface area or specific pore size porous silicon structures will be useful in significantly augmenting the adsorption, vaporization, desorption, condensation and flow of liquids and gasses in applications that use such processes on a miniature scale. Examples that will benefit from a high surface area, porous silicon structure include sample preconcentrators that are designed to adsorb and subsequently desorb specific chemical species from a sample background; chemical reaction chambers with enhanced surface reaction rates; and sensor-actuator chamber devices with increased pressure for thermopneumatic actuation of integrated membranes. Examples that benefit from specific pore sized porous silicon are chemical/biological filters and thermally-activated flow devices with active or adjacent surfaces such as electrodes or heaters.

  15. Process Development in the Preparation and Characterization of Silicon Alkoxide From Rice Husk

    International Nuclear Information System (INIS)

    Khin San Win; Toe Shein; Nyunt Wynn

    2011-12-01

    The preparation and characterization of silicon alkoxide (silicon isopropoxide) from rice husk char has been studied. In the investigation, four kinds of Myanmar paddies were chemically assayed. Analyses showed the silicon contend varies from 73-92% . Based on the silicon content, the process development in the production of silicon isopropoxide was carried out. In the process development, silicon isopropoxide with a yield of 44.21% was achieved by the direct reaction of isopropanol in situ by silicon tetrachloride, which was directly produced by the chlorination of rice husk char at the high temperature range of 900-1100 C. The novelity of the process was that, silicon isopropoxide was achieved in situ and not by using the old process, where generally isopropanol was reacted with silicon tetrachloride. The physiochemical properties of silicon isopropoxide was confirmed by conventional and modern techniques. In the investigation, the starting materials, silica in the reaction products were characterized, identified and confirmed by modren techniques. Silicon isopropoxide can be a sources of pore silica whereby silicon of 97-99% of purity can be achieved.

  16. Process monitoring for intelligent manufacturing processes - Methodology and application to Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas

    Process monitoring provides important information on the product, process and manufacturing system during part manufacturing. Such information can be used for process optimization and detection of undesired processing conditions to initiate timely actions for avoidance of defects, thereby improving...... quality assurance. This thesis is aimed at a systematic development of process monitoring solutions, constituting a key element of intelligent manufacturing systems towards zero defect manufacturing. A methodological approach of general applicability is presented in this concern.The approach consists...... of six consecutive steps for identification of product Vital Quality Characteristics (VQCs) and Key Process Variables (KPVs), selection and characterization of sensors, optimization of sensors placement, validation of the monitoring solutions, definition of the reference manufacturing performance...

  17. Multiphysics modelling of manufacturing processes: A review

    DEFF Research Database (Denmark)

    Jabbari, Masoud; Baran, Ismet; Mohanty, Sankhya

    2018-01-01

    Numerical modelling is increasingly supporting the analysis and optimization of manufacturing processes in the production industry. Even if being mostly applied to multistep processes, single process steps may be so complex by nature that the needed models to describe them must include multiphysics...... the diversity in the field of modelling of manufacturing processes as regards process, materials, generic disciplines as well as length scales: (1) modelling of tape casting for thin ceramic layers, (2) modelling the flow of polymers in extrusion, (3) modelling the deformation process of flexible stamps...... for nanoimprint lithography, (4) modelling manufacturing of composite parts and (5) modelling the selective laser melting process. For all five examples, the emphasis is on modelling results as well as describing the models in brief mathematical details. Alongside with relevant references to the original work...

  18. 15th Workshop on Crystalline Silicon Solar Cells and Modules: Materials and Processes; Extended Abstracts and Papers

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.

    2005-11-01

    The National Center for Photovoltaics sponsored the 15th Workshop on Crystalline Silicon Solar Cells & Modules: Materials and Processes, held in Vail, CO, August 7-10, 2005. This meeting provided a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. The workshop addressed the fundamental properties of PV silicon, new solar cell designs, and advanced solar cell processing techniques. A combination of oral presentations by invited speakers, poster sessions, and discussion sessions reviewed recent advances in crystal growth, new cell designs, new processes and process characterization techniques, and cell fabrication approaches suitable for future manufacturing demands. The theme of this year's meeting was 'Providing the Scientific Basis for Industrial Success.' Specific sessions during the workshop included: Advances in crystal growth and material issues; Impurities and defects in Si; Advanced processing; High-efficiency Si solar cells; Thin Si solar cells; and Cell design for efficiency and reliability module operation. The topic for the Rump Session was ''Si Feedstock: The Show Stopper'' and featured a panel discussion by representatives from various PV companies.

  19. Implementation of Statistical Process Control: Evaluating the Mechanical Performance of a Candidate Silicone Elastomer Docking Seal

    Science.gov (United States)

    Oravec, Heather Ann; Daniels, Christopher C.

    2014-01-01

    The National Aeronautics and Space Administration has been developing a novel docking system to meet the requirements of future exploration missions to low-Earth orbit and beyond. A dynamic gas pressure seal is located at the main interface between the active and passive mating components of the new docking system. This seal is designed to operate in the harsh space environment, but is also to perform within strict loading requirements while maintaining an acceptable level of leak rate. In this study, a candidate silicone elastomer seal was designed, and multiple subscale test articles were manufactured for evaluation purposes. The force required to fully compress each test article at room temperature was quantified and found to be below the maximum allowable load for the docking system. However, a significant amount of scatter was observed in the test results. Due to the stochastic nature of the mechanical performance of this candidate docking seal, a statistical process control technique was implemented to isolate unusual compression behavior from typical mechanical performance. The results of this statistical analysis indicated a lack of process control, suggesting a variation in the manufacturing phase of the process. Further investigation revealed that changes in the manufacturing molding process had occurred which may have influenced the mechanical performance of the seal. This knowledge improves the chance of this and future space seals to satisfy or exceed design specifications.

  20. Nonlinear Silicon Photonic Signal Processing Devices for Future Optical Networks

    Directory of Open Access Journals (Sweden)

    Cosimo Lacava

    2017-01-01

    Full Text Available In this paper, we present a review on silicon-based nonlinear devices for all optical nonlinear processing of complex telecommunication signals. We discuss some recent developments achieved by our research group, through extensive collaborations with academic partners across Europe, on optical signal processing using silicon-germanium and amorphous silicon based waveguides as well as novel materials such as silicon rich silicon nitride and tantalum pentoxide. We review the performance of four wave mixing wavelength conversion applied on complex signals such as Differential Phase Shift Keying (DPSK, Quadrature Phase Shift Keying (QPSK, 16-Quadrature Amplitude Modulation (QAM and 64-QAM that dramatically enhance the telecom signal spectral efficiency, paving the way to next generation terabit all-optical networks.

  1. Rapsodie first core manufacture. 1. part: processing plant

    International Nuclear Information System (INIS)

    Masselot, Y.; Bataller, S.; Ganivet, M.; Guillet, H.; Robillard, A.; Stosskopf, F.

    1968-01-01

    This report is the first in a series of three describing the processes, results and peculiar technical problems related to the manufacture of the first core of the fast reactor Rapsodie. A detailed study of manufacturing processes(pellets, pins, fissile sub-assemblies), the associated testings (raw materials, processed pellets and pins, sub-assemblies before delivery), manufacturing facilities and improvements for a second campaign are described. (author) [fr

  2. The processing and potential applications of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Syyuan Shieh.

    1992-07-01

    Stability of a cylindrical pore under the influence of surface energy is important for porous silicon (PS) processing in the integrated circuit industry. Once the zig-zag cylindrical pores of porous silicon or oxidized porous silicon (OPS) are unstable and breakup into rows of isolated spherical pores, oxidation of PS and densification/nitridation of OPS become difficult. Swing to difficulty transport of reactant gas (O{sub 2}, NH{sub 3}) or the trapped gas (for densification of OPS). A first order analysis of the stability of a cylindrical pore or cylinder is considered first. Growth of small sinusoidal perturbations by viscous flow or evaporation/condensation result in dependence of perturbation growth rate on perturbation wavelength. Rapid thermal oxidation (RTO) of porous silicon is proposed as an alternative for the tedious two-step 300 and 800C oxidation process. Transmission electron microscopy, energy dispersive spectroscopy ESCA are used for quality control. Also, rapid thermal nitridation of oxidized porous silicon in ammonia is proposed to enhance OPS resistance to HF solution. Pores breakup of OPS results in a trapped gas problem during densification. Wet helium is proposed as OPS densification ambient gas to shorten densification time. Finally, PS is proposed to be an extrinsic gettering center in silicon wafers. The suppression of oxidation-induced stacking faults is used to demonstrate the gettering ability. Possible mechanism is discussed.

  3. The processing and potential applications of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Shieh, Syyuan [Univ. of California, Berkeley, CA (United States)

    1992-07-01

    Stability of a cylindrical pore under the influence of surface energy is important for porous silicon (PS) processing in the integrated circuit industry. Once the zig-zag cylindrical pores of porous silicon or oxidized porous silicon (OPS) are unstable and breakup into rows of isolated spherical pores, oxidation of PS and densification/nitridation of OPS become difficult. Swing to difficulty transport of reactant gas (O2, NH3) or the trapped gas (for densification of OPS). A first order analysis of the stability of a cylindrical pore or cylinder is considered first. Growth of small sinusoidal perturbations by viscous flow or evaporation/condensation result in dependence of perturbation growth rate on perturbation wavelength. Rapid thermal oxidation (RTO) of porous silicon is proposed as an alternative for the tedious two-step 300 and 800C oxidation process. Transmission electron microscopy, energy dispersive spectroscopy ESCA are used for quality control. Also, rapid thermal nitridation of oxidized porous silicon in ammonia is proposed to enhance OPS resistance to HF solution. Pores breakup of OPS results in a trapped gas problem during densification. Wet helium is proposed as OPS densification ambient gas to shorten densification time. Finally, PS is proposed to be an extrinsic gettering center in silicon wafers. The suppression of oxidation-induced stacking faults is used to demonstrate the gettering ability. Possible mechanism is discussed.

  4. Development in fiscal 1999 of technologies to put photovoltaic power generation systems into practical use. Development of thin film solar cell manufacturing technologies (Development of low-cost large-area module manufacturing technologies, and development of technologies to manufacture amorphous silicon/thin film poly-crystalline silicon hybrid thin film solar cells); 1999 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu seika hokokusho. Usumaku taiyo denchi no seizo gijutsu kaihatsu (tei cost daimenseki module seizo kaihatsu (oyogata shinkozo usumaku taiyo denchi no seizo gijutsu kaihatsu (amorphous silicon / usumaku takessho silicon hybrid usumaku taiyo denchi no seizo gijutsu kaihatsu))

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Developmental research has been performed on large-area low-cost manufacturing technologies on hybrid thin film solar cells of amorphous silicon and poly-crystalline silicon. This paper summarizes the achievements in fiscal 1999. The research has been performed on a texture construction formed naturally on silicon surface, and thin film poly-crystalline silicon cells with STAR structure having a rear side reflection layer to increase light absorption. The research achievements during the current fiscal year may be summarized as follows: the laser scribing technology for thin film poly-crystalline silicon was established, which is important for modularization, making fabrication of low-cost and large-area modules possible; a stabilization efficiency of 11.3% was achieved in a hybrid mini module comprising of ten-stage series integrated amorphous silicon and thin film poly-crystalline silicon; structures different hybrid modules were discussed, whereas an initial efficiency of 10.3% (38.78W) was achieved in a sub-module having a substrate size of 910 mm times 455 mm; and feasibility of forming large-area hybrid modules was demonstrated. (NEDO)

  5. Silicon nanowires for ultra-fast and ultrabroadband optical signal processing

    DEFF Research Database (Denmark)

    Ji, Hua; Hu, Hao; Pu, Minhao

    2015-01-01

    In this paper, we present recent research on silicon nanowires for ultra-fast and ultra-broadband optical signal processing at DTU Fotonik. The advantages and limitations of using silicon nanowires for optical signal processing are revealed through experimental demonstrations of various optical...

  6. QUALITY IMPROVEMENT MODEL AT THE MANUFACTURING PROCESS PREPARATION LEVEL

    Directory of Open Access Journals (Sweden)

    Dusko Pavletic

    2009-12-01

    Full Text Available The paper expresses base for an operational quality improvement model at the manufacturing process preparation level. A numerous appropriate related quality assurance and improvement methods and tools are identified. Main manufacturing process principles are investigated in order to scrutinize one general model of manufacturing process and to define a manufacturing process preparation level. Development and introduction of the operational quality improvement model is based on a research conducted and results of methods and tools application possibilities in real manufacturing processes shipbuilding and automotive industry. Basic model structure is described and presented by appropriate general algorithm. Operational quality improvement model developed lays down main guidelines for practical and systematic application of quality improvements methods and tools.

  7. Analysis of the influence of process conditions on the surface finish of ceramic materials manufactured by EDM

    International Nuclear Information System (INIS)

    Puertas-Arbizu, I.; Luis-Perez, C. J.

    2004-01-01

    Electrical discharge machining (EDM) is an emerging alternative versus some other manufacturing processes of conductive ceramic materials, such as: laser machining, electrochemical machining, abrasive water jet, ultrasonic machining and diamond wheel grinding. Due to its interest in the industrial field, in this work a study of the influence of process conditions on the surface aspect of three conductive ceramic materials: hot-pressed boron carbide (B 4 C), reaction-bonded silicon carbide (SiSiC) and cobalt-bonded tungsten carbide (WC-Co) is carried out. These materials are to be electrical discharge machined under different machining conditions and in the particular case of finish stages (Ra≤ 1 μm). (Author)

  8. Photovoltaic technology, performance, manufacturing cost and markets

    International Nuclear Information System (INIS)

    Maycock, P.D.

    1999-01-01

    A comprehensive discussion of key aspects of photovoltaic energy conversion systems will provide the basis for forecasting PV module shipments from 1999 to 2010. Principal areas covered include: (1) Technology and Performance Status: The module efficiency and performance are described for commercial cell technologies including single crystal silicon, polycrystal silicon, ribbon silicon, film silicon on low cost substrate, amorphous silicon, copper indium diselenide, and cadmium telluride; (2) Manufacturing cost: 1999 costs for PV technologies in production (single crystal silicon, polycrystal silicon, and amorphous silicon) are developed. Manufacturing costs for 10--25 MW plants and 100 MW plants will be estimated; (3) The world PV market is summarized by region, top ten companies, and technology; and (4) Forecast of the World Market (seven market sectors) to 2010 will be presented. Key assumptions, price of modules, incentive programs, price of competing electricity generation will be detailed

  9. 3D active edge silicon sensors: Device processing, yield and QA for the ATLAS-IBL production

    Energy Technology Data Exchange (ETDEWEB)

    Da Vià, Cinzia; Boscardil, Maurizio; Dalla Betta, GianFranco; Darbo, Giovanni; Fleta, Celeste; Gemme, Claudia; Giacomini, Gabriele; Grenier, Philippe; Grinstein, Sebastian; Hansen, Thor-Erik; Hasi, Jasmine; Kenney, Christopher; Kok, Angela; La Rosa, Alessandro; Micelli, Andrea; Parker, Sherwood; Pellegrini, Giulio; Pohl, David-Leon; Povoli, Marco; Vianello, Elisa; Zorzi, Nicola; Watts, S. J.

    2013-01-01

    3D silicon sensors, where plasma micromachining is used to etch deep narrow apertures in the silicon substrate to form electrodes of PIN junctions, were successfully manufactured in facilities in Europe and USA. In 2011 the technology underwent a qualification process to establish its maturity for a medium scale production for the construction of a pixel layer for vertex detection, the Insertable B-Layer (IBL) at the CERN-LHC ATLAS experiment. The IBL collaboration, following that recommendation from the review panel, decided to complete the production of planar and 3D sensors and endorsed the proposal to build enough modules for a mixed IBL sensor scenario where 25% of 3D modules populate the forward and backward part of each stave. The production of planar sensors will also allow coverage of 100% of the IBL, in case that option was required. This paper will describe the processing strategy which allowed successful 3D sensor production, some of the Quality Assurance (QA) tests performed during the pre-production phase and the production yield to date.

  10. 3D active edge silicon sensors: Device processing, yield and QA for the ATLAS-IBL production

    Energy Technology Data Exchange (ETDEWEB)

    Da Vià, Cinzia, E-mail: cinzia.da.via@cern.ch [School of Physics and Astronomy, The University of Manchester, Oxford Road, M13 9PL Manchester (United Kingdom); Boscardil, Maurizio [Fondazione Bruno Kessler, FBK-CMM, Via Sommarive 18, I-38123 Trento (Italy); Dalla Betta, GianFranco [DISI, Università degli Studi di Trento and INFN, Via Sommarive 14, I-38123 Trento (Italy); Darbo, Giovanni [INFN Sezione di Genova, Via Dodecaneso 33, I-14146 Genova (Italy); Fleta, Celeste [Centro Nacional de Microelectronica, CNM-IMB (CSIC), Barcelona E-08193 (Spain); Gemme, Claudia [INFN Sezione di Genova, Via Dodecaneso 33, I-14146 Genova (Italy); Giacomini, Gabriele [Fondazione Bruno Kessler, FBK-CMM, Via Sommarive 18, I-38123 Trento (Italy); Grenier, Philippe [SLAC National Accelerator Laboratory, 2575 Sand Hill Rd, Menlo Park, CA 94025 (United States); Grinstein, Sebastian [Institut de Fisica d' Altes Energies (IFAE) and ICREA, Universitat Autonoma de Barcelona (UAB) E-08193, Bellaterra, Barcelona (Spain); Hansen, Thor-Erik [SINTEF MiNaLab, Blindern, N-0314 Oslo (Norway); Hasi, Jasmine; Kenney, Christopher [SLAC National Accelerator Laboratory, 2575 Sand Hill Rd, Menlo Park, CA 94025 (United States); Kok, Angela [SINTEF MiNaLab, Blindern, N-0314 Oslo (Norway); La Rosa, Alessandro [CERN CH 1211, Geneva 23 (Switzerland); Micelli, Andrea [Tne University of Udine and INFN, via del Cotonificio 108, 33100 Udine (Italy); Parker, Sherwood [University of Hawaii, c/o Lawrence Berkeley Laboratory, Berkeley, CA 94720 (United States); Pellegrini, Giulio [Centro Nacional de Microelectronica, CNM-IMB (CSIC), Barcelona E-08193 (Spain); Pohl, David-Leon [Physikalisches Institut der Universität Bonn, Nußallee 12 D-53115, Bonn, Federal Republic of Germany (Germany); Povoli, Marco [DISI, Università degli Studi di Trento and INFN, Via Sommarive 14, I-38123 Trento (Italy); and others

    2013-01-21

    3D silicon sensors, where plasma micromachining is used to etch deep narrow apertures in the silicon substrate to form electrodes of PIN junctions, were successfully manufactured in facilities in Europe and USA. In 2011 the technology underwent a qualification process to establish its maturity for a medium scale production for the construction of a pixel layer for vertex detection, the Insertable B-Layer (IBL) at the CERN-LHC ATLAS experiment. The IBL collaboration, following that recommendation from the review panel, decided to complete the production of planar and 3D sensors and endorsed the proposal to build enough modules for a mixed IBL sensor scenario where 25% of 3D modules populate the forward and backward part of each stave. The production of planar sensors will also allow coverage of 100% of the IBL, in case that option was required. This paper will describe the processing strategy which allowed successful 3D sensor production, some of the Quality Assurance (QA) tests performed during the pre-production phase and the production yield to date.

  11. Silicon Nanowires for All-Optical Signal Processing in Optical Communication

    DEFF Research Database (Denmark)

    Pu, Minhao; Hu, Hao; Ji, Hua

    2012-01-01

    Silicon (Si), the second most abundant element on earth, has dominated in microelectronics for many decades. It can also be used for photonic devices due to its transparency in the range of optical telecom wavelengths which will enable a platform for a monolithic integration of optics...... and microelectronics. Silicon photonic nanowire waveguides fabricated on silicon-on-insulator (SOI) substrates are crucial elements in nano-photonic integrated circuits. The strong light confinement in nanowires induced by high index contrast SOI material enhances the nonlinear effects in the silicon nanowire core...... such as four-wave mixing (FWM) which is an imperative process for optical signal processing. Since the current mature silicon fabrication technology enables a precise dimension control on nanowires, dispersion engineering can be performed by tailoring nanowire dimensions to realize an efficient nonlinear...

  12. Key Features of the Manufacturing Vision Development Process

    DEFF Research Database (Denmark)

    Dukovska-Popovska, Iskra; Riis, Jens Ove; Boer, Harry

    2005-01-01

    of action research. The methodology recommends wide participation of people from different hierarchical and functional positions, who engage in a relatively short, playful and creative process and come up with a vision (concept) for the future manufacturing system in the company. Based on three case studies......This paper discusses the key features of the process of Manufacturing Vision Development, a process that enables companies to develop their future manufacturing concept. The basis for the process is a generic five-phase methodology (Riis and Johansen 2003) developed as a result of ten years...... of companies going through the initial phases of the methodology, this research identified the key features of the Manufacturing Vision Development process. The paper elaborates the key features by defining them, discussing how and when they can appear, and how they influence the process....

  13. Manufacturing Process Selection of Composite Bicycle’s Crank Arm using Analytical Hierarchy Process (AHP)

    Science.gov (United States)

    Luqman, M.; Rosli, M. U.; Khor, C. Y.; Zambree, Shayfull; Jahidi, H.

    2018-03-01

    Crank arm is one of the important parts in a bicycle that is an expensive product due to the high cost of material and production process. This research is aimed to investigate the potential type of manufacturing process to fabricate composite bicycle crank arm and to describe an approach based on analytical hierarchy process (AHP) that assists decision makers or manufacturing engineers in determining the most suitable process to be employed in manufacturing of composite bicycle crank arm at the early stage of the product development process to reduce the production cost. There are four types of processes were considered, namely resin transfer molding (RTM), compression molding (CM), vacuum bag molding and filament winding (FW). The analysis ranks these four types of process for its suitability in the manufacturing of bicycle crank arm based on five main selection factors and 10 sub factors. Determining the right manufacturing process was performed based on AHP process steps. Consistency test was performed to make sure the judgements are consistent during the comparison. The results indicated that the compression molding was the most appropriate manufacturing process because it has the highest value (33.6%) among the other manufacturing processes.

  14. Porous siliconformation and etching process for use in silicon micromachining

    Science.gov (United States)

    Guilinger, Terry R.; Kelly, Michael J.; Martin, Jr., Samuel B.; Stevenson, Joel O.; Tsao, Sylvia S.

    1991-01-01

    A reproducible process for uniformly etching silicon from a series of micromechanical structures used in electrical devices and the like includes providing a micromechanical structure having a silicon layer with defined areas for removal thereon and an electrochemical cell containing an aqueous hydrofluoric acid electrolyte. The micromechanical structure is submerged in the electrochemical cell and the defined areas of the silicon layer thereon are anodically biased by passing a current through the electrochemical cell for a time period sufficient to cause the defined areas of the silicon layer to become porous. The formation of the depth of the porous silicon is regulated by controlling the amount of current passing through the electrochemical cell. The micromechanical structure is then removed from the electrochemical cell and submerged in a hydroxide solution to remove the porous silicon. The process is subsequently repeated for each of the series of micromechanical structures to achieve a reproducibility better than 0.3%.

  15. Atomically manufactured nickel-silicon quantum dots displaying robust resonant tunneling and negative differential resistance

    Science.gov (United States)

    Cheng, Jian-Yih; Fisher, Brandon L.; Guisinger, Nathan P.; Lilley, Carmen M.

    2017-12-01

    Providing a spin-free host material in the development of quantum information technology has made silicon a very interesting and desirable material for qubit design. Much of the work and experimental progress has focused on isolated phosphorous atoms. In this article, we report on the exploration of Ni-Si clusters that are atomically manufactured via self-assembly from the bottom-up and behave as isolated quantum dots. These small quantum dot structures are probed at the atomic-scale with scanning tunneling microscopy and spectroscopy, revealing robust resonance through discrete quantized energy levels within the Ni-Si clusters. The resonance energy is reproducible and the peak spacing of the quantum dot structures increases as the number of atoms in the cluster decrease. Probing these quantum dot structures on degenerately doped silicon results in the observation of negative differential resistance in both I-V and dI/dV spectra. At higher surface coverage of nickel, a well-known √19 surface modification is observed and is essentially a tightly packed array of the clusters. Spatial conductance maps reveal variations in the local density of states that suggest the clusters are influencing the electronic properties of their neighbors. All of these results are extremely encouraging towards the utilization of metal modified silicon surfaces to advance or complement existing quantum information technology.

  16. A risk-based auditing process for pharmaceutical manufacturers.

    Science.gov (United States)

    Vargo, Susan; Dana, Bob; Rangavajhula, Vijaya; Rönninger, Stephan

    2014-01-01

    The purpose of this article is to share ideas on developing a risk-based model for the scheduling of audits (both internal and external). Audits are a key element of a manufacturer's quality system and provide an independent means of evaluating the manufacturer's or the supplier/vendor's compliance status. Suggestions for risk-based scheduling approaches are discussed in the article. Pharmaceutical manufacturers are required to establish and implement a quality system. The quality system is an organizational structure defining responsibilities, procedures, processes, and resources that the manufacturer has established to ensure quality throughout the manufacturing process. Audits are a component of the manufacturer's quality system and provide a systematic and an independent means of evaluating the manufacturer's overall quality system and compliance status. Audits are performed at defined intervals for a specified duration. The intention of the audit process is to focus on key areas within the quality system and may not cover all relevant areas during each audit. In this article, the authors provide suggestions for risk-based scheduling approaches to aid pharmaceutical manufacturers in identifying the key focus areas for an audit.

  17. 31 CFR 500.412 - Process vs. manufacture.

    Science.gov (United States)

    2010-07-01

    ... 31 Money and Finance: Treasury 3 2010-07-01 2010-07-01 false Process vs. manufacture. 500.412 Section 500.412 Money and Finance: Treasury Regulations Relating to Money and Finance (Continued) OFFICE... Interpretations § 500.412 Process vs. manufacture. A commodity subject to § 500.204 remains subject howsoever it...

  18. Achievement Report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Development of technology to manufacture high quality solar cell silicon substrates; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Kohinshitsu taiyo denchiyo silicon kiban seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    It is intended to establish an energy saving type mass production technology to manufacture solar cell substrates by using the electromagnetic casting process. This paper describes the achievements in fiscal 1997. Preliminary experiments were performed for high-performance slicing processing and post-slicing rinsing to reduce the cost by enhancing productivity in the slicing process. Since there is a problem of mixing of contaminating raw materials due to diversification in raw materials, resistance and impurity concentration must be determined on each raw material as the materials for the Czochralski method. Then, the raw materials are sorted out referring to the determination results, and they can be used for the electromagnetic casting process upon optimizing them. As a result of having sliced an ingot of 15-cm square with a length of 40 cm by using a mass-production wire saw, an accuracy of 22.8 {mu}m was attained as intra-face variance when the required cutting time was 476 minutes and the substrate thickness is 348 {mu}, thus having obtained prospect for achieving the standard. Development was made on a water jetting rough cleaning machine to separate and remove slurries (oil and grinding particles) from the substrates after slicing, and an arm robot to accommodate substrates into cassettes, which provided processing velocity of 9 second per substrate. A problem of raising the speed remains to be solved. (NEDO)

  19. 15 CFR 400.33 - Restrictions on manufacturing and processing activity.

    Science.gov (United States)

    2010-01-01

    ...-TRADE ZONES BOARD Manufacturing and Processing Activity-Reviews § 400.33 Restrictions on manufacturing and processing activity. (a) In general. In approving manufacturing or processing activity for a zone... 15 Commerce and Foreign Trade 2 2010-01-01 2010-01-01 false Restrictions on manufacturing and...

  20. Silicon Solar Cell Process Development, Fabrication and Analysis, Phase 1

    Science.gov (United States)

    Yoo, H. I.; Iles, P. A.; Tanner, D. P.

    1979-01-01

    Solar cells from RTR ribbons, EFG (RF and RH) ribbons, dendritic webs, Silso wafers, cast silicon by HEM, silicon on ceramic, and continuous Czochralski ingots were fabricated using a standard process typical of those used currently in the silicon solar cell industry. Back surface field (BSF) processing and other process modifications were included to give preliminary indications of possible improved performance. The parameters measured included open circuit voltage, short circuit current, curve fill factor, and conversion efficiency (all taken under AM0 illumination). Also measured for typical cells were spectral response, dark I-V characteristics, minority carrier diffusion length, and photoresponse by fine light spot scanning. the results were compared to the properties of cells made from conventional single crystalline Czochralski silicon with an emphasis on statistical evaluation. Limited efforts were made to identify growth defects which will influence solar cell performance.

  1. Manufacturing processes 2 grinding, honing, lapping

    CERN Document Server

    Klocke, Fritz

    2009-01-01

    Presents a view of the most common machining and non-machining manufacturing processes. This volume describes the characteristics of abrasive tools, their design and manufacturing, followed by the fundamentals of grinding fluids. It also discusses grinding of different materials (steel, cast iron, hard and brittle materials, nickel and titanium).

  2. CHARACTERIZATION OF THE ELECTROPHYSICAL PROPERTIES OF SILICON-SILICON DIOXIDE INTERFACE USING PROBE ELECTROMETRY METHODS

    Directory of Open Access Journals (Sweden)

    V. А. Pilipenko

    2017-01-01

    Full Text Available Introduction of submicron design standards into microelectronic industry and a decrease of the gate dielectric thickness raise the importance of the analysis of microinhomogeneities in the silicon-silicon dioxide system. However, there is very little to no information on practical implementation of probe electrometry methods, and particularly scanning Kelvin probe method, in the interoperational control of real semiconductor manufacturing process. The purpose of the study was the development of methods for nondestructive testing of semiconductor wafers based on the determination of electrophysical properties of the silicon-silicon dioxide interface and their spatial distribution over wafer’s surface using non-contact probe electrometry methods.Traditional C-V curve analysis and scanning Kelvin probe method were used to characterize silicon- silicon dioxide interface. The samples under testing were silicon wafers of KEF 4.5 and KDB 12 type (orientation <100>, diameter 100 mm.Probe electrometry results revealed uniform spatial distribution of wafer’s surface potential after its preliminary rapid thermal treatment. Silicon-silicon dioxide electric potential values were also higher after treatment than before it. This potential growth correlates with the drop in interface charge density. At the same time local changes in surface potential indicate changes in surface layer structure.Probe electrometry results qualitatively reflect changes of interface charge density in silicon-silicon dioxide structure during its technological treatment. Inhomogeneities of surface potential distribution reflect inhomogeneity of damaged layer thickness and can be used as a means for localization of interface treatment defects.

  3. Achievement report for fiscal 1997 on development of practical application technology for photovoltaic power generation systems. Development of technologies to manufacture thin film solar cells (development of technologies to manufacture silicon crystal based high-quality materials and substrates / survey and research on analysis of practical application); 1997 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu seika hokokusho. Usumaku taiyo denchi no seizo gijutsu kaihatsu (zairyo kiban seizo gijutsu kaihatsu / silicon kesshokei kohinshitsu zairyo kiban no seizo gijutsu kaihatsu (jitsuyoka kaiseki ni kansuru chosa kenkyu))

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    As a plan to develop technologies to manufacture materials and substrates for thin film solar cells, it is intended to reduce defect density, enhance film forming speed, largely improve the photo-electric conversion efficiency and increase manufacturing productivity. These goals will be realized by establishing methods to control defect density, crystal particle diameters and crystallization rate in silicon crystal systems. A technology to form micro-crystal silicon-based thin films will be developed, that have superior photo-stability, and are capable of realizing low cost and mass production. Discussions will be given on a high-density plasma control technology, a fundamental property evaluation technology for micro crystal silicon thin films, and a device design simulation technology. A technology will be developed to form amorphous silicon layer on a stainless steel substrate by using the plasma CVD process. At the same time, discussions will be given on optical annealing and thermal annealing as reformation methods. Fiscal 1997 has surveyed component technologies to identify and analyze quickly and accurately the technical trends inside and outside the country, and to mass produce thin film solar cells. The Material and Substrate System Technology Subcommittee (silicon crystals) was held to deliberate the four-year development program and its progress. (NEDO)

  4. Single Side Electrolytic In-Process Dressing (ELID) Grinding with Lapping Kinematics of Silicon Carbide

    Science.gov (United States)

    Khoshaim, Ahmed Bakr

    The demand for Silicon Carbide ceramics (SiC) has increased significantly in the last decade due to its reliable physical and chemical properties. The silicon carbide is widely used for aerospace segments in addition to many uses in the industry. Sometimes, a single side grinding is preferable than conventional grinding, for it has the ability to produce flat ceramics. However, the manufacturing cost is still high because of the high tool wear and long machining time. Part of the solution is to use electrolytic in process dressing (ELID) to reduce the processing time. The study on ELID single side grinding of ceramics has never been attempted before. The study involves four variables with three levels each. One of the variables, which is the eccentricity, is being investigated for the first time on ceramics. A full factorial design, for both the surface roughness and material removal rate, guides to calculate mathematical models that can predict future results. Three grinding wheel mesh sizes are used. An investigation of the influence of different grain size on the results can then be evaluated. The kinematics of the process was studied based on eccentricity in order to optimize the pattern of the diamond grains. The experiment is performed with the assist of the proposed specialized ELID fluid, TRIM C270E.

  5. Achievement report for fiscal 1997. Technological development for practical application of a solar energy power generation system /development of technology to manufacture solar cells/development of technology to manufacture thin film solar cells (development of technology to manufacture materials and substrates (development of technology to manufacture silicon crystal based high-quality materials and substrates)); 1997 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu seika hokokusho. Taiyo denchi seizo gijutsu kaihatsu, usumaku taiyo denchi seizo gijutsu kaihatsu, zairyo kiban seizo gijutsu kaihatsu (silicon kesshokei kohinshitsu zairyo kiban no seizo gujutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    It is intended to develop thin film solar cells capable of mass production with high photo-stability and at low cost. Thus, the objective of the present research is to analyze the growth process of micro crystal silicon based thin films, the crystal being a high quality silicon crystal based material, and develop technology to manufacture high-quality micro crystal silicon thin films based on the findings therefrom. It was found that, when silicon source is available in cathode, pure hydrogen plasma forms micro crystal silicon films by using the plasma as a result of the chemical transportation effect from the silicon source. It was revealed that the crystal formation due to hydrogen plasma exposure is performed substantially by the crystals forming the films due to the chemical transportation effect, rather than crystallization in the vicinity of the surface. The crystal formation under this experiment was concluded that the formation takes place during film growth accompanied by diffusion of film forming precursors on the surface on which the film grows. According to the result obtained so far, the most important issue in the future is particularly the control of crystal growing azimuth by reducing the initially formed amorphous layer by controlling the stress in the initial phase for film formation, and by controlling the film forming precursors. (NEDO)

  6. Cleaning Process Development for Metallic Additively Manufactured Parts

    Science.gov (United States)

    Tramel, Terri L.; Welker, Roger; Lowery, Niki; Mitchell, Mark

    2014-01-01

    Additive Manufacturing of metallic components for aerospace applications offers many advantages over traditional manufacturing techniques. As a new technology, many aspects of its widespread utilization remain open to investigation. Among these are the cleaning processes that can be used for post finishing of parts and measurements to verify effectiveness of the cleaning processes. Many cleaning and drying processes and measurement methods that have been used for parts manufactured using conventional techniques are candidates that may be considered for cleaning and verification of additively manufactured parts. Among these are vapor degreasing, ultrasonic immersion and spray cleaning, followed by hot air drying, vacuum baking and solvent displacement drying. Differences in porosity, density, and surface finish of additively manufactured versus conventionally manufactured parts may introduce new considerations in the selection of cleaning and drying processes or the method used to verify their effectiveness. This presentation will review the relative strengths and weaknesses of different candidate cleaning and drying processes as they may apply to additively manufactured metal parts for aerospace applications. An ultrasonic cleaning technique for exploring the cleanability of parts will be presented along with an example using additively manufactured Inconel 718 test specimens to illustrate its use. The data analysis shows that this ultrasonic cleaning approach results in a well-behaved ultrasonic cleaning/extraction behavior. That is, it does not show signs of accelerated cavitation erosion of the base material, which was later confirmed by neutron imaging. In addition, the analysis indicated that complete cleaning would be achieved by ultrasonic immersion cleaning at approximately 5 minutes, which was verified by subsequent cleaning of additional parts.

  7. All-Optical Signal Processing using Silicon Devices

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Pu, Minhao; Ding, Yunhong

    2014-01-01

    This paper presents an overview of recent wo rk on the use of silicon waveguides for processing optical data signals. We will describe ultra-fast, ultra-broadband, polarisation-insensitive and phase-sensitive applications including processing of spectrally-efficient data formats and optical phase...

  8. Optoelectronic properties of Black-Silicon generated through inductively coupled plasma (ICP) processing for crystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hirsch, Jens, E-mail: J.Hirsch@emw.hs-anhalt.de [Anhalt University of Applied Sciences, Faculty EMW, Bernburger Str. 55, DE-06366 Köthen (Germany); Fraunhofer Center for Silicon Photovoltaics CSP, Otto-Eißfeldt-Str. 12, DE-06120 Halle (Saale) (Germany); Gaudig, Maria; Bernhard, Norbert [Anhalt University of Applied Sciences, Faculty EMW, Bernburger Str. 55, DE-06366 Köthen (Germany); Lausch, Dominik [Fraunhofer Center for Silicon Photovoltaics CSP, Otto-Eißfeldt-Str. 12, DE-06120 Halle (Saale) (Germany)

    2016-06-30

    Highlights: • Fabrication of black silicon through inductively coupled plasma (ICP) processing. • Suppressed formation a self-bias and therefore a reduced ion bombardment of the silicon sample. • Reduction of the average hemispherical reflection between 300 and 1120 nm up to 8% within 5 min ICP process time. • Reflection is almost independent of the angle of incidence up to 60°. • 2.5 ms effective lifetime at 10{sup 15} cm{sup −3} MCD after ALD Al{sub 2}O{sub 3} surface passivation. - Abstract: The optoelectronic properties of maskless inductively coupled plasma (ICP) generated black silicon through SF{sub 6} and O{sub 2} are analyzed by using reflection measurements, scanning electron microscopy (SEM) and quasi steady state photoconductivity (QSSPC). The results are discussed and compared to capacitively coupled plasma (CCP) and industrial standard wet chemical textures. The ICP process forms parabolic like surface structures in a scale of 500 nm. This surface structure reduces the average hemispherical reflection between 300 and 1120 nm up to 8%. Additionally, the ICP texture shows a weak increase of the hemispherical reflection under tilted angles of incidence up to 60°. Furthermore, we report that the ICP process is independent of the crystal orientation and the surface roughness. This allows the texturing of monocrystalline, multicrystalline and kerf-less wafers using the same parameter set. The ICP generation of black silicon does not apply a self-bias on the silicon sample. Therefore, the silicon sample is exposed to a reduced ion bombardment, which reduces the plasma induced surface damage. This leads to an enhancement of the effective charge carrier lifetime up to 2.5 ms at 10{sup 15} cm{sup −3} minority carrier density (MCD) after an atomic layer deposition (ALD) with Al{sub 2}O{sub 3}. Since excellent etch results were obtained already after 4 min process time, we conclude that the ICP generation of black silicon is a promising technique

  9. Process for making silicon

    Science.gov (United States)

    Levin, Harry (Inventor)

    1987-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  10. Manufacture of Nano Structures in Polymer Material

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Pedersen, H.C.; Staun, Jacob

    2003-01-01

    The incorporation of micro and nano technology into the products of the future is an area of increasing interest. The ideas for new products based on this technology often take their starting point in specific scientific fields whereas the subsequent design and product development not necessarily...... is based on a systematic approach including manufacturing processes and production system capabilities. The process chain associated with micro and nano injection moulding usually comprises silicon or photoresist mastering, electroforming and polymer processing. Additionally, if the produced polymer...... components are to be used in a microsystem, subsequent handling and assembly is necessary. The present paper describes the process chain related to the manufacture of optical gratings with nanometer-sized structures. The problems of each process step and the challenges of establishing a coherent production...

  11. 77 FR 16158 - Current Good Manufacturing Practice in Manufacturing, Processing, Packing, or Holding of Drugs...

    Science.gov (United States)

    2012-03-20

    .... FDA-1997-N-0518] (formerly 97N-0300) Current Good Manufacturing Practice in Manufacturing, Processing... labeling control provisions of the current good manufacturing practice (CGMP) regulations for human and... GOOD MANUFACTURING PRACTICE FOR FINISHED PHARMACEUTICALS 0 1. The authority citation for 21 CFR part...

  12. Silicon pore optics for future x-ray telescopes

    Science.gov (United States)

    Wille, Eric; Bavdaz, Marcos; Wallace, Kotska; Shortt, Brian; Collon, Maximilien; Ackermann, Marcelo; Günther, Ramses; Olde Riekerink, Mark; Koelewijn, Arenda; Haneveld, Jeroen; van Baren, Coen; Erhard, Markus; Kampf, Dirk; Christensen, Finn; Krumrey, Michael; Freyberg, Michael; Burwitz, Vadim

    2017-11-01

    Lightweight X-ray Wolter optics with a high angular resolution will enable the next generation of X-ray telescopes in space. The candidate mission ATHENA (Advanced Telescope for High Energy Astrophysics) required a mirror assembly of 1 m2 effective area (at 1 keV) and an angular resolution of 10 arcsec or better. These specifications can only be achieved with a novel technology like Silicon Pore Optics, which is being developed by ESA together with a consortium of European industry. Silicon Pore Optics are made of commercial Si wafers using process technology adapted from the semiconductor industry. We present the recent upgrades made to the manufacturing processes and equipment, ranging from the manufacture of single mirror plates towards complete focusing mirror modules mounted in flight configuration, and results from first vibration tests. The performance of the mirror modules is tested at X-ray facilities that were recently extended to measure optics at a focal distance up to 20 m.

  13. Silicon-based metallic micro grid for electron field emission

    International Nuclear Information System (INIS)

    Kim, Jaehong; Jeon, Seok-Gy; Kim, Jung-Il; Kim, Geun-Ju; Heo, Duchang; Shin, Dong Hoon; Sun, Yuning; Lee, Cheol Jin

    2012-01-01

    A micro-scale metal grid based on a silicon frame for application to electron field emission devices is introduced and experimentally demonstrated. A silicon lattice containing aperture holes with an area of 80 × 80 µm 2 and a thickness of 10 µm is precisely manufactured by dry etching the silicon on one side of a double-polished silicon wafer and by wet etching the opposite side. Because a silicon lattice is more rigid than a pure metal lattice, a thin layer of Au/Ti deposited on the silicon lattice for voltage application can be more resistant to the geometric stress caused by the applied electric field. The micro-fabrication process, the images of the fabricated grid with 88% geometric transparency and the surface profile measurement after thermal feasibility testing up to 700 °C are presented. (paper)

  14. Amorphous silicon batch process cost analysis

    International Nuclear Information System (INIS)

    Whisnant, R.A.; Sherring, C.

    1993-08-01

    This report describes the development of baseline manufacturing cost data to assist PVMaT monitoring teams in assessing current and future subcontracts, which an emphasis on commercialization and production. A process for the manufacture of a single-junction, large-area, a Si module was modeled using an existing Research Triangle Institute (RTI) computer model. The model estimates a required, or breakeven, price for the module based on its production process and the financial structure of the company operating the process. Sufficient detail on cost drivers is presented so the relationship of the process features and business characteristics can be related to the estimated required price

  15. Risk calculations in the manufacturing technology selection process

    DEFF Research Database (Denmark)

    Farooq, S.; O'Brien, C.

    2010-01-01

    Purpose - The purpose of this paper is to present result obtained from a developed technology selection framework and provide a detailed insight into the risk calculations and their implications in manufacturing technology selection process. Design/methodology/approach - The results illustrated...... in the paper are the outcome of an action research study that was conducted in an aerospace company. Findings - The paper highlights the role of risk calculations in manufacturing technology selection process by elaborating the contribution of risk associated with manufacturing technology alternatives...... in the shape of opportunities and threats in different decision-making environments. Practical implications - The research quantifies the risk associated with different available manufacturing technology alternatives. This quantification of risk crystallises the process of technology selection decision making...

  16. Printing Processes Used to Manufacture Photovoltaic Solar Cells

    Science.gov (United States)

    Rardin, Tina E.; Xu, Renmei

    2011-01-01

    There is a growing need for renewable energy sources, and solar power is a good option in many instances. Photovoltaic solar panels are now being manufactured via various methods, and different printing processes are being incorporated into the manufacturing process. Screen printing has been used most prevalently in the printing process to make…

  17. Recent Developments in Abrasive Hybrid Manufacturing Processes

    Directory of Open Access Journals (Sweden)

    Ruszaj Adam

    2017-06-01

    Full Text Available Recent dynamic development of abrasive hybrid manufacturing processes results from application of a new difficult for machining materials and improvement of technological indicators of manufacturing processes already applied in practice. This tendency also occurs in abrasive machining processes which are often supported by ultrasonic vibrations, electrochemical dissolution or by electrical discharges. In the paper we present the review of new results of investigations and new practical applications of Abrasive Electrodischarge (AEDM and Electrochemical (AECM Machining.

  18. Uranium manufacturing process employing the electrolytic reduction method

    International Nuclear Information System (INIS)

    Oda, Yoshio; Kazuhare, Manabu; Morimoto, Takeshi.

    1986-01-01

    The present invention related to a uranium manufacturing process that employs the electrolytic reduction method, but particularly to a uranium manufacturing process that employs an electrolytic reduction method requiring low voltage. The process, in which uranium is obtained by means of the electrolytic method and with uranyl acid as the raw material, is prior art

  19. Efficiency Enhancement of Silicon Solar Cells by Porous Silicon Technology

    Directory of Open Access Journals (Sweden)

    Eugenijus SHATKOVSKIS

    2012-09-01

    Full Text Available Silicon solar cells produced by a usual technology in p-type, crystalline silicon wafer were investigated. The manufactured solar cells were of total thickness 450 mm, the junction depth was of 0.5 mm – 0.7 mm. Porous silicon technologies were adapted to enhance cell efficiency. The production of porous silicon layer was carried out in HF: ethanol = 1 : 2 volume ratio electrolytes, illuminating by 50 W halogen lamps at the time of processing. The etching current was computer-controlled in the limits of (6 ÷ 14 mA/cm2, etching time was set in the interval of (10 ÷ 20 s. The characteristics and performance of the solar cells samples was carried out illuminating by Xenon 5000 K lamp light. Current-voltage characteristic studies have shown that porous silicon structures produced affect the extent of dark and lighting parameters of the samples. Exactly it affects current-voltage characteristic and serial resistance of the cells. It has shown, the formation of porous silicon structure causes an increase in the electric power created of solar cell. Conversion efficiency increases also respectively to the initial efficiency of cell. Increase of solar cell maximum power in 15 or even more percent is found. The highest increase in power have been observed in the spectral range of Dl @ (450 ÷ 850 nm, where ~ 60 % of the A1.5 spectra solar energy is located. It has been demonstrated that porous silicon technology is effective tool to improve the silicon solar cells performance.DOI: http://dx.doi.org/10.5755/j01.ms.18.3.2428

  20. Residual stress in silicon wafer using IR polariscope

    Science.gov (United States)

    Lu, Zhijia; Wang, Pin; Asundi, Anand

    2008-09-01

    The infrared phase shift polariscope (IR-PSP) is a full-field optical technique for stress analysis in Silicon wafers. Phase shift polariscope is preferred to a conventional polariscope, as it can provide quantitative information of the normal stress difference and the shear stress in the specimen. The method is based on the principles of photoelasticity, in which stresses induces temporary birefringence in materials which can be quantitatively analyzed using a phase shift polariscope. Compared to other stress analysis techniques such as x-ray diffraction or laser scanning, infrared photoelastic stress analysis provides full-field information with high resolution and in near real time. As the semiconductor fabrication is advancing, larger wafers, thinner films and more compact packages are being manufactured. This results in a growing demand of process control. Residual stress exist in silicon during semiconductor fabrication and these stresses may make cell processing difficult or even cause the failure of the silicon. Reducing these stresses would improve manufacturability and reliability. Therefore stress analysis is essential to trace the root cause of the stresses. The polariscope images are processed using MATLAB and four-step phase shifting method to provide quantitative as well as qualitative information regarding the residual stress of the sample. The system is calibrated using four-point bend specimen and then the residual stress distribution in a MEMS sample is shown.

  1. Process research of non-CZ silicon material

    Science.gov (United States)

    Campbell, R. B.

    1984-01-01

    Advanced processing techniques for non-CZ silicon sheet material that might improve the cost effectiveness of photovoltaic module production were investigated. Specifically, the simultaneous diffusion of liquid boron and liquid phosphorus organometallic precursors into n-type dendritic silicon web was examined. The simultaneous junction formation method for solar cells was compared with the sequential junction formation method. The electrical resistivity of the n-n and p-n junctions was discussed. Further research activities for this program along with a program documentation schedule are given.

  2. Ultra-Fast Optical Signal Processing in Nonlinear Silicon Waveguides

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Galili, Michael; Pu, Minhao

    2011-01-01

    We describe recent demonstrations of exploiting highly nonlinear silicon nanowires for processing Tbit/s optical data signals. We perform demultiplexing and optical waveform sampling of 1.28 Tbit/s and wavelength conversion of 640 Gbit/s data signals.......We describe recent demonstrations of exploiting highly nonlinear silicon nanowires for processing Tbit/s optical data signals. We perform demultiplexing and optical waveform sampling of 1.28 Tbit/s and wavelength conversion of 640 Gbit/s data signals....

  3. Microeconomics of process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Process window control enables accelerated design-rule shrinks for both logic and memory manufacturers, but simple microeconomic models that directly link the effects of process window control to maximum profitability are rare. In this work, we derive these links using a simplified model for the maximum rate of profit generated by the semiconductor manufacturing process. We show that the ability of process window control to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process variation at the lot, wafer, x-wafer, x-field, and x-chip levels. We conclude that x-wafer and x-field CD control strategies will be critical enablers of density, performance and optimum profitability at the 90 and 65nm technology nodes. These analyses correlate well with actual factory data and often identify millions of dollars in potential incremental revenue and cost savings. As an example, we show that a scatterometry-based CD Process Window Monitor is an economically justified, enabling technology for the 65nm node.

  4. NTD Silicon; Product Characteristics, Main Uses and Growth Potential

    International Nuclear Information System (INIS)

    Hansen, M. G.; Bjorling, C. F.

    2013-01-01

    Topsil is a specialised manufacturer of ultrapure float zone silicon since 1959, headquartered in Denmark. Topsil co-pioneered the invention of Neutron Transmutation Doped (NTD) monocrystalline silicon with research institute Risoe in the 1970s and has since then been world leading manufacturer of NTD silicon for the power market. This presentation will focus on NTD silicon; its characteristics, invention and main uses. It will address the trends of the power market and market projections for NTD, and discuss the growth potential in the years ahead, including larger silicon wafers and management of the NTD supply chain

  5. NTD Silicon; Product Characteristics, Main Uses and Growth Potential

    Energy Technology Data Exchange (ETDEWEB)

    Hansen, M. G.; Bjorling, C. F. [Topsil Semiconductor Materials A/S, Odense (Denmark)

    2013-07-01

    Topsil is a specialised manufacturer of ultrapure float zone silicon since 1959, headquartered in Denmark. Topsil co-pioneered the invention of Neutron Transmutation Doped (NTD) monocrystalline silicon with research institute Risoe in the 1970s and has since then been world leading manufacturer of NTD silicon for the power market. This presentation will focus on NTD silicon; its characteristics, invention and main uses. It will address the trends of the power market and market projections for NTD, and discuss the growth potential in the years ahead, including larger silicon wafers and management of the NTD supply chain.

  6. Silicon Photonics for Signal Processing of Tbit/s Serial Data Signals

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Ji, Hua; Galili, Michael

    2012-01-01

    In this paper, we describe our recent work on signal processing of terabit per second optical serial data signals using pure silicon waveguides. We employ nonlinear optical signal processing in nanoengineered silicon waveguides to perform demultiplexing and optical waveform sampling of 1.28-Tbit/...

  7. Eighth workshop on crystalline silicon solar cell materials and processes: Extended abstracts and papers

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-08-01

    The theme of this workshop is Supporting the Transition to World Class Manufacturing. This workshop provides a forum for an informal exchange of information between researchers in the photovoltaic and non-photovoltaic fields on various aspects of impurities and defects in silicon, their dynamics during device processing, and their application in defect engineering. This interaction helps establish a knowledge base that can be used for improving device fabrication processes to enhance solar-cell performance and reduce cell costs. It also provides an excellent opportunity for researchers from industry and universities to recognize mutual needs for future joint research. The workshop format features invited review presentations, panel discussions, and two poster sessions. The poster sessions create an opportunity for both university and industrial researchers to present their latest results and provide a natural forum for extended discussions and technical exchanges.

  8. Energy and exergy analysis of the silicon production process

    International Nuclear Information System (INIS)

    Takla, M.; Kamfjord, N.E.; Tveit, Halvard; Kjelstrup, S.

    2013-01-01

    We used energy and exergy analysis to evaluate two industrial and one ideal (theoretical) production process for silicon. The industrial processes were considered in the absence and presence of power production from waste heat in the off-gas. The theoretical process, with pure reactants and no side-reactions, was used to provide a more realistic upper limit of performance for the others. The energy analysis documented the large thermal energy source in the off-gas system, while the exergy analysis documented the potential for efficiency improvement. We found an exergetic efficiency equal to 0.33 ± 0.02 for the process without power production. The value increased to 0.41 ± 0.03 when waste heat was utilized. For the ideal process, we found an exergetic efficiency of 0.51. Utilization of thermal exergy in an off-gas of 800 °C increased this exergetic efficiency to 0.71. Exergy destructed due to combustion of by-product gases and exergy lost with the furnace off-gas were the largest contributors to the thermodynamic inefficiency of all processes. - Highlights: • The exergetic efficiency for an industrial silicon production process when silicon is the only product was estimated to 0.33. • With additional power production from thermal energy in the off-gas we estimated the exergetic efficiency to 0.41. • The theoretical silicon production process is established as the reference case. • Exergy lost with the off-gas and exergy destructed due to combustion account for roughly 75% of the total losses. • With utilization of the thermal exergy in the off-gas at a temperature of 800 °C the exergetic efficiency was 0.71

  9. Aggregates in monoclonal antibody manufacturing processes.

    Science.gov (United States)

    Vázquez-Rey, María; Lang, Dietmar A

    2011-07-01

    Monoclonal antibodies have proved to be a highly successful class of therapeutic products. Large-scale manufacturing of pharmaceutical antibodies is a complex activity that requires considerable effort in both process and analytical development. If a therapeutic protein cannot be stabilized adequately, it will lose partially or totally its therapeutic properties or even cause immunogenic reactions thus potentially further endangering the patients' health. The phenomenon of protein aggregation is a common issue that compromises the quality, safety, and efficacy of antibodies and can happen at different steps of the manufacturing process, including fermentation, purification, final formulation, and storage. Aggregate levels in drug substance and final drug product are a key factor when assessing quality attributes of the molecule, since aggregation might impact biological activity of the biopharmaceutical. In this review it is analyzed how aggregates are formed during monoclonal antibody industrial production, why they have to be removed and the manufacturing process steps that are designed to either minimize or remove aggregates in the final product. Copyright © 2011 Wiley Periodicals, Inc.

  10. A novel scalable manufacturing process for the production of hydrogel-forming microneedle arrays.

    Science.gov (United States)

    Lutton, Rebecca E M; Larrañeta, Eneko; Kearney, Mary-Carmel; Boyd, Peter; Woolfson, A David; Donnelly, Ryan F

    2015-10-15

    A novel manufacturing process for fabricating microneedle arrays (MN) has been designed and evaluated. The prototype is able to successfully produce 14×14 MN arrays and is easily capable of scale-up, enabling the transition from laboratory to industry and subsequent commercialisation. The method requires the custom design of metal MN master templates to produce silicone MN moulds using an injection moulding process. The MN arrays produced using this novel method was compared with centrifugation, the traditional method of producing aqueous hydrogel-forming MN arrays. The results proved that there was negligible difference between either methods, with each producing MN arrays with comparable quality. Both types of MN arrays can be successfully inserted in a skin simulant. In both cases the insertion depth was approximately 60% of the needle length and the height reduction after insertion was in both cases approximately 3%. Copyright © 2015 Elsevier B.V. All rights reserved.

  11. Process chain modeling and selection in an additive manufacturing context

    DEFF Research Database (Denmark)

    Thompson, Mary Kathryn; Stolfi, Alessandro; Mischkot, Michael

    2016-01-01

    This paper introduces a new two-dimensional approach to modeling manufacturing process chains. This approach is used to consider the role of additive manufacturing technologies in process chains for a part with micro scale features and no internal geometry. It is shown that additive manufacturing...... evolving fields like additive manufacturing....

  12. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  13. Sustainable Manufacturing via Multi-Scale, Physics-Based Process Modeling and Manufacturing-Informed Design

    Energy Technology Data Exchange (ETDEWEB)

    None

    2017-04-01

    This factsheet describes a project that developed and demonstrated a new manufacturing-informed design framework that utilizes advanced multi-scale, physics-based process modeling to dramatically improve manufacturing productivity and quality in machining operations while reducing the cost of machined components.

  14. Manufacturing and characterization of bent silicon crystals for studies of coherent interactions with negatively charged particles beams

    Energy Technology Data Exchange (ETDEWEB)

    Germogli, G.; Mazzolari, A.; Bandiera, L.; Bagli, E.; Guidi, V.

    2015-07-15

    Efficient steering of GeV-energy negatively charged particle beams was demonstrated to be possible with a new generation of thin bent silicon crystals. Suitable crystals were produced at the Sensor Semiconductor Laboratory of Ferrara starting from Silicon On Insulator wafers, adopting proper revisitation of silicon micromachining techniques such as Low Pressure Chemical Vapor Deposition, photolithography and anisotropic chemical etching. Mechanical holders, which allow to properly bend the crystal and to reduce unwanted torsions, were employed. Crystallographic directions and crystal holder design were optimized in order to excite quasi-mosaic effect along (1 1 1) planes. Prior to exposing the crystal to particle beams, a full set of characterizations were performed. Infrared interferometry was used to measure crystal thickness with high accuracy. White-light interferometry was employed to characterize surface deformational state and its torsion. High-resolution X-rays diffraction was used to precisely measure crystal bending angle along the beam. Manufactured crystals were installed and tested at the MAMI MAinz MIcrotron to steer sub-GeV electrons, and at SLAC to deflect an electron beam in the 1 to 10 GeV energy range.

  15. An Overview of Cloud Implementation in the Manufacturing Process Life Cycle

    Science.gov (United States)

    Kassim, Noordiana; Yusof, Yusri; Hakim Mohamad, Mahmod Abd; Omar, Abdul Halim; Roslan, Rosfuzah; Aryanie Bahrudin, Ida; Ali, Mohd Hatta Mohamed

    2017-08-01

    The advancement of information and communication technology (ICT) has changed the structure and functions of various sectors and it has also started to play a significant role in modern manufacturing in terms of computerized machining and cloud manufacturing. It is important for industries to keep up with the current trend of ICT for them to be able survive and be competitive. Cloud manufacturing is an approach that wanted to realize a real-world manufacturing processes that will apply the basic concept from the field of Cloud computing to the manufacturing domain called Cloud-based manufacturing (CBM) or cloud manufacturing (CM). Cloud manufacturing has been recognized as a new paradigm for manufacturing businesses. In cloud manufacturing, manufacturing companies need to support flexible and scalable business processes in the shop floor as well as the software itself. This paper provides an insight or overview on the implementation of cloud manufacturing in the modern manufacturing processes and at the same times analyses the requirements needed regarding process enactment for Cloud manufacturing and at the same time proposing a STEP-NC concept that can function as a tool to support the cloud manufacturing concept.

  16. Thin silicon foils produced by epoxy-induced spalling of silicon for high efficiency solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Martini, R., E-mail: roberto.martini@imec.be [Department of Electrical Engineering, KU Leuven, Kasteelpark 10, 3001 Leuven (Belgium); imec, Kapeldreef 75, 3001 Leuven (Belgium); Kepa, J.; Stesmans, A. [Department of Physics, KU Leuven, Celestijnenlaan 200 D, 3001 Leuven (Belgium); Debucquoy, M.; Depauw, V.; Gonzalez, M.; Gordon, I. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Poortmans, J. [Department of Electrical Engineering, KU Leuven, Kasteelpark 10, 3001 Leuven (Belgium); imec, Kapeldreef 75, 3001 Leuven (Belgium); Universiteit Hasselt, Martelarenlaan 42, B-3500 Hasselt (Belgium)

    2014-10-27

    We report on the drastic improvement of the quality of thin silicon foils produced by epoxy-induced spalling. In the past, researchers have proposed to fabricate silicon foils by spalling silicon substrates with different stress-inducing materials to manufacture thin silicon solar cells. However, the reported values of effective minority carrier lifetime of the fabricated foils remained always limited to ∼100 μs or below. In this work, we investigate epoxy-induced exfoliated foils by electron spin resonance to analyze the limiting factors of the minority carrier lifetime. These measurements highlight the presence of disordered dangling bonds and dislocation-like defects generated by the exfoliation process. A solution to remove these defects compatible with the process flow to fabricate solar cells is proposed. After etching off less than 1 μm of material, the lifetime of the foil increases by more than a factor of 4.5, reaching a value of 461 μs. This corresponds to a lower limit of the diffusion length of more than 7 times the foil thickness. Regions with different lifetime correlate well with the roughness of the crack surface which suggests that the lifetime is now limited by the quality of the passivation of rough surfaces. The reported values of the minority carrier lifetime show a potential for high efficiency (>22%) thin silicon solar cells.

  17. Thin silicon foils produced by epoxy-induced spalling of silicon for high efficiency solar cells

    International Nuclear Information System (INIS)

    Martini, R.; Kepa, J.; Stesmans, A.; Debucquoy, M.; Depauw, V.; Gonzalez, M.; Gordon, I.; Poortmans, J.

    2014-01-01

    We report on the drastic improvement of the quality of thin silicon foils produced by epoxy-induced spalling. In the past, researchers have proposed to fabricate silicon foils by spalling silicon substrates with different stress-inducing materials to manufacture thin silicon solar cells. However, the reported values of effective minority carrier lifetime of the fabricated foils remained always limited to ∼100 μs or below. In this work, we investigate epoxy-induced exfoliated foils by electron spin resonance to analyze the limiting factors of the minority carrier lifetime. These measurements highlight the presence of disordered dangling bonds and dislocation-like defects generated by the exfoliation process. A solution to remove these defects compatible with the process flow to fabricate solar cells is proposed. After etching off less than 1 μm of material, the lifetime of the foil increases by more than a factor of 4.5, reaching a value of 461 μs. This corresponds to a lower limit of the diffusion length of more than 7 times the foil thickness. Regions with different lifetime correlate well with the roughness of the crack surface which suggests that the lifetime is now limited by the quality of the passivation of rough surfaces. The reported values of the minority carrier lifetime show a potential for high efficiency (>22%) thin silicon solar cells.

  18. WWER-1000 nuclear fuel manufacturing process at PJSC MSZ

    International Nuclear Information System (INIS)

    Morylev, A.; Bagdatyeva, E.; Aksenov, P.

    2015-01-01

    In this report a brief description of WWER-1000 fuel manufacturing process steps at PJSC MSZ as: uranium dioxide powder fabrication; fuel pellet manufacture fuel rod manufacture working assembly and fuel assembly manufacture is given. The implemented innovations are also presented

  19. Additive Manufacturing: Multi Material Processing and Part Quality Control

    DEFF Research Database (Denmark)

    Pedersen, David Bue

    This Ph.D dissertation,ffAdditive Manufacturing: Multi Material Processing and Part Quality Controlff, deal with Additive Manufacturing technologies which is a common name for a series of processes that are recognized by being computer controlled, highly automated, and manufacture objects...... by a layered deposition of material. Two areas of particular interest is addressed. They are rooted in two very different areas, yet is intended to fuel the same goal. To help Additive Manufacturing technologies one step closer to becoming the autonomous, digital manufacturing method of tomorrow. Vision...... systems A paradox exist in the field of Additive Manufacturing. The technologies allow for close-to unrestrained and integral geometrical freedom. Almost any geometry can be manufactured fast, e"ciently and cheap. Something that has been missing fundamental capability since the entering of the industrial...

  20. Efficiency of manufacturing processes energy and ecological perspectives

    CERN Document Server

    Li, Wen

    2015-01-01

     This monograph presents a reliable methodology for characterising the energy and eco-efficiency of unit manufacturing processes. The Specific Energy Consumption, SEC, will be identified as the key indicator for the energy efficiency of unit processes.  An empirical approach will be validated on different machine tools and manufacturing processes to depict the relationship between process parameters and energy consumptions. Statistical results and additional validation runs will corroborate the high level of accuracy in predicting the energy consumption. In relation to the eco-efficiency, the value and the associated environmental impacts of  manufacturing processes will also be discussed. The interrelationship between process parameters, process value and the associated environmental impact will be integrated in the evaluation of eco-efficiency. The book concludes with a further investigation of the results in order to develop strategies for further efficiency improvement. The target audience primarily co...

  1. 21 CFR 201.122 - Drugs for processing, repacking, or manufacturing.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 4 2010-04-01 2010-04-01 false Drugs for processing, repacking, or manufacturing... for processing, repacking, or manufacturing. A drug in a bulk package, except tablets, capsules, or... manufacturing, processing, or repacking”; and if in substantially all dosage forms in which it may be dispensed...

  2. Manufacturing technologies for photovoltaics and possible means of their development in Russia (Review). Part 1: General approach to the development of photoelectric converters and basic silicon technologies

    Science.gov (United States)

    Tarasenko, A. B.; Popel', O. S.

    2015-11-01

    The state and key tendencies of the development of basic technologies for manufacture of photoelectric converters (PECs) in the world are considered, and their advantages and disadvantages are discussed. The first part of the review gives short information on the development of photovoltaics in the world and planes of the development of solar power plants in Russia. Total power of photoelectric plants operating in various countries in 2015 exceeded 150 GW and increased in the last ten years with a rate of approximately 50% per year. Russia made important state decisions on the support of the development of renewable power engineering and developed mechanisms, which were attractive for business, on the stimulation of building of the network of solar power plants with a total power to 1.5 GW in the country to 2020. At the same time, the rigid demands are made with respect to the localization of the production of components of these plants that opens new abilities for the development of the domestic production of photovoltaics manufacture. Data on the efficiency of PECs of various types that are attained in the leading laboratories of the world are given. Particular emphasis has been placed on the consideration of basic silicon technologies of PEC manufacture, which had the widest commercial application. The basic methods for production of polycrystalline silicon and making single-crystal and multicrystal silicon are described. Fundamentals of making techniques for plates, PECs, and photoelectric modules based on single-crystal and polycrystalline silicon are considered. The second part will be devoted to modifications of manufacturing techniques for photoelectric converters, enhancement methods for contact structures, and recommendations of authors with respect to the choice of prospective technologies for the expansion of PEC production in Russia. It will involve formulations and substantiations of the most promising lines of the development of photoelectric

  3. Big Data Analysis of Manufacturing Processes

    Science.gov (United States)

    Windmann, Stefan; Maier, Alexander; Niggemann, Oliver; Frey, Christian; Bernardi, Ansgar; Gu, Ying; Pfrommer, Holger; Steckel, Thilo; Krüger, Michael; Kraus, Robert

    2015-11-01

    The high complexity of manufacturing processes and the continuously growing amount of data lead to excessive demands on the users with respect to process monitoring, data analysis and fault detection. For these reasons, problems and faults are often detected too late, maintenance intervals are chosen too short and optimization potential for higher output and increased energy efficiency is not sufficiently used. A possibility to cope with these challenges is the development of self-learning assistance systems, which identify relevant relationships by observation of complex manufacturing processes so that failures, anomalies and need for optimization are automatically detected. The assistance system developed in the present work accomplishes data acquisition, process monitoring and anomaly detection in industrial and agricultural processes. The assistance system is evaluated in three application cases: Large distillation columns, agricultural harvesting processes and large-scale sorting plants. In this paper, the developed infrastructures for data acquisition in these application cases are described as well as the developed algorithms and initial evaluation results.

  4. Big Data Analysis of Manufacturing Processes

    International Nuclear Information System (INIS)

    Windmann, Stefan; Maier, Alexander; Niggemann, Oliver; Frey, Christian; Bernardi, Ansgar; Gu, Ying; Pfrommer, Holger; Steckel, Thilo; Krüger, Michael; Kraus, Robert

    2015-01-01

    The high complexity of manufacturing processes and the continuously growing amount of data lead to excessive demands on the users with respect to process monitoring, data analysis and fault detection. For these reasons, problems and faults are often detected too late, maintenance intervals are chosen too short and optimization potential for higher output and increased energy efficiency is not sufficiently used. A possibility to cope with these challenges is the development of self-learning assistance systems, which identify relevant relationships by observation of complex manufacturing processes so that failures, anomalies and need for optimization are automatically detected. The assistance system developed in the present work accomplishes data acquisition, process monitoring and anomaly detection in industrial and agricultural processes. The assistance system is evaluated in three application cases: Large distillation columns, agricultural harvesting processes and large-scale sorting plants. In this paper, the developed infrastructures for data acquisition in these application cases are described as well as the developed algorithms and initial evaluation results. (paper)

  5. Manufacture of Radio Frequency Micromachined Switches with Annealing

    OpenAIRE

    Lin, Cheng-Yang; Dai, Ching-Liang

    2014-01-01

    The fabrication and characterization of a radio frequency (RF) micromachined switch with annealing were presented. The structure of the RF switch consists of a membrane, coplanar waveguide (CPW) lines, and eight springs. The RF switch is manufactured using the complementary metal oxide semiconductor (CMOS) process. The switch requires a post-process to release the membrane and springs. The post-process uses a wet etching to remove the sacrificial silicon dioxide layer, and to obtain the suspe...

  6. Post Processing Methods used to Improve Surface Finish of Products which are Manufactured by Additive Manufacturing Technologies: A Review

    Science.gov (United States)

    Kumbhar, N. N.; Mulay, A. V.

    2016-08-01

    The Additive Manufacturing (AM) processes open the possibility to go directly from Computer-Aided Design (CAD) to a physical prototype. These prototypes are used as test models before it is finalized as well as sometimes as a final product. Additive Manufacturing has many advantages over the traditional process used to develop a product such as allowing early customer involvement in product development, complex shape generation and also save time as well as money. Additive manufacturing also possess some special challenges that are usually worth overcoming such as Poor Surface quality, Physical Properties and use of specific raw material for manufacturing. To improve the surface quality several attempts had been made by controlling various process parameters of Additive manufacturing and also applying different post processing techniques on components manufactured by Additive manufacturing. The main objective of this work is to document an extensive literature review in the general area of post processing techniques which are used in Additive manufacturing.

  7. Silicon is in short supply for the growth in solar cell production

    International Nuclear Information System (INIS)

    Halvorsen, Finn

    2003-01-01

    Polycrystalline silicon will be in short supply by 2006. This is the conclusion of two independent studies, one done for the European Union and one for the Photovoltaic Industry Association. The most important reason is the rapid growth in the solar cell market, which is expected to be about 15 per cent per year until 2010. If so, the world's solar cell manufacturers will need 8,000 tonnes of pure silicon at that time. This growth presupposes that the price of silicon does not rise, but it readily might. Because the general situation for the semiconductor industry has been difficult, silicon has been readily available to the manufacturers of solar cells in recent years. This is true of discard, which has always been used for solar cells, but also of silicon that was intended to become microprocessors, storage chips and other advanced semiconductor devices. As the semiconductor market improves, the amount of silicon from this source will shrink. Manufacturers of solar cells cannot afford to pay as much as the semiconductor manufacturers, and some consider making solar cell grade silicon themselves

  8. 40 CFR 761.80 - Manufacturing, processing and distribution in commerce exemptions.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 30 2010-07-01 2010-07-01 false Manufacturing, processing and..., PROCESSING, DISTRIBUTION IN COMMERCE, AND USE PROHIBITIONS Exemptions § 761.80 Manufacturing, processing and... any change in the manner of processing and distributing, importing (manufacturing), or exporting of...

  9. Analytical and Experimental Evaluation of Joining Silicon Carbide to Silicon Carbide and Silicon Nitride to Silicon Nitride for Advanced Heat Engine Applications Phase II

    Energy Technology Data Exchange (ETDEWEB)

    Sundberg, G.J.

    1994-01-01

    Techniques were developed to produce reliable silicon nitride to silicon nitride (NCX-5101) curved joins which were used to manufacture spin test specimens as a proof of concept to simulate parts such as a simple rotor. Specimens were machined from the curved joins to measure the following properties of the join interlayer: tensile strength, shear strength, 22 C flexure strength and 1370 C flexure strength. In parallel, extensive silicon nitride tensile creep evaluation of planar butt joins provided a sufficient data base to develop models with accurate predictive capability for different geometries. Analytical models applied satisfactorily to the silicon nitride joins were Norton's Law for creep strain, a modified Norton's Law internal variable model and the Monkman-Grant relationship for failure modeling. The Theta Projection method was less successful. Attempts were also made to develop planar butt joins of siliconized silicon carbide (NT230).

  10. Introduction to powder metallurgy processes for titanium manufacturing

    International Nuclear Information System (INIS)

    Esteban, P. G.; Bolzoni, L.; Ruiz-Navas, E. M.; Gordo, E.

    2011-01-01

    The development of new extraction processes to produce titanium in powder form leads Powder Metallurgy to an advantage position among the manufacturing processes for titanium. The cost reduction of base material, coupled with the economy of the powder metallurgy processes, give titanium industry the chance to diversify its products, which could lead to production volumes able to stabilise the price of the metal. This work reviews some of the Powder Metallurgy techniques for the manufacturing of titanium parts, and describes the two typical approaches for titanium manufacturing: Blending Elemental and Prealloyed Powders. Among others, conventional pressing and sintering are described, which are compared with cold and hot isostatic pressing techniques. Real and potential applications are described. (Author) 71 refs.

  11. Manufacturing Vision Development – Process and Dialogue

    DEFF Research Database (Denmark)

    Dukovska-Popovska, Iskra

    This Ph.D. project has been conducted in the context of PRODUCTION+5 methodology for devel¬oping manufacturing visions for companies, and related to Experimental Laboratory for Production. Both have been established in the Center for Industrial Production. The empirical parts of the research invo...... involve case studies of three companies that are part of the MCD-process. The cases primarily are focusing on the process and the dialogue dur¬ing the manufacturing vision development.......This Ph.D. project has been conducted in the context of PRODUCTION+5 methodology for devel¬oping manufacturing visions for companies, and related to Experimental Laboratory for Production. Both have been established in the Center for Industrial Production. The empirical parts of the research...

  12. Manufacturing Demonstration Facility: Roll-to-Roll Processing

    Energy Technology Data Exchange (ETDEWEB)

    Datskos, Panos G [ORNL; Joshi, Pooran C [ORNL; List III, Frederick Alyious [ORNL; Duty, Chad E [ORNL; Armstrong, Beth L [ORNL; Ivanov, Ilia N [ORNL; Jacobs, Christopher B [ORNL; Graham, David E [ORNL; Moon, Ji Won [ORNL

    2015-08-01

    This Manufacturing Demonstration Facility (MDF)e roll-to-roll processing effort described in this report provided an excellent opportunity to investigate a number of advanced manufacturing approaches to achieve a path for low cost devices and sensors. Critical to this effort is the ability to deposit thin films at low temperatures using nanomaterials derived from nanofermentation. The overarching goal of this project was to develop roll-to-roll manufacturing processes of thin film deposition on low-cost flexible substrates for electronics and sensor applications. This project utilized ORNL s unique Pulse Thermal Processing (PTP) technologies coupled with non-vacuum low temperature deposition techniques, ORNL s clean room facility, slot dye coating, drop casting, spin coating, screen printing and several other equipment including a Dimatix ink jet printer and a large-scale Kyocera ink jet printer. The roll-to-roll processing project had three main tasks: 1) develop and demonstrate zinc-Zn based opto-electronic sensors using low cost nanoparticulate structures manufactured in a related MDF Project using nanofermentation techniques, 2) evaluate the use of silver based conductive inks developed by project partner NovaCentrix for electronic device fabrication, and 3) demonstrate a suite of low cost printed sensors developed using non-vacuum deposition techniques which involved the integration of metal and semiconductor layers to establish a diverse sensor platform technology.

  13. Ramp Technology and Intelligent Processing in Small Manufacturing

    Science.gov (United States)

    Rentz, Richard E.

    1992-01-01

    To address the issues of excessive inventories and increasing procurement lead times, the Navy is actively pursuing flexible computer integrated manufacturing (FCIM) technologies, integrated by communication networks to respond rapidly to its requirements for parts. The Rapid Acquisition of Manufactured Parts (RAMP) program, initiated in 1986, is an integral part of this effort. The RAMP program's goal is to reduce the current average production lead times experienced by the Navy's inventory control points by a factor of 90 percent. The manufacturing engineering component of the RAMP architecture utilizes an intelligent processing technology built around a knowledge-based shell provided by ICAD, Inc. Rules and data bases in the software simulate an expert manufacturing planner's knowledge of shop processes and equipment. This expert system can use Product Data Exchange using STEP (PDES) data to determine what features the required part has, what material is required to manufacture it, what machines and tools are needed, and how the part should be held (fixtured) for machining, among other factors. The program's rule base then indicates, for example, how to make each feature, in what order to make it, and to which machines on the shop floor the part should be routed for processing. This information becomes part of the shop work order. The process planning function under RAMP greatly reduces the time and effort required to complete a process plan. Since the PDES file that drives the intelligent processing is 100 percent complete and accurate to start with, the potential for costly errors is greatly diminished.

  14. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  15. Manufacturing technology and process for BWR fuel

    International Nuclear Information System (INIS)

    Kato, Shigeru

    1996-01-01

    Following recent advanced technologies, processes and requests of the design changes of BWR fuel, Nuclear Fuel Industries, Ltd. (NFI) has upgraded the manufacturing technology and honed its own skills to complete its brand-new automated facility in Tokai in the latter half of 1980's. The plant uses various forms of automation throughout the manufacturing process: the acceptance of uranium dioxide powder, pelletizing, fuel rod assembling, fuel bundle assembling and shipment. All processes are well computerized and linked together to establish the integrated control system with three levels of Production and Quality Control, Process Control and Process Automation. This multi-level system plays an important role in the quality assurance system which generates the highest quality of fuels and other benefits. (author)

  16. Amorphous silicon passivation for 23.3% laser processed back contact solar cells

    Science.gov (United States)

    Carstens, Kai; Dahlinger, Morris; Hoffmann, Erik; Zapf-Gottwick, Renate; Werner, Jürgen H.

    2017-08-01

    This paper presents amorphous silicon deposited at temperatures below 200 °C, leading to an excellent passivation layer for boron doped emitter and phosphorus doped back surface field areas in interdigitated back contact solar cells. A higher deposition temperature degrades the passivation of the boron emitter by an increased hydrogen effusion due to lower silicon hydrogen bond energy, proved by hydrogen effusion measurements. The high boron surface doping in crystalline silicon causes a band bending in the amorphous silicon. Under these conditions, at the interface, the intentionally undoped amorphous silicon becomes p-type conducting, with the consequence of an increased dangling bond defect density. For bulk amorphous silicon this effect is described by the defect pool model. We demonstrate, that the defect pool model is also applicable to the interface between amorphous and crystalline silicon. Our simulation shows the shift of the Fermi energy towards the valence band edge to be more pronounced for high temperature deposited amorphous silicon having a small bandgap. Application of optimized amorphous silicon as passivation layer for the boron doped emitter and phosphorus doped back surface field on the rear side of laser processed back contact solar cells, fabricated using four laser processing steps, yields an efficiency of 23.3%.

  17. Linear all-optical signal processing using silicon micro-ring resonators

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ou, Haiyan; Xu, Jing

    2016-01-01

    Silicon micro-ring resonators (MRRs) are compact and versatile devices whose periodic frequency response can be exploited for a wide range of applications. In this paper, we review our recent work on linear all-optical signal processing applications using silicon MRRs as passive filters. We focus...

  18. Automated and inexpensive method to manufacture solid- state nanopores and micropores in robust silicon wafers

    Science.gov (United States)

    Vega, M.; Granell, P.; Lasorsa, C.; Lerner, B.; Perez, M.

    2016-02-01

    In this work an easy, reproducible and inexpensive technique for the production of solid state nanopores and micropores using silicon wafer substrate is proposed. The technique is based on control of pore formation, by neutralization etchant (KOH) with a strong acid (HCl). Thus, a local neutralization is produced around the nanopore, which stops the silicon etching. The etching process was performed with 7M KOH at 80°C, where 1.23µm/min etching speed was obtained, similar to those published in literature. The control of the pore formation with the braking acid method was done using 12M HCl and different extreme conditions: i) at 25°C, ii) at 80°C and iii) at 80°C applying an electric potential. In these studies, it was found that nanopores and micropores can be obtained automatically and at a low cost. Additionally, the process was optimized to obtain clean silicon wafers after the pore fabrication process. This method opens the possibility for an efficient scale-up from laboratory production.

  19. Automated and inexpensive method to manufacture solid- state nanopores and micropores in robust silicon wafers

    International Nuclear Information System (INIS)

    Vega, M; Lasorsa, C; Lerner, B; Perez, M; Granell, P

    2016-01-01

    In this work an easy, reproducible and inexpensive technique for the production of solid state nanopores and micropores using silicon wafer substrate is proposed. The technique is based on control of pore formation, by neutralization etchant (KOH) with a strong acid (HCl). Thus, a local neutralization is produced around the nanopore, which stops the silicon etching. The etching process was performed with 7M KOH at 80°C, where 1.23µm/min etching speed was obtained, similar to those published in literature. The control of the pore formation with the braking acid method was done using 12M HCl and different extreme conditions: i) at 25°C, ii) at 80°C and iii) at 80°C applying an electric potential. In these studies, it was found that nanopores and micropores can be obtained automatically and at a low cost. Additionally, the process was optimized to obtain clean silicon wafers after the pore fabrication process. This method opens the possibility for an efficient scale-up from laboratory production. (paper)

  20. Process research on non-CZ silicon material

    Science.gov (United States)

    1982-01-01

    High risk, high payoff research areas associated with he process for producing photovoltaic modules using non-CZ sheet material are investigated. All investigations are being performed using dendritic web silicon, but all processes are directly applicable to other ribbon forms of sheet material. The technical feasibility of forming front and back junctions in non-CZ silicon using liquid dopant techniques was determined. Numerous commercially available liquid phosphorus and boron dopant solutions are investigated. Temperature-time profiles to achieve N(+) and P(+) sheet resistivities of 60 + or - 10 and 40 + or - s10 ohms per square centimeter respectively are established. A study of the optimal method of liquid dopant application is performed. The technical feasibility of forming a liquid applied diffusion mask to replace the more costly chemical vapor deposited SiO2 diffusion mask was also determined.

  1. Dominant rate process of silicon surface etching by hydrogen chloride gas

    International Nuclear Information System (INIS)

    Habuka, Hitoshi; Suzuki, Takahiro; Yamamoto, Sunao; Nakamura, Akio; Takeuchi, Takashi; Aihara, Masahiko

    2005-01-01

    Silicon surface etching and its dominant rate process are studied using hydrogen chloride gas in a wide concentration range of 1-100% in ambient hydrogen at atmospheric pressure in a temperature range of 1023-1423 K, linked with the numerical calculation accounting for the transport phenomena and the surface chemical reaction in the entire reactor. The etch rate, the gaseous products and the surface morphology are experimentally evaluated. The dominant rate equation accounting for the first-order successive reactions at silicon surface by hydrogen chloride gas is shown to be valid. The activation energy of the dominant surface process is evaluated to be 1.5 x 10 5 J mol - 1 . The silicon deposition by the gaseous by-product, trichlorosilane, is shown to have a negligible influence on the silicon etch rate

  2. Application of Contact Mode AFM to Manufacturing Processes

    Science.gov (United States)

    Giordano, Michael A.; Schmid, Steven R.

    A review of the application of contact mode atomic force microscopy (AFM) to manufacturing processes is presented. A brief introduction to common experimental techniques including hardness, scratch, and wear testing is presented, with a discussion of challenges in the extension of manufacturing scale investigations to the AFM. Differences between the macro- and nanoscales tests are discussed, including indentation size effects and their importance in the simulation of processes such as grinding. The basics of lubrication theory are presented and friction force microscopy is introduced as a method of investigating metal forming lubrication on the nano- and microscales that directly simulates tooling/workpiece asperity interactions. These concepts are followed by a discussion of their application to macroscale industrial manufacturing processes and direct correlations are made.

  3. Laser wafering for silicon solar

    International Nuclear Information System (INIS)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-01-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W p (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs (∼20%), embodied energy, and green-house gas GHG emissions (∼50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 (micro)m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  4. Laser wafering for silicon solar.

    Energy Technology Data Exchange (ETDEWEB)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-03-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W{sub p} (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs ({approx}20%), embodied energy, and green-house gas GHG emissions ({approx}50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 {micro}m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  5. UOE Pipe Manufacturing Process Simulation: Equipment Designing and Construction

    Science.gov (United States)

    Delistoian, Dmitri; Chirchor, Mihael

    2017-12-01

    UOE pipe manufacturing process influence directly on pipeline resilience and operation capacity. At present most spreaded pipe manufacturing method is UOE. This method is based on cold forming. After each technological step appears a certain stress and strain level. For pipe stress strain study is designed and constructed special equipment that simulate entire technological process.UOE pipe equipment is dedicated for manufacturing of longitudinally submerged arc welded DN 400 (16 inch) steel pipe.

  6. Phase-sensitive optical processing in silicon waveguides

    DEFF Research Database (Denmark)

    Petermann, Klaus; Gajda, A.; Dziallas, Claudia

    2015-01-01

    Parametric optical signal processing is reviewed for silicon nano-rib-waveguides with a reverse-biased pin-junction. Phase-sensitive parametric amplification with a phase-sensitive extinction of more than 20 dB has been utilized for the regeneration of DPSK signals...

  7. In-situ acoustic signature monitoring in additive manufacturing processes

    Science.gov (United States)

    Koester, Lucas W.; Taheri, Hossein; Bigelow, Timothy A.; Bond, Leonard J.; Faierson, Eric J.

    2018-04-01

    Additive manufacturing is a rapidly maturing process for the production of complex metallic, ceramic, polymeric, and composite components. The processes used are numerous, and with the complex geometries involved this can make quality control and standardization of the process and inspection difficult. Acoustic emission measurements have been used previously to monitor a number of processes including machining and welding. The authors have identified acoustic signature measurement as a potential means of monitoring metal additive manufacturing processes using process noise characteristics and those discrete acoustic emission events characteristic of defect growth, including cracks and delamination. Results of acoustic monitoring for a metal additive manufacturing process (directed energy deposition) are reported. The work investigated correlations between acoustic emissions and process noise with variations in machine state and deposition parameters, and provided proof of concept data that such correlations do exist.

  8. The roles of communication process for an effective lean manufacturing implementation

    OpenAIRE

    Puvanasvaran, Perumal; Megat, Hamdan; Hong, Tang Sai; Razali, Muhamad Mohd.

    2009-01-01

    Many companies are implementing lean manufacturing concept in order to remain competitive and sustainable, however, not many of them are successful in the process due to various reasons. Communication is an important aspect of lean process in order to successfully implement lean manufacturing. This paper determines the roles of communication process in ensuring a successful implementation of leanness in manufacturing companies. All the information of lean manufacturing practice...

  9. Manufacturing Process for OLED Integrated Substrate

    Energy Technology Data Exchange (ETDEWEB)

    Hung, Cheng-Hung [Vitro Flat Glass LLC, Cheswick, PA (United States). Glass Technology Center

    2017-03-31

    The main objective of this project was to develop a low-cost integrated substrate for rigid OLED solid-state lighting produced at a manufacturing scale. The integrated substrates could include combinations of soda lime glass substrate, light extraction layer, and an anode layer (i.e., Transparent Conductive Oxide, TCO). Over the 3+ year course of the project, the scope of work was revised to focus on the development of a glass substrates with an internal light extraction (IEL) layer. A manufacturing-scale float glass on-line particle embedding process capable of producing an IEL glass substrate having a thickness of less than 1.7mm and an area larger than 500mm x 400mm was demonstrated. Substrates measuring 470mm x 370mm were used in the OLED manufacturing process for fabricating OLED lighting panels in single pixel devices as large as 120.5mm x 120.5mm. The measured light extraction efficiency (calculated as external quantum efficiency, EQE) for on-line produced IEL samples (>50%) met the project’s initial goal.

  10. The New Silicon Strip Detectors for the CMS Tracker Upgrade

    CERN Document Server

    Dragicevic, Marko

    2010-01-01

    The first introductory part of the thesis describes the concept of the CMS experiment. The tasks of the various detector systems and their technical implementations in CMS are explained. To facilitate the understanding of the basic principles of silicon strip sensors, the subsequent chapter discusses the fundamentals in semiconductor technology, with particular emphasis on silicon. The necessary process steps to manufacture strip sensors in a so-called planar process are described in detail. Furthermore, the effects of irradiation on silicon strip sensors are discussed. To conclude the introductory part of the thesis, the design of the silicon strip sensors of the CMS Tracker are described in detail. The choice of the substrate material and the complex geometry of the sensors are reviewed and the quality assurance procedures for the production of the sensors are presented. Furthermore the design of the detector modules are described. The main part of this thesis starts with a discussion on the demands on the ...

  11. Nonterrestrial material processing and manufacturing of large space systems

    Science.gov (United States)

    Von Tiesenhausen, G.

    1979-01-01

    Nonterrestrial processing of materials and manufacturing of large space system components from preprocessed lunar materials at a manufacturing site in space is described. Lunar materials mined and preprocessed at the lunar resource complex will be flown to the space manufacturing facility (SMF), where together with supplementary terrestrial materials, they will be final processed and fabricated into space communication systems, solar cell blankets, radio frequency generators, and electrical equipment. Satellite Power System (SPS) material requirements and lunar material availability and utilization are detailed, and the SMF processing, refining, fabricating facilities, material flow and manpower requirements are described.

  12. Ultra-high-speed Optical Signal Processing using Silicon Photonics

    DEFF Research Database (Denmark)

    Oxenløwe, Leif Katsuo; Ji, Hua; Jensen, Asger Sellerup

    with a photonic layer on top to interconnect them. For such systems, silicon is an attractive candidate enabling both electronic and photonic control. For some network scenarios, it may be beneficial to use optical on-chip packet switching, and for high data-density environments one may take advantage...... of the ultra-fast nonlinear response of silicon photonic waveguides. These chips offer ultra-broadband wavelength operation, ultra-high timing resolution and ultra-fast response, and when used appropriately offer energy-efficient switching. In this presentation we review some all-optical functionalities based...... on silicon photonics. In particular we use nano-engineered silicon waveguides (nanowires) [1] enabling efficient phasematched four-wave mixing (FWM), cross-phase modulation (XPM) or self-phase modulation (SPM) for ultra-high-speed optical signal processing of ultra-high bit rate serial data signals. We show...

  13. Silicon materials task of the Low Cost Solar Array Project: Effect of impurities and processing on silicon solar cells

    Science.gov (United States)

    Hopkins, R. H.; Davis, J. R.; Rohatgi, A.; Hanes, M. H.; Rai-Choudhury, P.; Mollenkopf, H. C.

    1982-01-01

    The effects of impurities and processing on the characteristics of silicon and terrestrial silicon solar cells were defined in order to develop cost benefit relationships for the use of cheaper, less pure solar grades of silicon. The amount of concentrations of commonly encountered impurities that can be tolerated in typical p or n base solar cells was established, then a preliminary analytical model from which the cell performance could be projected depending on the kinds and amounts of contaminants in the silicon base material was developed. The impurity data base was expanded to include construction materials, and the impurity performace model was refined to account for additional effects such as base resistivity, grain boundary interactions, thermal processing, synergic behavior, and nonuniform impurity distributions. A preliminary assessment of long term (aging) behavior of impurities was also undertaken.

  14. Cost Models for MMC Manufacturing Processes

    Science.gov (United States)

    Elzey, Dana M.; Wadley, Haydn N. G.

    1996-01-01

    Processes for the manufacture of advanced metal matrix composites are rapidly approaching maturity in the research laboratory and there is growing interest in their transition to industrial production. However, research conducted to date has almost exclusively focused on overcoming the technical barriers to producing high-quality material and little attention has been given to the economical feasibility of these laboratory approaches and process cost issues. A quantitative cost modeling (QCM) approach was developed to address these issues. QCM are cost analysis tools based on predictive process models relating process conditions to the attributes of the final product. An important attribute, of the QCM approach is the ability to predict the sensitivity of material production costs to product quality and to quantitatively explore trade-offs between cost and quality. Applications of the cost models allow more efficient direction of future MMC process technology development and a more accurate assessment of MMC market potential. Cost models were developed for two state-of-the art metal matrix composite (MMC) manufacturing processes: tape casting and plasma spray deposition. Quality and Cost models are presented for both processes and the resulting predicted quality-cost curves are presented and discussed.

  15. Nanostructured silicon for thermoelectric

    Science.gov (United States)

    Stranz, A.; Kähler, J.; Waag, A.; Peiner, E.

    2011-06-01

    Thermoelectric modules convert thermal energy into electrical energy and vice versa. At present bismuth telluride is the most widely commercial used material for thermoelectric energy conversion. There are many applications where bismuth telluride modules are installed, mainly for refrigeration. However, bismuth telluride as material for energy generation in large scale has some disadvantages. Its availability is limited, it is hot stable at higher temperatures (>250°C) and manufacturing cost is relatively high. An alternative material for energy conversion in the future could be silicon. The technological processing of silicon is well advanced due to the rapid development of microelectronics in recent years. Silicon is largely available and environmentally friendly. The operating temperature of silicon thermoelectric generators can be much higher than of bismuth telluride. Today silicon is rarely used as a thermoelectric material because of its high thermal conductivity. In order to use silicon as an efficient thermoelectric material, it is necessary to reduce its thermal conductivity, while maintaining high electrical conductivity and high Seebeck coefficient. This can be done by nanostructuring into arrays of pillars. Fabrication of silicon pillars using ICP-cryogenic dry etching (Inductive Coupled Plasma) will be described. Their uniform height of the pillars allows simultaneous connecting of all pillars of an array. The pillars have diameters down to 180 nm and their height was selected between 1 micron and 10 microns. Measurement of electrical resistance of single silicon pillars will be presented which is done in a scanning electron microscope (SEM) equipped with nanomanipulators. Furthermore, measurement of thermal conductivity of single pillars with different diameters using the 3ω method will be shown.

  16. Solar cell fabricated on welded thin flexible silicon

    Directory of Open Access Journals (Sweden)

    Hessmann Maik Thomas

    2015-01-01

    Full Text Available We present a thin-film crystalline silicon solar cell with an AM1.5 efficiency of 11.5% fabricated on welded 50 μm thin silicon foils. The aperture area of the cell is 1.00 cm2. The cell has an open-circuit voltage of 570 mV, a short-circuit current density of 29.9 mA cm-2 and a fill factor of 67.6%. These are the first results ever presented for solar cells on welded silicon foils. The foils were welded together in order to create the first thin flexible monocrystalline band substrate. A flexible band substrate offers the possibility to overcome the area restriction of ingot-based monocrystalline silicon wafers and the feasibility of a roll-to-roll manufacturing. In combination with an epitaxial and layer transfer process a decrease in production costs can be achieved.

  17. 16th Workshop on Crystalline Silicon Solar Cells and Modules: Materials and Processes; Program, Extended Abstracts, and Papers

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.

    2006-08-01

    The National Center for Photovoltaics sponsored the 16th Workshop on Crystalline Silicon Solar Cells and Modules: Materials and Processes held August 6-9, 2006 in Denver, Colorado. The workshop addressed the fundamental properties of PV-Si, new solar cell designs, and advanced solar cell processing techniques. It provided a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. The Workshop Theme was: "Getting more (Watts) for Less ($i)". A combination of oral presentations by invited speakers, poster sessions, and discussion sessions reviewed recent advances in crystal growth, new cell structures, new processes and process characterization techniques, and cell fabrication approaches suitable for future manufacturing demands. The special sessions included: Feedstock Issues: Si Refining and Purification; Metal-impurity Engineering; Thin Film Si; and Diagnostic Techniques.

  18. Analysis of the silicon market: Will thin films profit?

    International Nuclear Information System (INIS)

    Sark, W.G.J.H.M. van; Brandsen, G.W.; Fleuster, M.; Hekkert, M.P.

    2007-01-01

    The photovoltaic industry has been growing with astonishing rates over the past years. The supply of silicon to the wafer-based industry has recently become a problem. This paper presents a thorough analysis of the PV industry and quantifies the silicon shortage. It is expected that this leads to a decrease in production in 2006 rather than the usual increase. Due to a mismatch in expansion plans of silicon feedstock manufacturers and solar cell manufacturers, a large cell overcapacity will persist up to 2010. The thin-film PV market is expected to profit from the silicon shortage problem; its market share may substantially increase to about 25% in 2010

  19. Analysis of the silicon market: Will thin films profit?

    Energy Technology Data Exchange (ETDEWEB)

    Sark, W.G.J.H.M. van; Brandsen, G.W. [Copernicus Institute for Sustainable Development and Innovation, Utrecht University, Utrecht (Netherlands). Department of Science, Technology and Society; Fleuster, M. [Solland Solar Energy, Heerlen (Netherlands); Hekkert, M.P. [Copernicus Institute for Sustainable Development and Innovation, Utrecht University, Utrecht (Netherlands). Department of Innovation Studies

    2007-06-15

    The photovoltaic industry has been growing with astonishing rates over the past years. The supply of silicon to the wafer-based industry has recently become a problem. This paper presents a thorough analysis of the PV industry and quantifies the silicon shortage. It is expected that this leads to a decrease in production in 2006 rather than the usual increase. Due to a mismatch in expansion plans of silicon feedstock manufacturers and solar cell manufacturers, a large cell overcapacity will persist up to 2010. The thin-film PV market is expected to profit from the silicon shortage problem; its market share may substantially increase to about 25% in 2010. (author)

  20. Effect of Processing Parameters on Thickness of Columnar Structured Silicon Wafers Directly Grown from Silicon Melts

    Directory of Open Access Journals (Sweden)

    Jin-Seok Lee

    2012-01-01

    Full Text Available In order to obtain optimum growth conditions for desired thickness and more effective silicon feedstock usage, effects of processing parameters such as preheated substrate temperatures, time intervals, moving velocity of substrates, and Ar gas blowing rates on silicon ribbon thickness were investigated in the horizontal growth process. Most of the parameters strongly affected in the control of ribbon thickness with columnar grain structure depended on the solidification rate. The thickness of the silicon ribbon decreased with an increasing substrate temperature, decreasing time interval, and increasing moving velocity of the substrate. However, the blowing of Ar gas onto a liquid layer existing on the surface of solidified ribbon contributed to achieving smooth surface roughness but did not closely affect the change of ribbon thickness in the case of a blowing rate of ≥0.65 Nm3/h because the thickness of the solidified layer was already determined by the exit height of the reservoir.

  1. Fundamental understanding and development of low-cost, high-efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    ROHATGI,A.; NARASIMHA,S.; MOSCHER,J.; EBONG,A.; KAMRA,S.; KRYGOWSKI,T.; DOSHI,P.; RISTOW,A.; YELUNDUR,V.; RUBY,DOUGLAS S.

    2000-05-01

    The overall objectives of this program are (1) to develop rapid and low-cost processes for manufacturing that can improve yield, throughput, and performance of silicon photovoltaic devices, (2) to design and fabricate high-efficiency solar cells on promising low-cost materials, and (3) to improve the fundamental understanding of advanced photovoltaic devices. Several rapid and potentially low-cost technologies are described in this report that were developed and applied toward the fabrication of high-efficiency silicon solar cells.

  2. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Directory of Open Access Journals (Sweden)

    Kae Dal Kwack

    2011-01-01

    Full Text Available A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  3. Photo-EMF sensitivity of porous silicon thin layer-crystalline silicon heterojunction to ammonia adsorption.

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  4. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light. PMID:22319353

  5. Quality and Safety Assurance of Iron Casts and Manufacturing Processes

    OpenAIRE

    Kukla S.

    2016-01-01

    The scope of this work focuses on the aspects of quality and safety assurance of the iron cast manufacturing processes. Special attention was given to the processes of quality control and after-machining of iron casts manufactured on automatic foundry lines. Due to low level of automation and huge work intensity at this stage of the process, a model area was established which underwent reorganization in accordance with the assumptions of the World Class Manufacturing (WCM). An analysis of wor...

  6. Methods and mechanisms of gettering of silicon structures in the production of integrated circuits

    Directory of Open Access Journals (Sweden)

    Pilipenko V. A.

    2013-05-01

    Full Text Available Increasing the degree of integration of hardware components imposes more stringent requirements for the reduction of the concentration of contaminants and oxidation stacking faults in the original silicon wafers with its preservation in the IC manufacturing process cycle. This causes high relevance of the application of gettering in modern microelectronic technology. The existing methods of silicon wafers gettering and the mechanisms of their occurrence are considered.

  7. Key Processes of Silicon-On-Glass MEMS Fabrication Technology for Gyroscope Application.

    Science.gov (United States)

    Ma, Zhibo; Wang, Yinan; Shen, Qiang; Zhang, Han; Guo, Xuetao

    2018-04-17

    MEMS fabrication that is based on the silicon-on-glass (SOG) process requires many steps, including patterning, anodic bonding, deep reactive ion etching (DRIE), and chemical mechanical polishing (CMP). The effects of the process parameters of CMP and DRIE are investigated in this study. The process parameters of CMP, such as abrasive size, load pressure, and pH value of SF1 solution are examined to optimize the total thickness variation in the structure and the surface quality. The ratio of etching and passivation cycle time and the process pressure are also adjusted to achieve satisfactory performance during DRIE. The process is optimized to avoid neither the notching nor lag effects on the fabricated silicon structures. For demonstrating the capability of the modified CMP and DRIE processes, a z-axis micro gyroscope is fabricated that is based on the SOG process. Initial test results show that the average surface roughness of silicon is below 1.13 nm and the thickness of the silicon is measured to be 50 μm. All of the structures are well defined without the footing effect by the use of the modified DRIE process. The initial performance test results of the resonant frequency for the drive and sense modes are 4.048 and 4.076 kHz, respectively. The demands for this kind of SOG MEMS device can be fulfilled using the optimized process.

  8. Manufacturing of anode supported SOFCs: Processing parameters and their influence

    DEFF Research Database (Denmark)

    Ramousse, Severine; Menon, Mohan; Brodersen, Karen

    2007-01-01

    The establishment of low cost, highly reliable and reproducible manufacturing processes has been focused for commercialization of SOFC technology. A major challenge in the production chain is the manufacture of anode-supported planar SOFC's single cells in which each layer in a layered structure...... contains a complex microstructure. In order to improve the cell performance as well as reducing the processing costs, it has been found necessary to consider the process chain holistically, because successful manufacture of such a cell and the achievement of optimal final properties depend on each...... of the processing steps and their interdependence. A large database for several thousand anode-supported SOFCs manufactured annually at the Risoe National Laboratory in collaboration with Topsoe Fuel Cell A/S has been constructed. This enables a statistical analysis of the various controlling parameters. Some...

  9. Investigation of Toshiba 130nm CMOS process as a possible candidate for active silicon sensors in HEP and X-ray experiments

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Yunan; Hemperek, Tomasz; Kishishita, Testsuichi; Krueger, Hans; Rymaszewski, Piotr; Wermes, Norbert [University of Bonn, Bonn (Germany); Peric, Ivan [Karlsruhe Institute of Technology, Karlsruhe (Germany)

    2015-07-01

    Following the advances of commercial semiconductor manufacturing technologies there has recently been an increased interest within experimental physics community in applying CMOS manufacturing processes to developing active silicon sensors. Possibility of applying high voltage bias combined with high resistivity substrate allows for better depletion of sensor and therefore quicker and more efficient charge collection. One of processes that accommodates those features is Toshiba 130 nm CMOS technology (CMOS3E). Within our group a test chip was designed to examine the suitability of this technology for physics experiment (both for HEP and X-ray imaging). Design consisted of 4 pixel matrices with total of 12 different pixel flavors allowing for evaluation of various pixel geometries and architectures in terms of depletion depth, noise performance, charge collection efficiency, etc. During this talk initial outcome of this evaluation is presented, starting with brief introduction to technology itself, followed by results of TCAD simulations, description of final design and first measurements results.

  10. Using silicon nanostructures for the improvement of silicon solar cells' efficiency

    International Nuclear Information System (INIS)

    Torre, J. de la; Bremond, G.; Lemiti, M.; Guillot, G.; Mur, P.; Buffet, N.

    2006-01-01

    Silicon nanostructures (ns-Si) show interesting optical and electrical properties as a result of the band gap widening caused by quantum confinement effects. Along with their potential utilization for silicon-based light emitters' fabrication, they could also represent an appealing option for the improvement of energy conversion efficiency in silicon-based solar cells whether by using their luminescence properties (photon down-conversion) or the excess photocurrent produced by an improved high-energy photon's absorption. In this work, we report on the morphological and optical studies of non-stoichiometric silica (SiO x ) and silicon nitride (SiN x ) layers containing silicon nanostructures (ns-Si) in view of their application for solar cell's efficiency improvement. The morphological studies of the samples performed by transmission electron microscopy (TEM) unambiguously show the presence of ns-Si in a crystalline form for high temperature-annealed SiO x layers and for low temperature deposition of SiN x layers. The photoluminescence emission (PL) shows a rather high efficiency in both kind of layers with an intensity of only a factor ∼ 100 lower than that of porous silicon (pi-Si). The photocurrent spectroscopy (PC) shows a significant increase of absorption at high photon energy excitation most probably related to photon absorption within ns-Si quantized states. Moreover, the absorption characteristics obtained from PC spectra show a good agreement with the PL emission states unambiguously demonstrating a same origin, related to Q-confined excitons within ns-Si. Finally, the major asset of this material is the possibility to incorporate it to solar cells manufacturing processing for an insignificant cost

  11. Multi-Step Deep Reactive Ion Etching Fabrication Process for Silicon-Based Terahertz Components

    Science.gov (United States)

    Jung-Kubiak, Cecile (Inventor); Reck, Theodore (Inventor); Chattopadhyay, Goutam (Inventor); Perez, Jose Vicente Siles (Inventor); Lin, Robert H. (Inventor); Mehdi, Imran (Inventor); Lee, Choonsup (Inventor); Cooper, Ken B. (Inventor); Peralta, Alejandro (Inventor)

    2016-01-01

    A multi-step silicon etching process has been developed to fabricate silicon-based terahertz (THz) waveguide components. This technique provides precise dimensional control across multiple etch depths with batch processing capabilities. Nonlinear and passive components such as mixers and multipliers waveguides, hybrids, OMTs and twists have been fabricated and integrated into a small silicon package. This fabrication technique enables a wafer-stacking architecture to provide ultra-compact multi-pixel receiver front-ends in the THz range.

  12. Characterization of Ti and Co based biomaterials processed via laser based additive manufacturing

    Science.gov (United States)

    Sahasrabudhe, Himanshu

    Titanium and Cobalt based metallic materials are currently the most ideal materials for load-bearing metallic bio medical applications. However, the long term tribological degradation of these materials still remains a problem that needs a solution. To improve the tribological performance of these two metallic systems, three different research approaches were adapted, stemming out four different research projects. First, the simplicity of laser gas nitriding was utilized with a modern LENS(TM) technology to form an in situ nitride rich later in titanium substrate material. This nitride rich composite coating improved the hardness by as much as fifteen times and reduced the wear rate by more than a magnitude. The leaching of metallic ions during wear was also reduced by four times. In the second research project, a mixture of titanium and silicon were processed on a titanium substrate in a nitrogen rich environment. The results of this reactive, in situ additive manufacturing process were Ti-Si-Nitride coatings that were harder than the titanium substrate by more than twenty times. These coatings also reduced the wear rate by more than two magnitudes. In the third research approach, composites of CoCrMo alloy and Calcium phosphate (CaP) bio ceramic were processed using LENS(TM) based additive manufacturing. These composites were effective in reducing the wear in the CoCrMo alloy by more than three times as well as reduce the leaching of cobalt and chromium ions during wear. The novel composite materials were found to develop a tribofilm during wear. In the final project, a combination of hard nitride coating and addition of CaP bioceramic was investigated by processing a mixture of Ti6Al4V alloy and CaP in a nitrogen rich environment using the LENS(TM) technology. The resultant Ti64-CaP-Nitride coatings significantly reduced the wear damage on the substrate. There was also a drastic reduction in the metal ions leached during wear. The results indicate that the three

  13. Mathematical model of silicon smelting process basing on pelletized charge from technogenic raw materials

    Science.gov (United States)

    Nemchinova, N. V.; Tyutrin, A. A.; Salov, V. M.

    2018-03-01

    The silicon production process in the electric arc reduction furnaces (EAF) is studied using pelletized charge as an additive to the standard on the basis of the generated mathematical model. The results obtained due to the model will contribute to the analysis of the charge components behavior during melting with the achievement of optimum final parameters of the silicon production process. The authors proposed using technogenic waste as a raw material for the silicon production in a pelletized form using liquid glass and aluminum production dust from the electrostatic precipitators as a binder. The method of mathematical modeling with the help of the ‘Selector’ software package was used as a basis for the theoretical study. A model was simulated with the imitation of four furnace temperature zones and a crystalline silicon phase (25 °C). The main advantage of the created model is the ability to analyze the behavior of all burden materials (including pelletized charge) in the carbothermic process. The behavior analysis is based on the thermodynamic probability data of the burden materials interactions in the carbothermic process. The model accounts for 17 elements entering the furnace with raw materials, electrodes and air. The silicon melt, obtained by the modeling, contained 91.73 % wt. of the target product. The simulation results showed that in the use of the proposed combined charge, the recovery of silicon reached 69.248 %, which is in good agreement with practical data. The results of the crystalline silicon chemical composition modeling are compared with the real silicon samples of chemical analysis data, which showed the results of convergence. The efficiency of the mathematical modeling methods in the studying of the carbothermal silicon obtaining process with complex interphase transformations and the formation of numerous intermediate compounds using a pelletized charge as an additive to the traditional one is shown.

  14. Monolithic amorphous silicon modules on continuous polymer substrate

    Energy Technology Data Exchange (ETDEWEB)

    Grimmer, D.P. (Iowa Thin Film Technologies, Inc., Ames, IA (United States))

    1992-03-01

    This report examines manufacturing monolithic amorphous silicon modules on a continuous polymer substrate. Module production costs can be reduced by increasing module performance, expanding production, and improving and modifying production processes. Material costs can be reduced by developing processes that use a 1-mil polyimide substrate and multilayers of low-cost material for the front encapsulant. Research to speed up a-Si and ZnO deposition rates is needed to improve throughputs. To keep throughput rates compatible with depositions, multibeam fiber optic delivery systems for laser scribing can be used. However, mechanical scribing systems promise even higher throughputs. Tandem cells and production experience can increase device efficiency and stability. Two alternative manufacturing processes are described: (1) wet etching and sheet handling and (2) wet etching and roll-to-roll fabrication.

  15. Process chains for the manufacturing of moulded interconnect devices

    DEFF Research Database (Denmark)

    Islam, Mohammad Aminul; Hansen, Hans Nørgaard; Tang, Peter Torben

    2009-01-01

    process chains for the manufacturing of MIDs. This paper presents a comparison among the MID manufacturing process chains, and it presents experimental results based on two of the most industrially adapted processes. Experiments with two-component (2k) injection molding and subsequent selective......) process show that the success of the process is heavily dependant on the choice of material. It presents how the surface topographies are varied as a function of laser type and material choice. The amount of seed metal particles in the plastic material is a crucial factor that controls laser...

  16. SUPERPOLISHED SI COATED SIC OPTICS FOR RAPID MANUFACTURE OF LARGE APERTURE UV AND EUV TELESCOPES, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — SSG/Tinsley proposes an innovative optical manufacturing process that will allow the advancement of state-of-the-art Silicon Carbide (SiC) mirrors for large aperture...

  17. Use of Monocrystalline Silicon as Tool Material for Highly Accurate Blanking of Thin Metal Foils

    International Nuclear Information System (INIS)

    Hildering, Sven; Engel, Ulf; Merklein, Marion

    2011-01-01

    The trend towards miniaturisation of metallic mass production components combined with increased component functionality is still unbroken. Manufacturing these components by forming and blanking offers economical and ecological advantages combined with the needed accuracy. The complexity of producing tools with geometries below 50 μm by conventional manufacturing methods becomes disproportional higher. Expensive serial finishing operations are required to achieve an adequate surface roughness combined with accurate geometry details. A novel approach for producing such tools is the use of advanced etching technologies for monocrystalline silicon that are well-established in the microsystems technology. High-precision vertical geometries with a width down to 5 μm are possible. The present study shows a novel concept using this potential for the blanking of thin copper foils with monocrystallline silicon as a tool material. A self-contained machine-tool with compact outer dimensions was designed to avoid tensile stresses in the brittle silicon punch by an accurate, careful alignment of the punch, die and metal foil. A microscopic analysis of the monocrystalline silicon punch shows appropriate properties regarding flank angle, edge geometry and surface quality for the blanking process. Using a monocrystalline silicon punch with a width of 70 μm blanking experiments on as-rolled copper foils with a thickness of 20 μm demonstrate the general applicability of this material for micro production processes.

  18. CIMOSA process classification for business process mapping in non-manufacturing firms: A case study

    Science.gov (United States)

    Latiffianti, Effi; Siswanto, Nurhadi; Wiratno, Stefanus Eko; Saputra, Yudha Andrian

    2017-11-01

    A business process mapping is one important means to enable an enterprise to effectively manage the value chain. One of widely used approaches to classify business process for mapping purpose is Computer Integrated Manufacturing System Open Architecture (CIMOSA). CIMOSA was initially designed for Computer Integrated Manufacturing (CIM) system based enterprises. This paper aims to analyze the use of CIMOSA process classification for business process mapping in the firms that do not fall within the area of CIM. Three firms of different business area that have used CIMOSA process classification were observed: an airline firm, a marketing and trading firm for oil and gas products, and an industrial estate management firm. The result of the research has shown that CIMOSA can be used in non-manufacturing firms with some adjustment. The adjustment includes addition, reduction, or modification of some processes suggested by CIMOSA process classification as evidenced by the case studies.

  19. Electropulsing to assist conventional manufacturing processes

    OpenAIRE

    Sánchez Egea, Antonio José

    2016-01-01

    This thesis presents a study on the variation of the mechanical properties of some materials. These variations are registered for processes as bottom bending, wire drawing or round turning, which are performed under high density electropulses. This research implied the study of several issues related to the manufacturing processes and the electric pulses. For example, some isolated systems are developed for each process. This is required for protecting the monitoring devices and machinery fro...

  20. External designers in product design processes of small manufacturing firms

    NARCIS (Netherlands)

    Berends, Hans; Reymen, Isabelle; Stultiëns, Rutger G L; Peutz, Murk

    Small manufacturing firms often fail to reap the benefits of good design practices. This study investigates how the involvement of external designers influences the evolution of product design processes in small manufacturing firms. Qualitative and quantitative process research methods were used to

  1. Silicon solar cell - from R and D to production

    International Nuclear Information System (INIS)

    Akhter, P.

    1995-01-01

    During last 30 years tremendous research and development efforts have concluded that tech-economically silicon is the most suitable material for the manufacturing of solar cells and a number of achievements have been made in the processing of both the materials nd devices. A number of novel structure have been designed and fabricated. The crystalline silicon technology has now become mature enough and is ready to take off from R/D laboratories to large scale fabrication. At laboratory scale the performance of monocrystalline silicon cells have already reached very close to the theoretical value. However the processing cost and efficiency being complimentary, the commercial cells, as a trade off, have to compromise at rather lower efficiencies. Further efforts of lowering the processing cost of both the material and devices are in progress. At the same time attempts are being made to understand the physics of all those factors that limit the efficiency; develop the technologies to eliminate or optimize such effects to reach limiting efficiency with lowest possible cost. All such factors, along with the development will be discussed. (author)

  2. 21 CFR 801.122 - Medical devices for processing, repacking, or manufacturing.

    Science.gov (United States)

    2010-04-01

    ....122 Medical devices for processing, repacking, or manufacturing. A device intended for processing... act if its label bears the statement “Caution: For manufacturing, processing, or repacking”. ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Medical devices for processing, repacking, or...

  3. 78 FR 18234 - Service of Process on Manufacturers; Manufacturers Importing Electronic Products Into the United...

    Science.gov (United States)

    2013-03-26

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES Food and Drug Administration 21 CFR Part 1005 [Docket No. FDA-2007-N-0091; (formerly 2007N-0104)] Service of Process on Manufacturers; Manufacturers Importing Electronic Products Into the United States; Agent Designation; Change of Address AGENCY: Food and Drug...

  4. Numerical simulation of complex part manufactured by selective laser melting process

    Science.gov (United States)

    Van Belle, Laurent

    2017-10-01

    Selective Laser Melting (SLM) process belonging to the family of the Additive Manufacturing (AM) technologies, enable to build parts layer by layer, from metallic powder and a CAD model. Physical phenomena that occur in the process have the same issues as conventional welding. Thermal gradients generate significant residual stresses and distortions in the parts. Moreover, the large and complex parts to manufacturing, accentuate the undesirable effects. Therefore, it is essential for manufacturers to offer a better understanding of the process and to ensure production reliability of parts with high added value. This paper focuses on the simulation of manufacturing turbine by SLM process in order to calculate residual stresses and distortions. Numerical results will be presented.

  5. Process research of non-Czochralski silicon material

    Science.gov (United States)

    Campbell, R. B.

    1986-01-01

    Simultaneous diffusion of liquid precursors containing phosphorus and boron into dendritic web silicon to form solar cell structures was investigated. A simultaneous junction formation techniques was developed. It was determined that to produce high quality cells, an annealing cycle (nominal 800 C for 30 min) should follow the diffusion process to anneal quenched-in defects. Two ohm-cm n-base cells were fabricated with efficiencies greater than 15%. A cost analysis indicated that the simultansous diffusion process costs can be as low as 65% of the costs of the sequential diffusion process.

  6. Assessment of low-cost manufacturing process sequences. [photovoltaic solar arrays

    Science.gov (United States)

    Chamberlain, R. G.

    1979-01-01

    An extensive research and development activity to reduce the cost of manufacturing photovoltaic solar arrays by a factor of approximately one hundred is discussed. Proposed and actual manufacturing process descriptions were compared to manufacturing costs. An overview of this methodology is presented.

  7. A product-process approach for development of the manufacturing footprint

    DEFF Research Database (Denmark)

    Farooq, Sami; Yang, Cheng; Johansen, John

    2009-01-01

    to ever changing global business environment there are certain other external factors that act as drivers for the manufacturing facility development process and therefore should be given considerable importance as they play a major role in defining the future footprint of a manufacturing organisation....... elaborating the development and establishment of various manufacturing facilities of a Danish pump manufacturer is then described. The discussion from the case leads to the conclusion that developing new manufacturing facilities can be explained using existing theories of manufacturing strategy. However due...

  8. Framework for Sustainability Performance Assessment for Manufacturing Processes- A Review

    Science.gov (United States)

    Singh, K.; Sultan, I.

    2017-07-01

    Manufacturing industries are facing tough competition due to increasing raw material cost and depleting natural resources. There is great pressure on the industry to produce environmental friendly products using environmental friendly processes. To address these issues modern manufacturing industries are focusing on sustainable manufacturing. To develop more sustainable societies, industries need to better understand how to respond to environmental, economic and social challenges. This paper proposed some framework and tools that accelerate the transition towards a sustainable system. The developed framework will be beneficial for sustainability assessment comparing different plans alongside material properties, ultimately helping the manufacturing industries to reduce the carbon emissions and material waste, besides improving energy efficiency. It is expected that this would be highly beneficial for determination of environmental impact of a process at early design stages. Therefore, it would greatly help the manufacturing industries for selection of process plan based on sustainable indices. Overall objective of this paper would have good impact on reducing air emissions and protecting environment. We expect this work to contribute to the development of a standard reference methodology to help further sustainability in the manufacturing sector.

  9. Microcrystalline silicon deposition: Process stability and process control

    International Nuclear Information System (INIS)

    Donker, M.N. van den; Kilper, T.; Grunsky, D.; Rech, B.; Houben, L.; Kessels, W.M.M.; Sanden, M.C.M. van de

    2007-01-01

    Applying in situ process diagnostics, we identified several process drifts occurring in the parallel plate plasma deposition of microcrystalline silicon (μc-Si:H). These process drifts are powder formation (visible from diminishing dc-bias and changing spatial emission profile on a time scale of 10 0 s), transient SiH 4 depletion (visible from a decreasing SiH emission intensity on a time scale of 10 2 s), plasma heating (visible from an increasing substrate temperature on a time scale of 10 3 s) and a still puzzling long-term drift (visible from a decreasing SiH emission intensity on a time scale of 10 4 s). The effect of these drifts on the crystalline volume fraction in the deposited films is investigated by selected area electron diffraction and depth-profiled Raman spectroscopy. An example shows how the transient depletion and long-term drift can be prevented by suitable process control. Solar cells deposited using this process control show enhanced performance. Options for process control of plasma heating and powder formation are discussed

  10. Modeling the mechanical and aging properties of silicone rubber and foam - stockpile-historical & additively manufactured materials

    Energy Technology Data Exchange (ETDEWEB)

    Maiti, A; Weisgraber, T H; Gee, R H

    2014-09-30

    M97* and M9763 belong to the M97xx series of cellular silicone materials that have been deployed as stress cushions in some of the LLNL systems. Their purpose of these support foams is to distribute the stress between adjacent components, maintain relative positioning of various components, and mitigate the effects of component size variation due to manufacturing and temperature changes. In service these materials are subjected to a continuous compressive strain over long periods of time. In order to ensure their effectiveness, it is important to understand how their mechanical properties change over time. The properties we are primarily concerned about are: compression set, load retention, and stress-strain response (modulus).

  11. An investigation into the use of large area silicon semiconductors in microwave systems

    International Nuclear Information System (INIS)

    Holliday, H.R.

    1999-09-01

    Semiconductor microwave devices are usually manufactured using micron or sub-micron geometries. The equipment needed for these techniques has a high capital cost and demands high overheads. The material traditionally processed for microwave applications is gallium arsenide but during the period of this investigation a move towards the use of silicon and silicon germanium has emerged. This study, which is essentially practical, covers a range of new ideas for components using large area silicon devices. In the course of the study considerable progress has also been made in the understanding of the behaviour of silicon at microwave frequencies, and some of the initial Concepts were shown to be invalid. An accurate determination of the dielectric constant of silicon has been made using quasi optical techniques at microwave frequencies. The fabrication techniques described originate from methods used at Q-par Angus to manufacture large area silicon nuclear radiation detectors. Developed at the University of Birmingham, these are 'wet chemistry' methods that preclude the need for diffusion or other conventional semiconductor processing techniques. Novel microwave components have been developed using these techniques. These include an optically controlled attenuator with multioctave bandwidth and good dynamic range; window devices to reduce the radar cross section of microwave antennas; and microwave cavity devices including a variable-Q cavity. Concepts for millimeter wave filters are discussed, as are areas for further research. During the attenuator study Wheeler's equations have been extended to cover truncated microstrip. It was observed at an early stage in the work that optical excitation was very effective as a method of controlling the devices. This fits well with current trends in electro-optical devices. The piezo resistance effect in silicon has been briefly investigated and a mechanical attenuator exploiting this effect has been developed. (author)

  12. Development of an In-Line Minority-Carrier Lifetime Monitoring Tool for Process Control during Fabrication of Crystalline Silicon Solar Cells: Annual Subcontract Report, June 2003 (Revised)

    Energy Technology Data Exchange (ETDEWEB)

    Sinton, R. A.

    2004-04-01

    Under the PV Manufacturing R&D subcontract''Development of an In-Line, Minority-Carrier Lifetime Monitoring Tool for Process Control during Fabrication of Crystalline Silicon Solar Cells'', Sinton Consulting developed prototypes for several new instruments for use in the manufacture of silicon solar cells. These instruments are based on two families of R&D instruments that were previously available, an illumination vs. open-circuit-voltage technique and the quasi-steady state RF photoconductance technique for measuring minority-carrier lifetime. Compared to the previous instruments, the new prototypes are about 20 times faster per measurement, and have automated data analysis that does not require user intervention even when confronted by challenging cases. For example, un-passivated multi-crystalline wafers with large variations in lifetime and trapping behavior can be measured sequentially without error. Five instruments have been prototyped in this project to date, including a block tester for evaluating cast or HEM silicon blocks, a CZ ingot tester, an FZ boule tester for use with long-lifetime silicon, and an in-line sample head for measuring wafers. The CZ ingot tester and the FZ boule tester are already being used within industry and there is interest in the other prototypes. For each instrument, substantial R&D work was required in developing the device physics and analysis as well as for the hardware. This work has been documented in a series of application notes and conference publications, and will result in significant improvements for both the R&D and the industrial types of instruments.

  13. THz generation from a nanocrystalline silicon-based photoconductive device

    International Nuclear Information System (INIS)

    Daghestani, N S; Persheyev, S; Cataluna, M A; Rose, M J; Ross, G

    2011-01-01

    Terahertz generation has been achieved from a photoconductive switch based on hydrogenated nanocrystalline silicon (nc-Si:H), gated by a femtosecond laser. The nc-Si:H samples were produced by a hot wire chemical vapour deposition process, a process with low production costs owing to its higher growth rate and manufacturing simplicity. Although promising ultrafast carrier dynamics of nc-Si have been previously demonstrated, this is the first report on THz generation from a nc-Si:H material

  14. Silicon nanowire hot carrier electroluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Plessis, M. du, E-mail: monuko@up.ac.za; Joubert, T.-H.

    2016-08-31

    Avalanche electroluminescence from silicon pn junctions has been known for many years. However, the internal quantum efficiencies of these devices are quite low due to the indirect band gap nature of the semiconductor material. In this study we have used reach-through biasing and SOI (silicon-on-insulator) thin film structures to improve the internal power efficiency and the external light extraction efficiency. Both continuous silicon thin film pn junctions and parallel nanowire pn junctions were manufactured using a custom SOI technology. The pn junctions are operated in the reach-through mode of operation, thus increasing the average electric field within the fully depleted region. Experimental results of the emission spectrum indicate that the most dominant photon generating mechanism is due to intraband hot carrier relaxation processes. It was found that the SOI nanowire light source external power efficiency is at least an order of magnitude better than the comparable bulk CMOS (Complementary Metal Oxide Semiconductor) light source. - Highlights: • We investigate effect of electric field on silicon avalanche electroluminescence. • With reach-through pn junctions the current and carrier densities are kept constant. • Higher electric fields increase short wavelength radiation. • Higher electric fields decrease long wavelength radiation. • The effect of the electric field indicates intraband transitions as main mechanism.

  15. Development of Advanced Ceramic Manufacturing Technology

    Energy Technology Data Exchange (ETDEWEB)

    Pujari, V.K.

    2001-04-05

    Advanced structural ceramics are enabling materials for new transportation engine systems that have the potential for significantly reducing energy consumption and pollution in automobiles and heavy vehicles. Ceramic component reliability and performance have been demonstrated in previous U.S. DOE initiatives, but high manufacturing cost was recognized as a major barrier to commercialization. Norton Advanced Ceramics (NAC), a division of Saint-Gobain Industrial Ceramics, Inc. (SGIC), was selected to perform a major Advanced Ceramics Manufacturing Technology (ACMT) Program. The overall objectives of NAC's program were to design, develop, and demonstrate advanced manufacturing technology for the production of ceramic exhaust valves for diesel engines. The specific objectives were (1) to reduce the manufacturing cost by an order of magnitude, (2) to develop and demonstrate process capability and reproducibility, and (3) to validate ceramic valve performance, durability, and reliability. The program was divided into four major tasks: Component Design and Specification, Component Manufacturing Technology Development, Inspection and Testing, and Process Demonstration. A high-power diesel engine valve for the DDC Series 149 engine was chosen as the demonstration part for this program. This was determined to be an ideal component type to demonstrate cost-effective process enhancements, the beneficial impact of advanced ceramics on transportation systems, and near-term commercialization potential. The baseline valve material was NAC's NT451 SiAION. It was replaced, later in the program, by an alternate silicon nitride composition (NT551), which utilized a lower cost raw material and a simplified powder-processing approach. The material specifications were defined based on DDC's engine requirements, and the initial and final component design tasks were completed.

  16. Analysis of heating effect on the process of high deposition rate microcrystalline silicon

    International Nuclear Information System (INIS)

    Xiao-Dan, Zhang; He, Zhang; Chang-Chun, Wei; Jian, Sun; Guo-Fu, Hou; Shao-Zhen, Xiong; Xin-Hua, Geng; Ying, Zhao

    2010-01-01

    A possible heating effect on the process of high deposition rate microcrystalline silicon has been studied. It includes the discharge time-accumulating heating effect, discharge power, inter-electrode distance, and total gas flow rate induced heating effect. It is found that the heating effects mentioned above are in some ways quite similar to and in other ways very different from each other. However, all of them will directly or indirectly cause the increase of the substrate surface temperature during the process of depositing microcrystalline silicon thin films, which will affect the properties of the materials with increasing time. This phenomenon is very serious for the high deposition rate of microcrystalline silicon thin films because of the high input power and the relatively small inter-electrode distance needed. Through analysis of the heating effects occurring in the process of depositing microcrystalline silicon, it is proposed that the discharge power and the heating temperature should be as low as possible, and the total gas flow rate and the inter-electrode distance should be suitable so that device-grade high quality deposition rate microcrystalline silicon thin films can be fabricated

  17. Nonlinear silicon photonics

    Science.gov (United States)

    Borghi, M.; Castellan, C.; Signorini, S.; Trenti, A.; Pavesi, L.

    2017-09-01

    Silicon photonics is a technology based on fabricating integrated optical circuits by using the same paradigms as the dominant electronics industry. After twenty years of fervid development, silicon photonics is entering the market with low cost, high performance and mass-manufacturable optical devices. Until now, most silicon photonic devices have been based on linear optical effects, despite the many phenomenologies associated with nonlinear optics in both bulk materials and integrated waveguides. Silicon and silicon-based materials have strong optical nonlinearities which are enhanced in integrated devices by the small cross-section of the high-index contrast silicon waveguides or photonic crystals. Here the photons are made to strongly interact with the medium where they propagate. This is the central argument of nonlinear silicon photonics. It is the aim of this review to describe the state-of-the-art in the field. Starting from the basic nonlinearities in a silicon waveguide or in optical resonator geometries, many phenomena and applications are described—including frequency generation, frequency conversion, frequency-comb generation, supercontinuum generation, soliton formation, temporal imaging and time lensing, Raman lasing, and comb spectroscopy. Emerging quantum photonics applications, such as entangled photon sources, heralded single-photon sources and integrated quantum photonic circuits are also addressed at the end of this review.

  18. A Review on the Mechanical Modeling of Composite Manufacturing Processes

    DEFF Research Database (Denmark)

    Baran, Ismet; Cinar, Kenan; Ersoy, Nuri

    2016-01-01

    The increased usage of fiber reinforced polymer composites in load bearing applications requires a detailed understanding of the process induced residual stresses and their effect on the shape distortions. This is utmost necessary in order to have more reliable composite manufacturing since...... the residual stresses alter the internal stress level of the composite part during the service life and the residual shape distortions may lead to not meeting the desired geometrical tolerances. The occurrence of residual stresses during the manufacturing process inherently contains diverse interactions...... between the involved physical phenomena mainly related to material flow, heat transfer and polymerization or crystallization. Development of numerical process models is required for virtual design and optimization of the composite manufacturing process which avoids the expensive trial-and-error based...

  19. Silicon lithium detector for x ray fluorescence

    International Nuclear Information System (INIS)

    Rodriguez Cabal, A. E.; Diaz Garcia, A.; Noriega Scull, C.; Martinez Munoz, O.; Diaz Cepeda, R.

    1997-01-01

    The Silicon Lithium detector is the system for the detection of nuclear radiation. It transforms the charge that was produced inside of Silicon material as a result of the incidence of particles and X rays, in voltage pulses at the output of the preamplifier. In this work was made the adjustment of the technological process of manufacture of the detector. Also was made the design and construction of the cryostat and preamplifier and then the validation of the system in a Cuban Dewar. The system, which was made for the first time in our country, has an energy resolution of 185 eV for the Fe-55 source (E=5.9 KeV), which has permitted its implementation in energy dispersive X ray fluorescence. (author) [es

  20. The roles of communication process for an effective lean manufacturing implementation

    Directory of Open Access Journals (Sweden)

    Perumal Puvanasvaran

    2009-07-01

    Full Text Available Many companies are implementing lean manufacturing concept in order to remain competitive and sustainable, however, not many of them are successful in the process due to various reasons. Communication is an important aspect of lean process in order to successfully implement lean manufacturing.  This paper determines the roles of communication process in ensuring a successful implementation of leanness in manufacturing companies. All the information of lean manufacturing practices and roles of communication in the implementation were compiled from related journals, books and websites. A study was conducted in an aerospace manufacturing in Malaysia. A five-point scale questionnaire is used as the study instrument. These questionnaires were distributed to 45 employees working in a kitting department and to 8 top management people. The results indicate that the degree of leanness were moderate.

  1. Study of the processes of carbonization and oxidation of porous silicon by Raman and IR spectroscopy

    International Nuclear Information System (INIS)

    Vasin, A. V.; Okholin, P. N.; Verovsky, I. N.; Nazarov, A. N.; Lysenko, V. S.; Kholostov, K. I.; Bondarenko, V. P.; Ishikawa, Y.

    2011-01-01

    Porous silicon layers were produced by electrochemical etching of single-crystal silicon wafers with the resistivity 10 Ω cm in the aqueous-alcohol solution of hydrofluoric acid. Raman spectroscopy and infrared absorption spectroscopy are used to study the processes of interaction of porous silicon with undiluted acetylene at low temperatures and the processes of oxidation of carbonized porous silicon by water vapors. It is established that, even at the temperature 550°C, the silicon-carbon bonds are formed at the pore surface and the graphite-like carbon condensate emerges. It is shown that the carbon condensate inhibits oxidation of porous silicon by water vapors and contributes to quenching of white photoluminescence in the oxidized carbonized porous silicon nanocomposite layer.

  2. Topology Optimization for Reducing Additive Manufacturing Processing Distortions

    Science.gov (United States)

    2017-12-01

    distribution is unlimited. 1. Introduction Additive manufacturing (AM) is a production method that involves gradual, layer- by-layer building of material... design space—allowing the production of pre- viously unmanufacturable topologically optimized structures—constraints remain. One constraint, for...ARL-TR-8242•DEC 2017 US Army Research Laboratory Topology Optimization for ReducingAdditive Manufacturing ProcessingDistortions by Raymond A Wildman

  3. Processes for manufacture of products from plants

    DEFF Research Database (Denmark)

    2010-01-01

    Disclosed herein is a process for inhibiting browning of plant material comprising adding a chelating agent to a disrupted plant material and adjusting the pH to a value of 2.0 to 4.5. Processes for manufacture of soluble and insoluble products from a plant material are also disclosed. Soluble...

  4. Reprogramming hMSCs morphology with silicon/porous silicon geometric micro-patterns.

    Science.gov (United States)

    Ynsa, M D; Dang, Z Y; Manso-Silvan, M; Song, J; Azimi, S; Wu, J F; Liang, H D; Torres-Costa, V; Punzon-Quijorna, E; Breese, M B H; Garcia-Ruiz, J P

    2014-04-01

    Geometric micro-patterned surfaces of silicon combined with porous silicon (Si/PSi) have been manufactured to study the behaviour of human Mesenchymal Stem Cells (hMSCs). These micro-patterns consist of regular silicon hexagons surrounded by spaced columns of silicon equilateral triangles separated by PSi. The results show that, at an early culture stage, the hMSCs resemble quiescent cells on the central hexagons with centered nuclei and actin/β-catenin and a microtubules network denoting cell adhesion. After 2 days, hMSCs adapted their morphology and cytoskeleton proteins from cell-cell dominant interactions at the center of the hexagonal surface. This was followed by an intermediate zone with some external actin fibres/β-catenin interactions and an outer zone where the dominant interactions are cell-silicon. Cells move into silicon columns to divide, migrate and communicate. Furthermore, results show that Runx2 and vitamin D receptors, both specific transcription factors for skeleton-derived cells, are expressed in cells grown on micropatterned silicon under all observed circumstances. On the other hand, non-phenotypic alterations are under cell growth and migration on Si/PSi substrates. The former consideration strongly supports the use of micro-patterned silicon surfaces to address pending questions about the mechanisms of human bone biogenesis/pathogenesis and the study of bone scaffolds.

  5. Development of Probabilistic Structural Analysis Integrated with Manufacturing Processes

    Science.gov (United States)

    Pai, Shantaram S.; Nagpal, Vinod K.

    2007-01-01

    An effort has been initiated to integrate manufacturing process simulations with probabilistic structural analyses in order to capture the important impacts of manufacturing uncertainties on component stress levels and life. Two physics-based manufacturing process models (one for powdered metal forging and the other for annular deformation resistance welding) have been linked to the NESSUS structural analysis code. This paper describes the methodology developed to perform this integration including several examples. Although this effort is still underway, particularly for full integration of a probabilistic analysis, the progress to date has been encouraging and a software interface that implements the methodology has been developed. The purpose of this paper is to report this preliminary development.

  6. Development of an In-line Minority-Carrier Lifetime Monitoring Tool for Process Control during Fabrication of Crystalline Silicon Solar Cells: Final Technical Report, 2 August 2002-15 November 2004

    Energy Technology Data Exchange (ETDEWEB)

    Sinton, R. A.

    2004-12-01

    The objective of this subcontract over its two-phase, two-year duration was to design and develop improvements to the existing Sinton Consulting R&D minority-carrier lifetime testers. The improvements enable the possibilities for performing various in-line diagnostics on crystalline silicon wafers and cells for solar cell manufacturing lines. This facilitates manufacturing optimization and improved process control. The scope of work for Phase I was to prototype industrial applications for the improved instruments. A small-sample-head version of the instrument was designed and developed in this effort. This new instrument was complemented by detailed application notes detailing the productive use of minority-carrier lifetime measurements for process optimization and routine process control. In Phase II, the results from the first year were applied to design new instruments for industrial applications. These instruments were then characterized and documented. We report here on four new instruments, each optimized for a specific application as demanded by industrial customers. The documentation for these instruments was very technical and involved considerable R&D. Applications were developed that applied the latest in R&D on industrial silicon materials. By investigating the compromises that would be necessary to measure industrial material directly without the sample preparation that is commonly done for good research, we were able to develop several very innovative applications that can now be done directly in the production line for process control.

  7. Engineering aspects of rate-related processes in food manufacturing.

    Science.gov (United States)

    Adachi, Shuji

    2015-01-01

    Many rate-related phenomena occur in food manufacturing processes. This review addresses four of them, all of which are topics that the author has studied in order to design food manufacturing processes that are favorable from the standpoint of food engineering. They include chromatographic separation through continuous separation with a simulated moving adsorber, lipid oxidation kinetics in emulsions and microencapsulated systems, kinetic analysis and extraction in subcritical water, and water migration in pasta.

  8. High-stability transparent amorphous oxide TFT with a silicon-doped back-channel layer

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hyoung-Rae; Park, Jea-Gun [Hanyang University, Seoul (Korea, Republic of)

    2014-10-15

    We significantly reduced various electrical instabilities of amorphous indium gallium zinc oxide thin-film transistors (TFTs) by using the co-deposition of silicon on an a-IGZO back channel. This process showed improved stability of the threshold voltage (V{sub th}) under high temperature and humidity and negative gate-bias illumination stress (NBIS) without any reduction of IDS. The enhanced stability was achieved with silicon, which has higher metal-oxide bonding strengths than gallium does. Additionally, SiO{sub x} distributed on the a-IGZO surface reduced the adsorption and the desorption of H{sub 2}O and O{sub 2}. This process is applicable to the TFT manufacturing process with a variable sputtering target.

  9. Microstructure and corrosion characteristics of HANA 6 alloy with various manufacturing processes

    International Nuclear Information System (INIS)

    Kim, Hyun Gil; Choi, Byung Kwan; Jeong, Yong Hwan

    2008-01-01

    In order to obtain the best manufacturing process for the HANA 6 alloy, the various evaluations such as a corrosion test at 400 .deg. C steam condition, a microstructural analysis by using TEM, and texture analysis by using XRD were performed for the HANA 6 alloy with various manufacturing processes. This alloy was manufactured as sheets by applying 4 types of manufacturing processes which were controlled by a combination of the intermediate annealing temperature and reduction ratio, as well as two types of final annealing conditions which were applied to the HANA 6 alloy from TREX samples. The corrosion resistance of the HANA 6 alloy with various manufacturing processes was increased with a decreasing intermediate annealing temperature and the corrosion resistance of that alloy was decreased by increasing the final annealing temperature after a corrosion test up to 240 days. The precipitate of the HANA 6 alloy mainly consisted of Nb-containing precipitates in all the samples, but the size, distribution and Nb concentration of the precipitates was affected by the applied manufacturing processes. The Nb concentration in the precipitates was increased when the samples were annealed at 570.deg.C during the intermediate annealing processes. So, the corrosion rate of the HANA 6 alloy is affected considerably by a control of the intermediate and final annealing conditions which affect the precipitate characteristics in the matrix. The crystallographic texture of the HANA 6 alloy with various manufacturing processes is similar since the total reduction ratio was the same in all the manufactured sheet samples

  10. Kinetic Modeling of a Silicon Refining Process in a Moist Hydrogen Atmosphere

    Science.gov (United States)

    Chen, Zhiyuan; Morita, Kazuki

    2018-06-01

    We developed a kinetic model that considers both silicon loss and boron removal in a metallurgical grade silicon refining process. This model was based on the hypotheses of reversible reactions. The reaction rate coefficient kept the same form but error of terminal boron concentration could be introduced when relating irreversible reactions. Experimental data from published studies were used to develop a model that fit the existing data. At 1500 °C, our kinetic analysis suggested that refining silicon in a moist hydrogen atmosphere generates several primary volatile species, including SiO, SiH, HBO, and HBO2. Using the experimental data and the kinetic analysis of volatile species, we developed a model that predicts a linear relationship between the reaction rate coefficient k and both the quadratic function of p(H2O) and the square root of p(H2). Moreover, the model predicted the partial pressure values for the predominant volatile species and the prediction was confirmed by the thermodynamic calculations, indicating the reliability of the model. We believe this model provides a foundation for designing a silicon refining process with a fast boron removal rate and low silicon loss.

  11. Report on 1979 result of Sunshine Project. R and D on solar power generation system (R and D on particle non-accelerated growth type silicon thin film crystal); 1979 nendo taiyoko hatsuden system no kenkyu kaihatsu seika hokokusho. Ryushi hikasoku seichogata silicon usumaku kessho no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1980-03-01

    The R and D was intended to establish the manufacturing technology of a particle non-accelerated growth type silicon thin film crystal, for the purpose of developing a technology for enabling the production of a solar power generation system, whose price is practically 1/100 compared with that of building the system with the current technology, and the R and D was also intended to build the system using such silicon material. While a simple purification method was examined for a low purity metallurgical-grade silicon, a solar-grade silicon (SOG) was developed as the new material this year, with a solar cell experimentally manufactured having a structure directly joined to the substrate material and with evaluation carried out on the characteristic of such solar cell. The application of 'gettering' was tried which was for removing harmful impurities from the substrate obtained from such material, bringing an outlook of manufacturing a solar cell with a conversion efficiency of 10%. Concerning the SOG-Si, the efficiency of 13% or higher was attained through the improvement of the manufacturing process. This was the value comparable to the case of using a conventional high purity monocrystal wafer. Further, the application of an ion implantation method was studied for the purpose of getting a low cost. (NEDO)

  12. Silicon-Carbide Power MOSFET Performance in High Efficiency Boost Power Processing Unit for Extreme Environments

    Science.gov (United States)

    Ikpe, Stanley A.; Lauenstein, Jean-Marie; Carr, Gregory A.; Hunter, Don; Ludwig, Lawrence L.; Wood, William; Del Castillo, Linda Y.; Fitzpatrick, Fred; Chen, Yuan

    2016-01-01

    Silicon-Carbide device technology has generated much interest in recent years. With superior thermal performance, power ratings and potential switching frequencies over its Silicon counterpart, Silicon-Carbide offers a greater possibility for high powered switching applications in extreme environment. In particular, Silicon-Carbide Metal-Oxide- Semiconductor Field-Effect Transistors' (MOSFETs) maturing process technology has produced a plethora of commercially available power dense, low on-state resistance devices capable of switching at high frequencies. A novel hard-switched power processing unit (PPU) is implemented utilizing Silicon-Carbide power devices. Accelerated life data is captured and assessed in conjunction with a damage accumulation model of gate oxide and drain-source junction lifetime to evaluate potential system performance at high temperature environments.

  13. Biological features produced by additive manufacturing processes using vat photopolymerization method

    DEFF Research Database (Denmark)

    Davoudinejad, Ali; Mendez Ribo, Macarena; Pedersen, David Bue

    2017-01-01

    of micro biological features by Additive Manufacturing (AM) processes. The study characterizes the additive manufacturing processes for polymeric micro part productions using the vat photopolymerization method. A specifically designed vat photopolymerization AM machine suitable for precision printing...

  14. Data quality and processing for decision making: divergence between corporate strategy and manufacturing processes

    Science.gov (United States)

    McNeil, Ronald D.; Miele, Renato; Shaul, Dennis

    2000-10-01

    Information technology is driving improvements in manufacturing systems. Results are higher productivity and quality. However, corporate strategy is driven by a number of factors and includes data and pressure from multiple stakeholders, which includes employees, managers, executives, stockholders, boards, suppliers and customers. It is also driven by information about competitors and emerging technology. Much information is based on processing of data and the resulting biases of the processors. Thus, stakeholders can base inputs on faulty perceptions, which are not reality based. Prior to processing, data used may be inaccurate. Sources of data and information may include demographic reports, statistical analyses, intelligence reports (e.g., marketing data), technology and primary data collection. The reliability and validity of data as well as the management of sources and information is critical element to strategy formulation. The paper explores data collection, processing and analyses from secondary and primary sources, information generation and report presentation for strategy formulation and contrast this with data and information utilized to drive internal process such as manufacturing. The hypothesis is that internal process, such as manufacturing, are subordinate to corporate strategies. The impact of possible divergence in quality of decisions at the corporate level on IT driven, quality-manufacturing processes based on measurable outcomes is significant. Recommendations for IT improvements at the corporate strategy level are given.

  15. Tracking the course of the manufacturing process in selective laser melting

    Science.gov (United States)

    Thombansen, U.; Gatej, A.; Pereira, M.

    2014-02-01

    An innovative optical train for a selective laser melting based manufacturing system (SLM) has been designed under the objective to track the course of the SLM process. In this, the thermal emission from the melt pool and the geometric properties of the interaction zone are addressed by applying a pyrometer and a camera system respectively. The optical system is designed such that all three radiations from processing laser, thermal emission and camera image are coupled coaxially and that they propagate on the same optical axis. As standard f-theta lenses for high power applications inevitably lead to aberrations and divergent optical axes for increasing deflection angles in combination with multiple wavelengths, a pre-focus system is used to implement a focusing unit which shapes the beam prior to passing the scanner. The sensor system records synchronously the current position of the laser beam, the current emission from the melt pool and an image of the interaction zone. Acquired data of the thermal emission is being visualized after processing which allows an instant evaluation of the course of the process at any position of each layer. As such, it provides a fully detailed history of the product This basic work realizes a first step towards self-optimization of the manufacturing process by providing information about quality relevant events during manufacture. The deviation from the planned course of the manufacturing process to the actual course of the manufacturing process can be used to adapt the manufacturing strategy from one layer to the next. In the current state, the system can be used to facilitate the setup of the manufacturing system as it allows identification of false machine settings without having to analyze the work piece.

  16. Use of residual wood in the cement manufacturing process

    International Nuclear Information System (INIS)

    Gue, R.

    2005-01-01

    This PowerPoint presentation discussed the use of wood residuals in the cement manufacturing process. An outline of the cement manufacturing process was presented. Raw materials are combined in exact proportions to create a chemically correct mix, which is then pulverized in a mill. The mix is then burned in a kiln. The end product is cooled to form the pellet sized material known as clinker, which is then milled to form cement. The combustion and destruction characteristics of a cement kiln were presented. Modern cement kilns require approximately 3.2 Gj of energy to produce one tonne of cement. It was noted that wood residuals do not contain halogens, sulfur or other materials detrimental to the cement manufacturing process. Possible injection points for kilns were presented. Various studies have shown that wood residuals can be safely used as a fuel in the manufacture of cement. Environmental benefits derived from using wood included the complete destruction of organic portions, and the fact that residual ash becomes an indistinguishable part of the final product. It was concluded that wood residual materials are a satisfactory alternative fuel for the cement industry. tabs., figs

  17. Inkjet 3D printing of UV and thermal cure silicone elastomers for dielectric elastomer actuators

    Science.gov (United States)

    McCoul, David; Rosset, Samuel; Schlatter, Samuel; Shea, Herbert

    2017-12-01

    Dielectric elastomer actuators (DEAs) are an attractive form of electromechanical transducer, possessing high energy densities, an efficient design, mechanical compliance, high speed, and noiseless operation. They have been incorporated into a wide variety of devices, such as microfluidic systems, cell bioreactors, tunable optics, haptic displays, and actuators for soft robotics. Fabrication of DEA devices is complex, and the majority are inefficiently made by hand. 3D printing offers an automated and flexible manufacturing alternative that can fabricate complex, multi-material, integrated devices consistently and in high resolution. We present a novel additive manufacturing approach to DEA devices in which five commercially available, thermal and UV-cure DEA silicone rubber materials have been 3D printed with a drop-on-demand, piezoelectric inkjet system. Using this process, 3D structures and high-quality silicone dielectric elastomer membranes as thin as 2 μm have been printed that exhibit mechanical and actuation performance at least as good as conventionally blade-cast membranes. Printed silicone membranes exhibited maximum tensile strains of up to 727%, and DEAs with printed silicone dielectrics were actuated up to 6.1% area strain at a breakdown strength of 84 V μm-1 and also up to 130 V μm-1 at 2.4% strain. This approach holds great potential to manufacture reliable, high-performance DEA devices with high throughput.

  18. Reticle variation influence on manufacturing line and wafer device performance

    Science.gov (United States)

    Nistler, John L.; Spurlock, Kyle

    1994-01-01

    Cost effective manufacturing of devices at 0.5, 0.35 and 0.25μm geometries will be highly dependent on a companys' ability to obtain an economic return on investment. The high capital investment in equipment and facilities, not to mention the related chemical and wafer costs, for producing 200mm silicon wafers requires aspects of wafer processing to be tightly controlled. Reduction in errors and enhanced yield management requires early correction or avoidance of reticle problems. It is becoming increasingly important to recognize and track all pertinent factors impacting both the technical and financial viability of a wafer manufacturing fabrication area. Reticle related effects on wafer manufacturing can be costly and affect the total quality perceived by the device customer.

  19. Use of digital technologies for nasal prosthesis manufacturing.

    Science.gov (United States)

    Palousek, David; Rosicky, Jiri; Koutny, Daniel

    2014-04-01

    Digital technology is becoming more accessible for common use in medical applications; however, their expansion in prosthetic and orthotic laboratories is not large because of the persistent image of difficult applicability to real patients. This article aims to offer real example in the area of human facial prostheses. This article describes the utilization of optical digitization, computational modelling, rapid prototyping, mould fabrication and manufacturing of a nasal silicone prosthesis. This technical note defines the key points of the methodology and aspires to contribute to the introduction of a certified manufacturing procedure. The results show that the used technologies reduce the manufacturing time, reflect patient's requirements and allow the manufacture of high-quality prostheses for missing facial asymmetric parts. The methodology provides a good position for further development issues and is usable for clinical practice. Clinical relevance Utilization of digital technologies in facial prosthesis manufacturing process can be a good contribution for higher patient comfort and higher production efficiency but with higher initial investment and demands for experience with software tools.

  20. Microstructure devices for process intensification: Influence of manufacturing tolerances and design

    International Nuclear Information System (INIS)

    Brandner, Juergen J.

    2013-01-01

    Process intensification by miniaturization is a common task for several fields of technology. Starting from manufacturing of electronic devices, miniaturization with the accompanying opportunities and problems gained also interest in chemistry and chemical process engineering. While the integration of enhanced functions, e.g. integrated sensors and actuators, is still under consideration, miniaturization itself has been realized in all material classes, namely metals, ceramics and polymers. First devices have been manufactured by scaling down macro-scale devices. However, manufacturing tolerances, material properties and design show much larger influence to the process than in macro scale. Many of the devices generated alike the macro ones work properly, but possibly could be optimized to a certain extend by adjusting the design and manufacturing tolerances to the special demands of miniaturization. Thus, some considerations on the design and production of devices for micro process engineering should be made to provide devices which show reproducible and controllable process behavior. The aim of the following publication is to show the importance of considerations in manufacturing tolerances and dimensions as well as design of microstructures to avoid negative influences and optimize the process characteristics of miniaturized devices. Some examples will be shown to explain the considerations presented here

  1. Multiple batch recharging for industrial CZ silicon growth

    Science.gov (United States)

    Fickett, B.; Mihalik, G.

    2001-05-01

    The Czochralski (CZ) crystal growth process used in the Siemens Solar Industries’ (SSI) Vancouver, WA facility was non-continuous. Each furnace run's production was limited by the size of the starting charge. Once the charge was depleted, the furnace was shut down, cooled, and set back up for the next run. A recharge system was developed which transforms standard CZ growth into a semi-continuous process. Now when the charge is depleted, the crucible can be refilled in situ as the grown ingot is being removed from the furnace. SSI has demonstrated up to 14 recharge cycles in a single run. The resulting benefits included: significant cost reduction, increased yield, increased throughput, reduced energy consumption, improved process capability, reduced material handling requirements, and reduced labor. The recharge system also enables the use of granular silicon, which requires less than 30% of the energy required when manufacturing silicon-starting materials. This significantly reduces the energy “pay-back” time associated with SSI's finished product, photovoltaic panels.

  2. Mining manufacturing data for discovery of high productivity process characteristics.

    Science.gov (United States)

    Charaniya, Salim; Le, Huong; Rangwala, Huzefa; Mills, Keri; Johnson, Kevin; Karypis, George; Hu, Wei-Shou

    2010-06-01

    Modern manufacturing facilities for bioproducts are highly automated with advanced process monitoring and data archiving systems. The time dynamics of hundreds of process parameters and outcome variables over a large number of production runs are archived in the data warehouse. This vast amount of data is a vital resource to comprehend the complex characteristics of bioprocesses and enhance production robustness. Cell culture process data from 108 'trains' comprising production as well as inoculum bioreactors from Genentech's manufacturing facility were investigated. Each run constitutes over one-hundred on-line and off-line temporal parameters. A kernel-based approach combined with a maximum margin-based support vector regression algorithm was used to integrate all the process parameters and develop predictive models for a key cell culture performance parameter. The model was also used to identify and rank process parameters according to their relevance in predicting process outcome. Evaluation of cell culture stage-specific models indicates that production performance can be reliably predicted days prior to harvest. Strong associations between several temporal parameters at various manufacturing stages and final process outcome were uncovered. This model-based data mining represents an important step forward in establishing a process data-driven knowledge discovery in bioprocesses. Implementation of this methodology on the manufacturing floor can facilitate a real-time decision making process and thereby improve the robustness of large scale bioprocesses. 2010 Elsevier B.V. All rights reserved.

  3. Silicon wafers for integrated circuit process

    OpenAIRE

    Leroy , B.

    1986-01-01

    Silicon as a substrate material will continue to dominate the market of integrated circuits for many years. We first review how crystal pulling procedures impact the quality of silicon. We then investigate how thermal treatments affect the behaviour of oxygen and carbon, and how, as a result, the quality of silicon wafers evolves. Gettering techniques are then presented. We conclude by detailing the requirements that wafers must satisfy at the incoming inspection.

  4. Computational Process Modeling for Additive Manufacturing (OSU)

    Science.gov (United States)

    Bagg, Stacey; Zhang, Wei

    2015-01-01

    Powder-Bed Additive Manufacturing (AM) through Direct Metal Laser Sintering (DMLS) or Selective Laser Melting (SLM) is being used by NASA and the Aerospace industry to "print" parts that traditionally are very complex, high cost, or long schedule lead items. The process spreads a thin layer of metal powder over a build platform, then melts the powder in a series of welds in a desired shape. The next layer of powder is applied, and the process is repeated until layer-by-layer, a very complex part can be built. This reduces cost and schedule by eliminating very complex tooling and processes traditionally used in aerospace component manufacturing. To use the process to print end-use items, NASA seeks to understand SLM material well enough to develop a method of qualifying parts for space flight operation. Traditionally, a new material process takes many years and high investment to generate statistical databases and experiential knowledge, but computational modeling can truncate the schedule and cost -many experiments can be run quickly in a model, which would take years and a high material cost to run empirically. This project seeks to optimize material build parameters with reduced time and cost through modeling.

  5. PURPOSE – PROCESS – PEOPLE A LEAN APPROACH TO BIOMEDICAL MANUFACTURING

    Directory of Open Access Journals (Sweden)

    A.D. Kahlen

    2012-01-01

    Full Text Available

    ENGLISH ABSTRACT: Opportunities to improve production processes and access to markets through the implementation of lean manufacturing in biomedical manufacturing are presented. The importance of a unified definition of manufacturing, to which biomedical manufacturing is party, is emphasized, and the theory of “lean”, summarized as “purpose, process, people”, is elaborated. The requirements for the creation of value through the creation of flow and the elimination of wastes are highlighted in the context of biomedical manufacturing. Finally, case studies are presented to illustrate the approaches to “purpose, process, people”.

    AFRIKAANSE OPSOMMING: Geleenthede vir die verbetering van produksieprosesse en marktoegang via die implementering van skraalvervaardiging in die biomediese vervaardigingsbedryf word voorgehou. Aandag word geskenk aan die betekenis van terme soos “skraal, doel, proses, menes” in die konteks van biomediese vervaardiging. Waardeskepping, vloei en vermorsing word onder die loep geneem. Gevallestudies word ter illustrasie van begrippe aangebied.

  6. Lean Manufacturing - A Powerfull Tool for Reducing Waste During the Processes

    Directory of Open Access Journals (Sweden)

    Mihai Apreutesei

    2010-01-01

    Full Text Available Lean manufacturing provides a new management approach for many small and medium size manufacturers, especially older firms organized and managed under traditional push systems. Improvement results can be dramatic in terms of quality, cycle times, and customer responsiveness. Lean manufacturing is more than a set of tools and techniques and has been widely adopted by many production companies. Lean manufacturing is a culture in which all employees continuously look for ways to improve processes. In the present article are presented the Lean Manufacturing tools, like kaizen, Kanban, poka-yoke witch a company can use to reduce the waste(muda during a production process. The paper contains also, the most common seven types of waste from production and some examples from our daily activity.

  7. Non-fossil reduction materials in the silicon process - properties and behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Myrhaug, Edin Henrik

    2003-07-01

    The purpose of this work has been to clarify the effect of using biocarbon as a reduction material in the silicon process. It was decided to compare the biocarbon with fossil carbon and find possible differences both on process performance and eventually on product quality. The elements in the raw materials added to the silicon process goes into three different products: silicon metal, silica dust and into open air. Based on analysis of raw materials and of produced silicon metal and microsilica extensive material balances have been established. One important result from these are the distribution factors that indicate how much of the trace elements that goes into each medium. Another result is that the boiling point of an element or a compound gives a good indication of were it ends. A high boiling point indicates that the element ends up in the silicon metal, while a low boiling point indicates that the element goes with off-gas into air. With an intermediate boiling point, the element goes into the silica dust. The SiO-reactivity of the reduction materials are commonly acknowledged to affect strongly the productivity and consumption figures of the silicon process. Based on data from thermogravimetric experiments with chemical reaction between carbonaceous spheres and SiO-gas, kinetic parameters have been estimated from the shrinking core model for some selected reduction materials of various sizes and spanning a wide range of SiO-reactivity figures. This model describes the degree of conversion versus time for a single sphere where the chemical reaction progresses in a topochemical manner from the outer surface of the solid towards the centre forming a porous product layer around an unreacted shrinking core. This behaviour is for the selected reduction materials to a large extent supported by an investigation of cross section pictures of fully and 50% converted spheres obtained with a microprobe. The estimated kinetic parameters obtained from the

  8. Destiny rides again: the reappearance of silicone gel-filled breast implant toxicity.

    Science.gov (United States)

    Brawer, A E

    2017-09-01

    Background Twenty-five years ago attorneys representing ailing women in class action litigation against silicone breast implant manufacturers made the procedural error of defining silicone-induced toxicity in the courtroom before it was properly studied in the exam room. This aberrant methodology perverted the proper research process, rendered verification of any real disease elusive, and cemented the groundwork for a repeat public health crisis potentially affecting two million women in the USA who possess new silicone gel devices inserted over the past 10 years. Patients and methods Six women, previously well, aged 27 to 53 (mean 42), were recipients of the new generations of cohesive silicone gel-filled breast implants approved for general use by the Food and Drug Administration (FDA) since December of 2006. They averaged seven years of total implantation time, and none experienced implant rupture. Results All six became ill on average 3.5 years from the time of implantation. By seven years the women manifested multiple types of skin rashes, polyarthritis, fatigue, protracted AM stiffness, myalgias, headaches, photosensitivity, hair loss, paresthesias, tinnitus, lymphadenopathy, chest pain, cognitive dysfunction, dry eyes, skin pigment changes, itching, muscle twitching, dizziness, nausea, easy bruising, and odor and smell sensitivity. Three of the four who were explanted noted improvement and/or resolution of at least 50% of their total disease manifestations. Conclusions These six women are representative of over 70,000 other breast implant recipients who, over the past three years, have had their new silicone devices permanently removed because of alleged gel-induced toxicity. The recurrence of this public health crisis has been fueled by manufacturers' research fraud, FDA ineptness, faulty informed consent, patient abandonment, proprietary manufacturing secrecy, misleading advertising, physician indifference, aberrant research methodology, and lax

  9. Manufacture of Third-Generation Lentivirus for Preclinical Use, with Process Development Considerations for Translation to Good Manufacturing Practice.

    Science.gov (United States)

    Gándara, Carolina; Affleck, Valerie; Stoll, Elizabeth Ann

    2018-02-01

    Lentiviral vectors are used in laboratories around the world for in vivo and ex vivo delivery of gene therapies, and increasingly clinical investigation as well as preclinical applications. The third-generation lentiviral vector system has many advantages, including high packaging capacity, stable gene expression in both dividing and post-mitotic cells, and low immunogenicity in the recipient organism. Yet, the manufacture of these vectors is challenging, especially at high titers required for direct use in vivo, and further challenges are presented by the process of translating preclinical gene therapies toward manufacture of products for clinical investigation. The goals of this paper are to report the protocol for manufacturing high-titer third-generation lentivirus for preclinical testing and to provide detailed information on considerations for translating preclinical viral vector manufacture toward scaled-up platforms and processes in order to make gene therapies under Good Manufacturing Practice that are suitable for clinical trials.

  10. Fault tree analysis of the manufacturing process of nuclear fuel containers

    International Nuclear Information System (INIS)

    Liao Weixian; Men Dechun; Sui Yuxue

    1998-08-01

    The nuclear fuel container consists of barrel body, bottom, cover, locking ring, rubber seal ring, and so on. It should be kept sealed in transportation and storage, so keeps the fuel contained from leakage. Its manufacturing process includes blanking, forming, seam welding, assembling, derusting and painting. The seam welding and assembling of barrel body and bottom are two key procedures, and the slope grinding, barrel body flaring and deep drawing of the bottom are important procedures. Faults in the manufacturing process of the nuclear fuel containers are investigated in details as for its quality requirements. A fault tree is established with products being unqualified as the top event. Five causes resulting in process faults are classified and analysed, and some measures are suggested for controlling different failures in manufacturing. More research work should be conducted in rules how to set up fault trees for manufacturing process

  11. High temperature mechanical performance of a hot isostatically pressed silicon nitride

    Energy Technology Data Exchange (ETDEWEB)

    Wereszczak, A.A.; Ferber, M.K.; Jenkins, M.G.; Lin, C.K.J. [and others

    1996-01-01

    Silicon nitride ceramics are an attractive material of choice for designers and manufacturers of advanced gas turbine engine components for many reasons. These materials typically have potentially high temperatures of usefulness (up to 1400{degrees}C), are chemically inert, have a relatively low specific gravity (important for inertial effects), and are good thermal conductors (i.e., resistant to thermal shock). In order for manufacturers to take advantage of these inherent properties of silicon nitride, the high-temperature mechanical performance of the material must first be characterized. The mechanical response of silicon nitride to static, dynamic, and cyclic conditions at elevated temperatures, along with reliable and representative data, is critical information that gas turbine engine designers and manufacturers require for the confident insertion of silicon nitride components into gas turbine engines. This final report describes the high-temperature mechanical characterization and analyses that were conducted on a candidate structural silicon nitride ceramic. The high-temperature strength, static fatigue (creep rupture), and dynamic and cyclic fatigue performance were characterized. The efforts put forth were part of Work Breakdown Structure Subelement 3.2.1, {open_quotes}Rotor Data Base Generation.{close_quotes} PY6 is comparable to other hot isostatically pressed (HIPed) silicon nitrides currently being considered for advanced gas turbine engine applications.

  12. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  13. Integrated double-sided silicon microstrip detectors

    Directory of Open Access Journals (Sweden)

    Perevertailo V. L.

    2011-11-01

    Full Text Available The problems of design, technology and manufacturing double-sided silicon microstrip detectors using standard equipment production line in mass production of silicon integrated circuits are considered. The design of prototype high-energy particles detector for experiment ALICE (CERN is presented. The parameters of fabricated detectors are comparable with those of similar foreign detectors, but they are distinguished by lesser cost.

  14. Silicon pore optics for the international x-ray observatory

    Science.gov (United States)

    Wille, E.; Wallace, K.; Bavdaz, M.; Collon, M. J.; Günther, R.; Ackermann, M.; Beijersbergen, M. W.; Riekerink, M. O.; Blom, M.; Lansdorp, B.; de Vreede, L.

    2017-11-01

    Lightweight X-ray Wolter optics with a high angular resolution will enable the next generation of X-ray telescopes in space. The International X-ray Observatory (IXO) requires a mirror assembly of 3 m2 effective area (at 1.5 keV) and an angular resolution of 5 arcsec. These specifications can only be achieved with a novel technology like Silicon Pore Optics, which is developed by ESA together with a consortium of European industry. Silicon Pore Optics are made of commercial Si wafers using process technology adapted from the semiconductor industry. We present the manufacturing process ranging from single mirror plates towards complete focusing mirror modules mounted in flight configuration. The performance of the mirror modules is tested using X-ray pencil beams or full X-ray illumination. In 2009, an angular resolution of 9 arcsec was achieved, demonstrating the improvement of the technology compared to 17 arcsec in 2007. Further development activities of Silicon Pore Optics concentrate on ruggedizing the mounting system and performing environmental tests, integrating baffles into the mirror modules and assessing the mass production.

  15. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  16. Evolution of Non-metallic Inclusions and Precipitates in Oriented Silicon Steel

    Science.gov (United States)

    Luo, Yan; Yang, Wen; Ren, Qiang; Hu, Zhiyuan; Li, Ming; Zhang, Lifeng

    2018-06-01

    The evolution of inclusions in oriented silicon steel during the manufacturing process was carried out by chemical composition analysis, non-aqueous electrolytic corrosion, and thermodynamic calculation. The morphology, composition, and size of inclusions were analyzed introducing field emission scanning electron microscope. The oxides were mainly formed during the secondary refining, and the nitrides, sulfides, and compounds were formed during the solidification and cooling of steel in the processes of continuous casting and hot rolling.

  17. Offshoring trends in the manufacturing process within the automotive industry

    DEFF Research Database (Denmark)

    Simplay, S.; Hansen, Zaza Nadja Lee

    2014-01-01

    consisting of original equipment manufacturers and engineering service providers. The findings indicated some offshoring trends in the automotive industry. Offshoring in this industry is moving from a manufacturing focus to incorporate large parts of the process, including high-level product development...... engineering activities. This development has created several challenges. These challenges arose as organisations are not considering how offshoring activities could be integrated with an increasingly global supply chain for the manufacturing of the final product. The paper contributes to manufacturing theory...

  18. INTEGRATION OF COST MODELS AND PROCESS SIMULATION TOOLS FOR OPTIMUM COMPOSITE MANUFACTURING PROCESS

    Energy Technology Data Exchange (ETDEWEB)

    Pack, Seongchan [General Motors; Wilson, Daniel [General Motors; Aitharaju, Venkat [General Motors; Kia, Hamid [General Motors; Yu, Hang [ESI, Group.; Doroudian, Mark [ESI Group

    2017-09-05

    Manufacturing cost of resin transfer molded composite parts is significantly influenced by the cycle time, which is strongly related to the time for both filling and curing of the resin in the mold. The time for filling can be optimized by various injection strategies, and by suitably reducing the length of the resin flow distance during the injection. The curing time can be reduced by the usage of faster curing resins, but it requires a high pressure injection equipment, which is capital intensive. Predictive manufacturing simulation tools that are being developed recently for composite materials are able to provide various scenarios of processing conditions virtually well in advance of manufacturing the parts. In the present study, we integrate the cost models with process simulation tools to study the influence of various parameters such as injection strategies, injection pressure, compression control to minimize high pressure injection, resin curing rate, and demold time on the manufacturing cost as affected by the annual part volume. A representative automotive component was selected for the study and the results are presented in this paper

  19. Intelligent Processing Equipment Developments Within the Navy's Manufacturing Technology Centers of Excellence

    Science.gov (United States)

    Nanzetta, Philip

    1992-01-01

    The U.S. Navy has had an active Manufacturing Technology (MANTECH) Program aimed at developing advanced production processes and equipment since the late-1960's. During the past decade, however, the resources of the MANTECH program were concentrated in Centers of Excellence. Today, the Navy sponsors four manufacturing technology Centers of Excellence: the Automated Manufacturing Research Facility (AMRF); the Electronics Manufacturing Productivity Facility (EMPF); the National Center for Excellence in Metalworking Technology (NCEMT); and the Center of Excellence for Composites Manufacturing Technology (CECMT). This paper briefly describes each of the centers and summarizes typical Intelligent Equipment Processing (IEP) projects that were undertaken.

  20. A simulation study on garment manufacturing process

    Science.gov (United States)

    Liong, Choong-Yeun; Rahim, Nur Azreen Abdul

    2015-02-01

    Garment industry is an important industry and continues to evolve in order to meet the consumers' high demands. Therefore, elements of innovation and improvement are important. In this work, research studies were conducted at a local company in order to model the sewing process of clothes manufacturing by using simulation modeling. Clothes manufacturing at the company involves 14 main processes, which are connecting the pattern, center sewing and side neating, pockets sewing, backside-sewing, attaching the front and back, sleeves preparation, attaching the sleeves and over lock, collar preparation, collar sewing, bottomedge sewing, buttonholing sewing, removing excess thread, marking button, and button cross sewing. Those fourteen processes are operated by six tailors only. The last four sets of processes are done by a single tailor. Data collection was conducted by on site observation and the probability distribution of processing time for each of the processes is determined by using @Risk's Bestfit. Then a simulation model is developed using Arena Software based on the data collected. Animated simulation model is developed in order to facilitate understanding and verifying that the model represents the actual system. With such model, what if analysis and different scenarios of operations can be experimented with virtually. The animation and improvement models will be presented in further work.

  1. Development of a novel cold forging process to manufacture eccentric shafts

    Science.gov (United States)

    Pasler, Lukas; Liewald, Mathias

    2018-05-01

    Since the commercial usage of compact combustion engines, eccentric shafts have been used to transform translational into rotational motion. Over the years, several processes to manufacture these eccentric shafts or crankshafts have been developed. Especially for single-cylinder engines manufactured in small quantities, built crankshafts disclose advantages regarding tooling costs and performance. Those manufacturing processes do have one thing in common: They are all executed at elevated temperatures to enable the material to be formed to high forming degree. In this paper, a newly developed cold forging process is presented, which combines lateral extrusion and shifting for manufacturing a crank in one forming operation at room temperature. In comparison to the established upsetting and shifting methods to manufacture such components, the tool cavity or crank web thickness remains constant. Therefore, the developed new process presented in this paper consists of a combination of shifting and extrusion of the billet, which allows pushing material into the forming zone during shifting. In order to reduce the tensile stresses induced by the shifting process, compressive stresses are superimposed. It is expected that the process limits will be expanded regarding the horizontal displacement and form filling. In the following report, the simulation and design of the tooling concept are presented. Experiments were conducted and compared with corresponding simulation results afterwards.

  2. Methodology for systematic analysis and improvement of manufacturing unit process life-cycle inventory (UPLCI)—CO2PE! initiative (cooperative effort on process emissions in manufacturing). Part 1: Methodology description

    DEFF Research Database (Denmark)

    Kellens, Karel; Dewulf, Wim; Overcash, Michael

    2012-01-01

    the provision of high-quality data for LCA studies of products using these unit process datasets for the manufacturing processes, as well as the in-depth analysis of individual manufacturing unit processes.In addition, the accruing availability of data for a range of similar machines (same process, different......This report proposes a life-cycle analysis (LCA)-oriented methodology for systematic inventory analysis of the use phase of manufacturing unit processes providing unit process datasets to be used in life-cycle inventory (LCI) databases and libraries. The methodology has been developed...... and resource efficiency improvements of the manufacturing unit process. To ensure optimal reproducibility and applicability, documentation guidelines for data and metadata are included in both approaches. Guidance on definition of functional unit and reference flow as well as on determination of system...

  3. Development of the computer-aided process planning (CAPP system for polymer injection molds manufacturing

    Directory of Open Access Journals (Sweden)

    J. Tepić

    2011-10-01

    Full Text Available Beginning of production and selling of polymer products largely depends on mold manufacturing. The costs of mold manufacturing have significant share in the final price of a product. The best way to improve and rationalize polymer injection molds production process is by doing mold design automation and manufacturing process planning automation. This paper reviews development of a dedicated process planning system for manufacturing of the mold for injection molding, which integrates computer-aided design (CAD, computer-aided process planning (CAPP and computer-aided manufacturing (CAM technologies.

  4. Manufacturing of ceramic microcomponents by a rapid prototyping process chain

    International Nuclear Information System (INIS)

    Knitter, R.; Bauer, W.; Goehring, D.; Hausselt, J.

    2001-01-01

    Manufacturing of new ceramic components may be improved significantly by the use of rapid prototyping processes especially in the development of miniaturized or micropatterned components. Most known generative ceramic molding processes do not provide a sufficient resolution for the fabrication of microstructured components. In contrast to this, a rapid prototyping process chain that for example, combines micro-stereolithography and low-pressure injection molding, allows the rapid manufacturing of ceramic microcomponents from functional models to preliminary or small-lot series. (orig.)

  5. Review of manufacturing processes for fabrication of SOFC components

    International Nuclear Information System (INIS)

    Stacey, B.; Badwal, S.P.S.; Foger, K.

    1998-01-01

    In order for fuel cell technology to be commercial, it must meet stringent criteria of reliability, life-time expectations and cost. While materials play an important role in determining these parameters, engineering design and manufacturing processes for fuel cell stack components are equally important. Manufacturing processes must be low cost and suitable for large volume production for the technology to be viable and competitive in the market place. Several processes suitable for the production of ceramic components used in solid oxide fuel cells as well as ceramic coating techniques required for the protection of some metal components have been described. Copyright (1998) Australasian Ceramic Society

  6. Comparison of Composites Properties Manufactured by Vacuum Process and Autoclave Process

    Directory of Open Access Journals (Sweden)

    MA Rufei

    2017-01-01

    Full Text Available Two kinds of prepregs ZT7G/LT-03A(unidirectional carbon fiber prepreg and ZT7G3198P/LT-03A(plain carbon fabric prepreg were used to manufacture three Bateches of composites by vacuum process and autoclave process respectively. The physical properties of the prepregs and mechanical properties of composite were tested. The performance, fiber volume content and porosity of composites manufactured by vacuum cure and autoclave process show that the physical property retention rates of vacuum cured composites are all over 75%, some even more than 100%. Interlaminar shear strength keeps the lowest retention rate and warp tensile strength keeps the highest retention in unidirectional carbon fiber composites. For fabric composite material, compression strength keeps the lowest and warp tensile strength keeps the highest retention. Vacuum cured composites perform lower fiber volume content and higher porosity, which are the main reasons of the lower performance.

  7. Advances in silicon nanophotonics

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher; Pu, Minhao

    Silicon has long been established as an ideal material for passive integrated optical circuitry due to its high refractive index, with corresponding strong optical confinement ability, and its low-cost CMOS-compatible manufacturability. However, the inversion symmetry of the silicon crystal lattice.......g. in high-bit-rate optical communication circuits and networks, it is vital that the nonlinear optical effects of silicon are being strongly enhanced. This can among others be achieved in photonic-crystal slow-light waveguides and in nano-engineered photonic-wires (Fig. 1). In this talk I shall present some...... recent advances in this direction. The efficient coupling of light between optical fibers and the planar silicon devices and circuits is of crucial importance. Both end-coupling (Fig. 1) and grating-coupling solutions will be discussed along with polarization issues. A new scheme for a hybrid III...

  8. 16 CFR 300.25 - Country where wool products are processed or manufactured.

    Science.gov (United States)

    2010-01-01

    ... an origin label on the unfinished product, the manufacturing processes as required in paragraph (a)(4... processed or manufactured. Further work or material added to the wool product in another country must effect...

  9. Metallurgy of high-silicon steel parts produced using Selective Laser Melting

    International Nuclear Information System (INIS)

    Garibaldi, Michele; Ashcroft, Ian; Simonelli, Marco; Hague, Richard

    2016-01-01

    The metallurgy of high-silicon steel (6.9%wt.Si) processed using Selective Laser Melting (SLM) is presented for the first time in this study. High-silicon steel has great potential as a soft magnetic alloy, but its employment has been limited due to its poor workability. The effect of SLM-processing on the metallurgy of the alloy is investigated in this work using microscopy, X-Ray Diffraction (XRD) and Electron Backscatter Diffraction (EBSD). XRD analysis suggests that the SLM high-silicon steel is a single ferritic phase (solid solution), with no sign of phase ordering. This is expected to have beneficial effects on the material properties, since ordering has been shown to make silicon steels more brittle and electrically conductive. For near-fully dense samples, columnar grains with a high aspect ratio and oriented along the build direction are found. Most importantly, a <001> fibre-texture along the build direction can be changed into a cube-texture when the qualitative shape of the melt-pool is altered (from shallow to deep) by increasing the energy input of the scanning laser. This feature could potentially open the path to the manufacture of three-dimensional grain-oriented high-silicon steels for electromechanical applications.

  10. 3D printing for health & wealth: Fabrication of custom-made medical devices through additive manufacturing

    Science.gov (United States)

    Colpani, Alessandro; Fiorentino, Antonio; Ceretti, Elisabetta

    2018-05-01

    Additive Manufacturing (AM) differs from traditional manufacturing technologies by its ability to handle complex shapes with great design flexibility. These features make the technique suitable to fabricate customized components, particularly answering specific custom needs. Although AM mainly referred to prototyping, nowadays the interest in direct manufacturing of actual parts is growing. This article shows the application of AM within the project 3DP-4H&W (3D Printing for Health & Wealth) which involves engineers and physicians for developing pediatric custom-made medical devices to enhance the fulfilling of the patients specific needs. In the project, two types of devices made of a two-component biocompatible silicone are considered. The first application (dental field) consists in a device for cleft lip and palate. The second one (audiological field) consists in an acoustic prosthesis. The geometries of the devices are based on the anatomy of the patient that is obtained through a 3D body scan process. For both devices, two different approaches were planned, namely direct AM and indirect Rapid Tooling (RT). In particular, direct AM consists in the FDM processing of silicone, while RT consists in molds FDM fabrication followed by silicone casting. This paper presents the results of the RT method that is articulated in different phases: the acquisition of the geometry to be realized, the design of the molds taking into account the casting feasibility (as casting channel, vents, part extraction), the realization of molds produced through AM, molds surface chemical finishing, pouring and curing of the silicone. The fabricated devices were evaluated by the physicians team that confirmed the effectiveness of the proposed procedure in fabricating the desired devices. Moreover, the procedure can be used as a general method to extend the range of applications to any custom-made device for anatomic districts, especially where complex shapes are present (as tracheal or

  11. Enhancing Manufacturing Process Education via Computer Simulation and Visualization

    Science.gov (United States)

    Manohar, Priyadarshan A.; Acharya, Sushil; Wu, Peter

    2014-01-01

    Industrially significant metal manufacturing processes such as melting, casting, rolling, forging, machining, and forming are multi-stage, complex processes that are labor, time, and capital intensive. Academic research develops mathematical modeling of these processes that provide a theoretical framework for understanding the process variables…

  12. Global Production Planning Process considering the Supply Risk of Overseas Manufacturing Sites

    Directory of Open Access Journals (Sweden)

    Hosang Jung

    2015-01-01

    Full Text Available Although global manufacturers can produce most of their final products in local plants, they need to source components or parts from desirable overseas manufacturing partners at low cost in order to fulfill customer orders. In this global manufacturing environment, capacity information for planning is usually imprecise owing to the various risks of overseas plants (e.g., foreign governments’ policies and labor stability. It is therefore not easy for decision-makers to generate a global production plan showing the production amounts at local plants and overseas manufacturing facilities operated by manufacturing partners. In this paper, we present a new global production planning process considering the supply risk of overseas manufacturing sites. First, local experts estimate the supply capacity of an overseas plant using their judgment to determine when the risk could occur and how large the risk impact would be. Next, we run a global production planning model with the estimated supply capacities. The proposed process systematically adopts the qualitative judgments of local experts in the global production planning process and thus can provide companies with a realistic global production plan. We demonstrate the applicability of the proposed process with a real world case.

  13. Molecular Surveillance of Viral Processes Using Silicon Nitride Membranes

    Directory of Open Access Journals (Sweden)

    Deborah F. Kelly

    2013-03-01

    Full Text Available Here we present new applications for silicon nitride (SiN membranes to evaluate biological processes. We determined that 50-nanometer thin films of SiN produced from silicon wafers were sufficiently durable to bind active rotavirus assemblies. A direct comparison of SiN microchips with conventional carbon support films indicated that SiN performs equivalent to the traditional substrate to prepare samples for Electron Microscopy (EM imaging. Likewise, SiN films coated with Ni-NTA affinity layers concentrated rotavirus particles similarly to affinity-coated carbon films. However, affinity-coated SiN membranes outperformed glow-discharged conventional carbon films 5-fold as indicated by the number of viral particles quantified in EM images. In addition, we were able to recapitulate viral uncoating and transcription mechanisms directed onto the microchip surfaces. EM images of these processes revealed the production of RNA transcripts emerging from active rotavirus complexes. These results were confirmed by the functional incorporation of radiolabeled nucleotides into the nascent RNA transcripts. Collectively, we demonstrate new uses for SiN membranes to perform molecular surveillance on life processes in real-time.

  14. The new silicon strip detectors for the CMS tracker upgrade

    International Nuclear Information System (INIS)

    Dragicevic, M.

    2010-01-01

    The first introductory part of the thesis describes the concept of the CMS experiment. The tasks of the various detector systems and their technical implementations in CMS are explained. To facilitate the understanding of the basic principles of silicon strip sensors, the subsequent chapter discusses the fundamentals in semiconductor technology, with particular emphasis on silicon. The necessary process steps to manufacture strip sensors in a so-called planar process are described in detail. Furthermore, the effects of irradiation on silicon strip sensors are discussed. To conclude the introductory part of the thesis, the design of the silicon strip sensors of the CMS Tracker are described in detail. The choice of the substrate material and the complex geometry of the sensors are reviewed and the quality assurance procedures for the production of the sensors are presented. Furthermore the design of the detector modules are described. The main part of this thesis starts with a discussion on the demands on the tracker caused by the increase in luminosity which is proposed as an upgrade to the LHC accelerator (sLHC). This chapter motivates the work I have conducted and clarifies why the solutions proposed by myself are important contributions to the upgrade of the CMS tracker. The following chapters present the concepts that are necessary to operate the silicon strip sensors at sLHC luminosities and additional improvements to the construction and quality assurance of the sensors and the detector modules. The most important concepts and works presented in chapters 7 to 9 are: Development of a software framework to enable the flexible and quick design of test structures and sensors. Selecting a suitable sensor material which is sufficiently radiation hard. Design, implementation and production of a standard set of test structures to enable the quality assurance of such sensors and any future developments. Electrical characterisation of the test structures and analysis

  15. Recommendations for composite manufacturing pultrusion process and equipment

    Science.gov (United States)

    Steiner, R. L.; Cole, J. D.; Strong, A. B.; Todd, R. H.

    1992-10-01

    Pultrusion is an important composite manufacturing process that holds great potential for reducing the cost of composite parts. However, pultrusion machine manufacturers and those using this continuous process have generally worked in relative isolation from each other and have, therefore, repeated many of the same errors. This paper reports the findings of a research program involving input from 15 pultruder manufacturers who have contributed non-proprietary information for the "best" design for the pultrusion machine. Key areas of design difficulty have been identified and some suggested remedies given. The results of this program will be used to construct a "state-of-the-art" pultrusion machine in the authors' laboratory. The initial findings provided input for a Quality Function Deployment (QFD) study which is basis for the functional specification for the pultrusion machine. By using QFD, capabilities of existing machines were determined and design requirements for an improved state-of-the-art machine were established. The QFD exercise provided an in-depth look at the relationship between desired machine capabilities and machine design requirements.

  16. PLA and single component silicone rubber blends for sub-zero temperature blown film packaging applications

    Science.gov (United States)

    Meekum, Utai; Khiansanoi, Apichart

    2018-06-01

    The poly(lactic acid) (PLA) blend with single component silicone rubber in the presence of reactive amino silane coupling agent and polyester polyols plasticizer were studied. The manufacturing of film packaging for sub-zero temperature applications from the PLA blend was the main objective. The mechanical properties, especially the impact strengths, of PLA/silicone blends were significantly depended on the silicone loading. The outstanding impact strengths, tested at sub-zero temperature, of the blend having silicone content of 8.0 phr was achieved. It was chosen as the best candidate for the processability improvement. Adding the talc filler into the PLA/silicone blend to enhance the rheological properties was investigated. The ductility of the talc filled blends were decreased with increasing the filler contents. However, the shear viscosity of the blend was raised with talc loading. The blend loaded with 40 phr of talc filler was justified as the optimal formula for the blown film process testing and it was successfully performed with a few difficulties. The obtained blown film showed relative good flexibility in comparison with LDPE but it has low transparency.

  17. Manufacture of functional surfaces through combined application of tool manufacturing processes and Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Eriksen, Rasmus Solmer; Arentoft, Mogens; Grønbæk, J.

    2012-01-01

    The tool surface topography is often a key parameter in the tribological performance of modern metal forming tools. A new generation of multifunctional surfaces is achieved by combination of conventional tool manufacturing processes with a novel Robot Assisted Polishing process. This novel surface...

  18. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    Science.gov (United States)

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  19. Development of a fabrication technology for double-sided AC-coupled silicon microstrip detectors

    International Nuclear Information System (INIS)

    Dalla Betta, G.-F.; Boscardin, M.; Bosisio, L.; Rachevskaia, I.; Zen, M.; Zorzi, N.

    2001-01-01

    We report on the development of a fabrication technology for double-sided, AC-coupled silicon microstrip detectors for tracking applications. Two batches of detectors with good electrical figures and a low defect rate were successfully manufactured at IRST Laboratory. The processing techniques and the experimental results obtained from these detector prototypes are presented and discussed

  20. Development of manufacturing process for production of 500 MWe calandria sheets

    International Nuclear Information System (INIS)

    Hariharan, R.; Ramesh, P.; Lakshminarayana, B.; Bhaskara Rao, C.V.; Pande, P.; Agarwala, G.C.

    1992-01-01

    Calandria tubes made of zircaloy-2 are being used as structural components in pressurised heavy water power reactors. The sheets required for producing calandria tube for 235 MWe reactors are being manufactured at Zircaloy Fabrication Plant (ZFP), NFC utilizing a 2 Hi/4 Hi rolling mill procured for the purpose, by carrying out cold rolling process to achieve the required size after hot rolling suitable extruded slabs. Due to limitation of width of the sheet that can be rolled with the mill as well as the size of the slab that can be extruded with the existing press, difficulties arose in producing acceptable full length sheets of size 6600 mm long x 435 mm wide x 1.6 mm thick for manufacturing 500 MWe calandria tube. This paper deals with the details of the process problem resolved. They are: (a)designing of suitable hot and cold rolling pass schedules, (b)selection and standardization of process parameters such as beta quenching, hot rolling and cold rolling, and (c)details of the overall manufacturing process. Due to implementation of above, sheets required for manufacturing 500 MWe calandria tube sheets were successfully rolled. About 40 nos. of acceptable full length sheets have already been manufactured. (author). 1 fig., 3 tabs

  1. Periodically poled silicon

    Science.gov (United States)

    Hon, Nick K.; Tsia, Kevin K.; Solli, Daniel R.; Khurgin, Jacob B.; Jalali, Bahram

    2010-02-01

    Bulk centrosymmetric silicon lacks second-order optical nonlinearity χ(2) - a foundational component of nonlinear optics. Here, we propose a new class of photonic device which enables χ(2) as well as quasi-phase matching based on periodic stress fields in silicon - periodically-poled silicon (PePSi). This concept adds the periodic poling capability to silicon photonics, and allows the excellent crystal quality and advanced manufacturing capabilities of silicon to be harnessed for devices based on χ(2)) effects. The concept can also be simply achieved by having periodic arrangement of stressed thin films along a silicon waveguide. As an example of the utility, we present simulations showing that mid-wave infrared radiation can be efficiently generated through difference frequency generation from near-infrared with a conversion efficiency of 50% based on χ(2) values measurements for strained silicon reported in the literature [Jacobson et al. Nature 441, 199 (2006)]. The use of PePSi for frequency conversion can also be extended to terahertz generation. With integrated piezoelectric material, dynamically control of χ(2)nonlinearity in PePSi waveguide may also be achieved. The successful realization of PePSi based devices depends on the strength of the stress induced χ(2) in silicon. Presently, there exists a significant discrepancy in the literature between the theoretical and experimentally measured values. We present a simple theoretical model that produces result consistent with prior theoretical works and use this model to identify possible reasons for this discrepancy.

  2. Tin (Sn) - An Unlikely Ally to Extend Moore's Law for Silicon CMOS?

    KAUST Repository

    Hussain, Aftab M.

    2012-12-01

    There has been an exponential increase in the performance of silicon based semiconductor devices in the past few decades. This improvement has mainly been due to dimensional scaling of the MOSFET. However, physical constraints limit the continued growth in device performance. To overcome this problem, novel channel materials are being developed to enhance carrier mobility and hence increase device performance. This work explores a novel semiconducting alloy - Silicon-tin (SiSn) as a channel material for CMOS applications. For the first time ever, MOS devices using SiSn as channel material have been demonstrated. A low cost, scalable and manufacturable process for obtaining SiSn by diffusion of Sn into silicon has also been explored. The channel material thus obtained is electrically characterized by fabricating MOSCAPs and Mesa-shaped MOSFETs. The SiSn devices have been compared to similar devices fabricated using silicon as channel material.

  3. Characterization of the silicon/hydrofluoric acid interface: electrochemical processes under weak potential disturbance

    International Nuclear Information System (INIS)

    Bertagna, Valerie

    1996-01-01

    Within the frame of the increase of the density of integrated circuits, of simplification of cleaning processes and of improvement of control of surface reactions (for a better control of the elimination of defects and contamination risks), this research thesis first gives a large overview of previous works in the fields of silicon electrochemistry in hydrofluoric environment, of silicon chemical condition after treatment by a diluted hydrofluoric acid, of metallic contamination of silicon during cleaning with a diluted hydrofluoric acid, and of theoretical models of interpretation. Then, the author reports the development of a new electrochemical cell, and the detailed study of mono-crystalline silicon in a diluted hydrofluoric environment (electrochemical investigation, modelling of charge transfer at the interface, studies by atomic force microscopy, contamination of silicon by copper)

  4. Uruguay project - Metalic silicon manufacturing. Palmar location study

    International Nuclear Information System (INIS)

    2003-01-01

    This work is about the Soriano town possibilities offered to Rima Industrial S.A in relation with the metallic silicon project in Uruguay. In this zone there is the Palmar hydroelectric plant with a capacity of 33 MW and its development is part of the Rio Negro river

  5. Process Research on Polycrystalline Silicon Material (PROPSM)

    Science.gov (United States)

    Culik, J. S.; Wrigley, C. Y.

    1985-01-01

    Results of hydrogen-passivated polycrysalline silicon solar cell research are summarized. The short-circuit current of solar cells fabricated from large-grain cast polycrystalline silicon is nearly equivalent to that of single-crystal cells, which indicates long bulk minority-carrier diffusion length. Treatments with molecular hydrogen showed no effect on large-grain cast polycrystalline silicon solar cells.

  6. United States Department of Energy Integrated Manufacturing & Processing Predoctoral Fellowships. Final Report

    Energy Technology Data Exchange (ETDEWEB)

    Petrochenkov, M.

    2003-03-31

    The objective of the program was threefold: to create a pool of PhDs trained in the integrated approach to manufacturing and processing, to promote academic interest in the field, and to attract talented professionals to this challenging area of engineering. It was anticipated that the program would result in the creation of new manufacturing methods that would contribute to improved energy efficiency, to better utilization of scarce resources, and to less degradation of the environment. Emphasis in the competition was on integrated systems of manufacturing and the integration of product design with manufacturing processes. Research addressed such related areas as aspects of unit operations, tooling and equipment, intelligent sensors, and manufacturing systems as they related to product design.

  7. Study on Manufacturing Process of Hollow Main Shaft by Open Die Forging

    International Nuclear Information System (INIS)

    Kwon, Yong Chul; Kang, Jong Hun; Kim, Sang Sik

    2016-01-01

    The main shaft is one of the key components connecting the rotor hub and gear box of a wind power generator. Typically, main shafts are manufactured by open die forging method. However, the main shaft for large MW class wind generators is designed to be hollow in order to reduce the weight. Additionally, the main shafts are manufactured by a casting process. This study aims to develop a manufacturing process for hollow main shafts by the open die forging method. The design of a forging process for a solid main shaft and hollow shaft was prepared by an open die forging process design scheme. Finite element analyses were performed to obtain the flow stress by a hot compression test at different temperature and strain rates. The control parameters of each forging process, such as temperature and effective strain, were obtained and compared to predict the suitability of the hollow main shaft forging process. Finally, high productivity reflecting material utilization ratio, internal quality, shape, and dimension was verified by the prototypes manufactured by the proposed forging process for hollow main shafts

  8. Study on Manufacturing Process of Hollow Main Shaft by Open Die Forging

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Yong Chul [Gyeongnam Technopark, Changwon (Korea, Republic of); Kang, Jong Hun [Jungwon Univ., Goisan (Korea, Republic of); Kim, Sang Sik [Gyeongsang Natiional Univ., Jinju (Korea, Republic of)

    2016-02-15

    The main shaft is one of the key components connecting the rotor hub and gear box of a wind power generator. Typically, main shafts are manufactured by open die forging method. However, the main shaft for large MW class wind generators is designed to be hollow in order to reduce the weight. Additionally, the main shafts are manufactured by a casting process. This study aims to develop a manufacturing process for hollow main shafts by the open die forging method. The design of a forging process for a solid main shaft and hollow shaft was prepared by an open die forging process design scheme. Finite element analyses were performed to obtain the flow stress by a hot compression test at different temperature and strain rates. The control parameters of each forging process, such as temperature and effective strain, were obtained and compared to predict the suitability of the hollow main shaft forging process. Finally, high productivity reflecting material utilization ratio, internal quality, shape, and dimension was verified by the prototypes manufactured by the proposed forging process for hollow main shafts.

  9. In-Process Monitoring of Additive Manufacturing, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The key innovation in this project is the implementation of an Imaging Fourier Transform Spectrometer (IFTS) for in situ metal additive manufacturing process...

  10. Piezoresistive silicon nanowire resonators as embedded building blocks in thick SOI

    Science.gov (United States)

    Nasr Esfahani, Mohammad; Kilinc, Yasin; Çagatay Karakan, M.; Orhan, Ezgi; Hanay, M. Selim; Leblebici, Yusuf; Erdem Alaca, B.

    2018-04-01

    The use of silicon nanowire resonators in nanoelectromechanical systems for new-generation sensing and communication devices faces integration challenges with higher-order structures. Monolithic and deterministic integration of such nanowires with the surrounding microscale architecture within the same thick crystal is a critical aspect for the improvement of throughput, reliability and device functionality. A monolithic and IC-compatible technology based on a tuned combination of etching and protection processes was recently introduced yielding silicon nanowires within a 10 μ m-thick device layer. Motivated by its success, the implications of the technology regarding the electromechanical resonance are studied within a particular setting, where the resonator is co-fabricated with all terminals and tuning electrodes. Frequency response is measured via piezoresistive readout with frequency down-mixing. Measurements indicate mechanical resonance with frequencies as high as 100 MHz exhibiting a Lorentzian behavior with proper transition to nonlinearity, while Allan deviation on the order of 3-8 ppm is achieved. Enabling the fabrication of silicon nanowires in thick silicon crystals using conventional semiconductor manufacturing, the present study thus demonstrates an alternative pathway to bottom-up and thin silicon-on-insulator approaches for silicon nanowire resonators.

  11. Effect of silicon solar cell processing parameters and crystallinity on mechanical strength

    Energy Technology Data Exchange (ETDEWEB)

    Popovich, V.A.; Yunus, A.; Janssen, M.; Richardson, I.M. [Delft University of Technology, Department of Materials Science and Engineering, Delft (Netherlands); Bennett, I.J. [Energy Research Centre of the Netherlands, Solar Energy, PV Module Technology, Petten (Netherlands)

    2011-01-15

    Silicon wafer thickness reduction without increasing the wafer strength leads to a high breakage rate during subsequent handling and processing steps. Cracking of solar cells has become one of the major sources of solar module failure and rejection. Hence, it is important to evaluate the mechanical strength of solar cells and influencing factors. The purpose of this work is to understand the fracture behavior of silicon solar cells and to provide information regarding the bending strength of the cells. Triple junctions, grain size and grain boundaries are considered to investigate the effect of crystallinity features on silicon wafer strength. Significant changes in fracture strength are found as a result of metallization morphology and crystallinity of silicon solar cells. It is observed that aluminum paste type influences the strength of the solar cells. (author)

  12. Raman spectroscopy as a process analytical technology for pharmaceutical manufacturing and bioprocessing.

    Science.gov (United States)

    Esmonde-White, Karen A; Cuellar, Maryann; Uerpmann, Carsten; Lenain, Bruno; Lewis, Ian R

    2017-01-01

    Adoption of Quality by Design (QbD) principles, regulatory support of QbD, process analytical technology (PAT), and continuous manufacturing are major factors effecting new approaches to pharmaceutical manufacturing and bioprocessing. In this review, we highlight new technology developments, data analysis models, and applications of Raman spectroscopy, which have expanded the scope of Raman spectroscopy as a process analytical technology. Emerging technologies such as transmission and enhanced reflection Raman, and new approaches to using available technologies, expand the scope of Raman spectroscopy in pharmaceutical manufacturing, and now Raman spectroscopy is successfully integrated into real-time release testing, continuous manufacturing, and statistical process control. Since the last major review of Raman as a pharmaceutical PAT in 2010, many new Raman applications in bioprocessing have emerged. Exciting reports of in situ Raman spectroscopy in bioprocesses complement a growing scientific field of biological and biomedical Raman spectroscopy. Raman spectroscopy has made a positive impact as a process analytical and control tool for pharmaceutical manufacturing and bioprocessing, with demonstrated scientific and financial benefits throughout a product's lifecycle.

  13. Experimental Demonstration of Phase Sensitive Parametric Processes in a Nano-Engineered Silicon Waveguide

    DEFF Research Database (Denmark)

    Kang, Ning; Fadil, Ahmed; Pu, Minhao

    2013-01-01

    We demonstrate experimentally phase-sensitive processes in nano-engineered silicon waveguides for the first time. Furthermore, we highlight paths towards the optimization of the phase-sensitive extinction ratio under the impact of two-photon and free-carrier absorption.......We demonstrate experimentally phase-sensitive processes in nano-engineered silicon waveguides for the first time. Furthermore, we highlight paths towards the optimization of the phase-sensitive extinction ratio under the impact of two-photon and free-carrier absorption....

  14. Sustainable manufacturing: Effect of material selection and design on the environmental impact in the manufacturing process

    International Nuclear Information System (INIS)

    Harun, Mohd Hazwan Syafiq; Salaam, Hadi Abdul; Taha, Zahari

    2013-01-01

    The environmental impact of a manufacturing process is also dependent on the selection of the material and design of a product. This is because the manufacturing of a product is directly connected to the amount of carbon emitted in consuming the electrical energy for that manufacturing process. The difference in the general properties of materials such as strength, hardness and impact will have significant effect on the power consumption of the machine used to complete the product. In addition the environmental impact can also be reduced if the proposed designs use less material. In this study, an LCA tool called Eco-It is used. Evaluate the environmental impact caused by manufacturing simple jig. A simple jig with 4 parts was used as a case study. Two experiments were carried out. The first experiment was to study the environmental effects of different material, and the second experiment was to study the environmental impact of different design. The materials used for the jig are Aluminium and mild steel. The results showed a decrease in the rate of carbon emissions by 60% when Aluminium is use instead from mild steel, and a decrease of 26% when the-design is modified

  15. Manufacturing Squares: An Integrative Statistical Process Control Exercise

    Science.gov (United States)

    Coy, Steven P.

    2016-01-01

    In the exercise, students in a junior-level operations management class are asked to manufacture a simple product. Given product specifications, they must design a production process, create roles and design jobs for each team member, and develop a statistical process control plan that efficiently and effectively controls quality during…

  16. Additive advantage in characteristics of MIMCAPs on flexible silicon (100) fabric with release-first process

    KAUST Repository

    Ghoneim, Mohamed T.

    2013-11-20

    We report the inherent increase in capacitance per unit planar area of state-of-the art high-κ integrated metal/insulator/metal capacitors (MIMCAPs) fabricated on flexible silicon fabric with release-first process. We methodically study and show that our approach to transform bulk silicon (100) into a flexible fabric adds an inherent advantage of enabling higher integration density dynamic random access memory (DRAM) on the same chip area. Our approach is to release an ultra-thin silicon (100) fabric (25 μm thick) from the bulk silicon wafer, then build MIMCAPs using sputtered aluminium electrodes and successive atomic layer depositions (ALD) without break-ing the vacuum of a high-κ aluminium oxide sandwiched between two tantalum nitride layers. This result shows that we can obtain flexible electronics on silicon without sacrificing the high density integration aspects and also utilize the non-planar geometry associated with fabrication process to obtain a higher integration density compared to bulk silicon integration due to an increased normalized capacitance per unit planar area. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Design and Optimization of Sheet Hydroforming Process for Manufacturing Oil tank

    International Nuclear Information System (INIS)

    Prakash, C.; Narasimhan, K.

    2005-01-01

    The need for reduction of weight is an important issue in sheet metal forming industry. The hydroforming process has become an effective manufacturing process, as it can be adapted for the manufacturing of complex structural components with high structural stiffness. The process parameters and material properties are important factors that influence the quality of final product. In this paper, an optimized window of process parameters is obtained for successful sheet hydroforming of Oil tank. The simulation of hydroforming process is performed by using a Finite Element Method based Commercial code

  18. Quality and Safety Assurance of Iron Casts and Manufacturing Processes

    Directory of Open Access Journals (Sweden)

    Kukla S.

    2016-06-01

    Full Text Available The scope of this work focuses on the aspects of quality and safety assurance of the iron cast manufacturing processes. Special attention was given to the processes of quality control and after-machining of iron casts manufactured on automatic foundry lines. Due to low level of automation and huge work intensity at this stage of the process, a model area was established which underwent reorganization in accordance with the assumptions of the World Class Manufacturing (WCM. An analysis of work intensity was carried out and the costs were divided in order to identify operations with no value added, particularly at individual manufacturing departments. Also an analysis of ergonomics at work stations was carried out to eliminate activities that are uncomfortable and dangerous to the workers' health. Several solutions were proposed in terms of rationalization of work organization at iron cast after-machining work stations. The proposed solutions were assessed with the use of multi-criteria assessment tools and then the best variant was selected based on the assumed optimization criteria. The summary of the obtained results reflects benefits from implementation of the proposed solutions.

  19. Ultrasonic-assisted manufacturing processes: Variational model and numerical simulations

    KAUST Repository

    Siddiq, Amir

    2012-04-01

    We present a computational study of ultrasonic assisted manufacturing processes including sheet metal forming, upsetting, and wire drawing. A fully variational porous plasticity model is modified to include ultrasonic softening effects and then utilized to account for instantaneous softening when ultrasonic energy is applied during deformation. Material model parameters are identified via inverse modeling, i.e. by using experimental data. The versatility and predictive ability of the model are demonstrated and the effect of ultrasonic intensity on the manufacturing process at hand is investigated and compared qualitatively with experimental results reported in the literature. © 2011 Elsevier B.V. All rights reserved.

  20. Final Air Toxics Standards for Clay Ceramics Manufacturing, Glass Manufacturing, and Secondary Nonferrous Metals Processing Area Sources Fact Sheet

    Science.gov (United States)

    This page contains a December 2007 fact sheet with information regarding the National Emissions Standards for Hazardous Air Pollutants (NESHAP) for Clay Ceramics Manufacturing, Glass Manufacturing, and Secondary Nonferrous Metals Processing Area Sources

  1. An Open Source-Based Real-Time Data Processing Architecture Framework for Manufacturing Sustainability

    Directory of Open Access Journals (Sweden)

    Muhammad Syafrudin

    2017-11-01

    Full Text Available Currently, the manufacturing industry is experiencing a data-driven revolution. There are multiple processes in the manufacturing industry and will eventually generate a large amount of data. Collecting, analyzing and storing a large amount of data are one of key elements of the smart manufacturing industry. To ensure that all processes within the manufacturing industry are functioning smoothly, the big data processing is needed. Thus, in this study an open source-based real-time data processing (OSRDP architecture framework was proposed. OSRDP architecture framework consists of several open sources technologies, including Apache Kafka, Apache Storm and NoSQL MongoDB that are effective and cost efficient for real-time data processing. Several experiments and impact analysis for manufacturing sustainability are provided. The results showed that the proposed system is capable of processing a massive sensor data efficiently when the number of sensors data and devices increases. In addition, the data mining based on Random Forest is presented to predict the quality of products given the sensor data as the input. The Random Forest successfully classifies the defect and non-defect products, and generates high accuracy compared to other data mining algorithms. This study is expected to support the management in their decision-making for product quality inspection and support manufacturing sustainability.

  2. Cold Spraying of Armstrong Process Titanium Powder for Additive Manufacturing

    Science.gov (United States)

    MacDonald, D.; Fernández, R.; Delloro, F.; Jodoin, B.

    2017-04-01

    Titanium parts are ideally suited for aerospace applications due to their unique combination of high specific strength and excellent corrosion resistance. However, titanium as bulk material is expensive and challenging/costly to machine. Production of complex titanium parts through additive manufacturing looks promising, but there are still many barriers to overcome before reaching mainstream commercialization. The cold gas dynamic spraying process offers the potential for additive manufacturing of large titanium parts due to its reduced reactive environment, its simplicity to operate, and the high deposition rates it offers. A few challenges are to be addressed before the additive manufacturing potential of titanium by cold gas dynamic spraying can be reached. In particular, it is known that titanium is easy to deposit by cold gas dynamic spraying, but the deposits produced are usually porous when nitrogen is used as the carrier gas. In this work, a method to manufacture low-porosity titanium components at high deposition efficiencies is revealed. The components are produced by combining low-pressure cold spray using nitrogen as the carrier gas with low-cost titanium powder produced using the Armstrong process. The microstructure and mechanical properties of additive manufactured titanium components are investigated.

  3. A DMAIC approach for process capability improvement an engine crankshaft manufacturing process

    Science.gov (United States)

    Sharma, G. V. S. S.; Rao, P. Srinivasa

    2014-05-01

    The define-measure-analyze-improve-control (DMAIC) approach is a five-strata approach, namely DMAIC. This approach is the scientific approach for reducing the deviations and improving the capability levels of the manufacturing processes. The present work elaborates on DMAIC approach applied in reducing the process variations of the stub-end-hole boring operation of the manufacture of crankshaft. This statistical process control study starts with selection of the critical-to-quality (CTQ) characteristic in the define stratum. The next stratum constitutes the collection of dimensional measurement data of the CTQ characteristic identified. This is followed by the analysis and improvement strata where the various quality control tools like Ishikawa diagram, physical mechanism analysis, failure modes effects analysis and analysis of variance are applied. Finally, the process monitoring charts are deployed at the workplace for regular monitoring and control of the concerned CTQ characteristic. By adopting DMAIC approach, standard deviation is reduced from 0.003 to 0.002. The process potential capability index ( C P) values improved from 1.29 to 2.02 and the process performance capability index ( C PK) values improved from 0.32 to 1.45, respectively.

  4. Development of a virtual metrology for high-mix TFT-LCD manufacturing processes

    International Nuclear Information System (INIS)

    Chen Shan; Pan Tianhong; Jang Shishang

    2010-01-01

    Nowadays, TFT-LCD manufacturing has become a very complex process, in which many different products being manufactured with many different tools. The ability to predict the quality of product in such a high-mix system is critical to developing and maintaining a high yield. In this paper, a statistical method is proposed for building a virtual metrology model from a number of products using a high-mix manufacturing process. Stepwise regression is used to select 'key variables' that really affect the quality of the products. Multivariate analysis of covariance is also proposed for simultaneously applying the selected variables and product effect. This framework provides a systematic method of building a processing quality prediction system for a high-mix manufacturing process. The experimental results show that the proposed quality prognostic system can not only estimate the critical dimension accurately but also detect potentially faulty glasses.

  5. Process for producing silicon nitride based articles of high fracture toughness and strength

    Science.gov (United States)

    Huckabee, M.; Buljan, S.T.; Neil, J.T.

    1991-09-10

    A process for producing a silicon nitride-based article of improved fracture toughness and strength is disclosed. The process involves densifying to at least 98% of theoretical density a mixture including (a) a bimodal silicon nitride powder blend consisting essentially of about 10-30% by weight of a first silicon nitride powder of an average particle size of about 0.2 [mu]m and a surface area of about 8-12 m[sup 2]/g, and about 70-90% by weight of a second silicon nitride powder of an average particle size of about 0.4-0.6 [mu]m and a surface area of about 2-4 m[sup 2]/g, (b) about 10-50 percent by volume, based on the volume of the densified article, of refractory whiskers or fibers having an aspect ratio of about 3-150 and having an equivalent diameter selected to produce in the densified article an equivalent diameter ratio of the whiskers or fibers to grains of silicon nitride of greater than 1.0, and (c) an effective amount of a suitable oxide densification aid. Optionally, the mixture may be blended with a binder and injection molded to form a green body, which then may be densified by, for example, hot isostatic pressing.

  6. Design of Test Parts to Characterize Micro Additive Manufacturing Processes

    DEFF Research Database (Denmark)

    Thompson, Mary Kathryn; Mischkot, Michael

    2015-01-01

    The minimum feature size and obtainable tolerances of additive manufacturing processes are linked to the smallest volumetric elements (voxels) that can be created. This work presents the iterative design of a test part to investigate the resolution of AM processes with voxel sizes at the micro...... scale. Each design iteration reduces the test part size, increases the number of test features, improves functionality, and decreases coupling in the part. The final design is a set of three test parts that are easy to orient and measure, and that provide useful information about micro additive...... manufacturing processes....

  7. Manufacturing and characterisation of water repellent surfaces

    DEFF Research Database (Denmark)

    De Grave, Arnaud; Botija, Pablo; Hansen, Hans Nørgaard

    2006-01-01

    design criteria for such surfaces. The problem of adapting this behaviour to artificially roughened surfaces is addressed by providing design criteria for superhydrophobic, water-repellent and self-cleaning surfaces according to the concrete performance desired for them. Different kind of manufacturing...... techniques are investigated and the production of patterned micro structured surfaces following two different manufacturing techniques is reported. The first is a combination of laser manufacturing and hot embossing on polystyrene. To compare geometry and functionality a non-silicon based lithography...

  8. Business process integration between European manufacturers and transport and logistics service providers

    DEFF Research Database (Denmark)

    Mortensen, Ole; Lemoine, W

    2005-01-01

    The goal of the Supply Chain Management process is to create value for customers, stakeholders and all supply chain members, through the integration of disparate processes like manufacturing flow management, customer service and order fulfillment. However, many firms fail in the path of achieving...... a total integration. This study illustrates, from an empirical point of view, the problems associated to SC integration among European firms operating in global/international markets. The focus is on the relationship between two echelons in the supply chain: manufacturers and their transport and logistics...... service providers (TLSPs). The paper examines (1) the characteristics of the collaborative partnerships established between manufacturers and their TLSPs; (2) to what extent manufacturers and their TLSPs have integrated SC business processes; (3) the IT used to support the SC cooperation and integration...

  9. The impact of silicon feedstock on the PV module cost

    NARCIS (Netherlands)

    del Coso, G.; del Cañizo, C.; Sinke, W.C.

    2010-01-01

    The impact of the use of new (solar grade) silicon feedstock materials on the manufacturing cost of wafer-based crystalline silicon photovoltaic modules is analyzed considering effects of material cost, efficiency of utilisation, and quality. Calculations based on data provided by European industry

  10. Process model for carbothermic production of silicon metal

    Energy Technology Data Exchange (ETDEWEB)

    Andresen, B.

    1995-09-12

    This thesis discusses an advanced dynamical two-dimensional cylinder symmetric model for the high temperature part of the carbothermic silicon metal process, and its computer encoding. The situation close to that which is believed to exist around one of three electrodes in full-scale industrial furnaces is modelled. This area comprises a gas filled cavity surrounding the lower tip of the electrode, the metal pool underneath and the lower parts of the materials above. The most important phenomena included are: Heterogeneous chemical reactions taking place in the high-temperature zone (above 1860 {sup o}C), Evaporation and condensation of silicon, Transport of materials by dripping, Turbulent or laminar fluid flow, DC electric arcs, Heat transport by convection, conduction and radiation. The results from the calculations, such as production rates, gas- and temperature distributions, furnace- and particle geometries, fluid flow fields etc, are presented graphically. In its present state the model is a prototype. The process is very complex, and the calculations are time consuming. The governing equations are coded into a Fortran 77 computer code applying the commercial 3D code FLUENT as a basis. 64 refs., 110 figs., 11 tabs.

  11. Silicon hybrid integration

    International Nuclear Information System (INIS)

    Li Xianyao; Yuan Taonu; Shao Shiqian; Shi Zujun; Wang Yi; Yu Yude; Yu Jinzhong

    2011-01-01

    Recently,much attention has concentrated on silicon based photonic integrated circuits (PICs), which provide a cost-effective solution for high speed, wide bandwidth optical interconnection and optical communication.To integrate III-V compounds and germanium semiconductors on silicon substrates,at present there are two kinds of manufacturing methods, i.e., heteroepitaxy and bonding. Low-temperature wafer bonding which can overcome the high growth temperature, lattice mismatch,and incompatibility of thermal expansion coefficients during heteroepitaxy, has offered the possibility for large-scale heterogeneous integration. In this paper, several commonly used bonding methods are reviewed, and the future trends of low temperature wafer bonding envisaged. (authors)

  12. Dimensional metrology for process and part quality control in micro manufacturing

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Tosello, Guido; Gasparin, Stefania

    2011-01-01

    dimensions are scaled down and geometrical complexity of objects is increased, the available measurement technologies appear not sufficient. New solutions for measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration are necessary if micro......Micro manufacturing has gained interest over the last decade as the demand for micro mechanical components has increased. The need for dimensional metrology at micro scale is evident both in terms of quality assurance of components and products and in terms of process control. As critical...... manufacturing is to develop into industrial manufacturing solutions. In this paper the application of dimensional precision metrology to both component and process quality control will be demonstrated. The parts investigated are micro injection moulded polymer parts, typical for the field of micro manufacturing....

  13. Manufacturing process modeling for composite materials and structures, Sandia blade reliability collaborative

    Energy Technology Data Exchange (ETDEWEB)

    Guest, Daniel A.; Cairns, Douglas S.

    2014-02-01

    The increased use and interest in wind energy over the last few years has necessitated an increase in the manufacturing of wind turbine blades. This increase in manufacturing has in many ways out stepped the current understanding of not only the materials used but also the manufacturing methods used to construct composite laminates. The goal of this study is to develop a list of process parameters which influence the quality of composite laminates manufactured using vacuum assisted resin transfer molding and to evaluate how they influence laminate quality. Known to be primary factors for the manufacturing process are resin flow rate and vacuum pressure. An incorrect balance of these parameters will often cause porosity or voids in laminates that ultimately degrade the strength of the composite. Fiber waviness has also been seen as a major contributor to failures in wind turbine blades and is often the effect of mishandling during the lay-up process. Based on laboratory tests conducted, a relationship between these parameters and laminate quality has been established which will be a valuable tool in developing best practices and standard procedures for the manufacture of wind turbine blade composites.

  14. 76 FR 36078 - Milk for Manufacturing Purposes and Its Production and Processing; Requirements Recommended for...

    Science.gov (United States)

    2011-06-21

    ...] Milk for Manufacturing Purposes and Its Production and Processing; Requirements Recommended for... to quality and sanitation requirements for the production and processing of manufacturing grade milk... Manufacturing Purposes and Its Production and Processing; Recommended Requirements for Adoption by State...

  15. Transient processes induced by heavy projectiles in silicon

    International Nuclear Information System (INIS)

    Lazanu, Ionel; Lazanu, Sorina

    2010-01-01

    The thermal spike model developed for the electronic stopping power regime is extended to consider both ionization and nuclear energy loss processes of the projectile as electronic and atomic heat distinct sources. The time and space dependencies of the lattice and electron temperatures near the projectile trajectory are calculated and discussed for different ions in silicon, at room and cryogenic temperatures, taking into account the peculiarities of electron-phonon interaction in both domains. The model developed contributes to the understanding of transient microscopic processes immediately after the projectile interaction in the target.

  16. 77 FR 24722 - Draft Guidance for Industry: Assessing the Effects of Significant Manufacturing Process Changes...

    Science.gov (United States)

    2012-04-25

    ...] Draft Guidance for Industry: Assessing the Effects of Significant Manufacturing Process Changes... Manufacturing Process Changes, Including Emerging Technologies, on the Safety and Regulatory Status of Food... determining whether changes in manufacturing process, including the intentional reduction in particle size to...

  17. Automation in Siemens fuel manufacturing - the basis for quality improvement by statistical process control (SPC)

    International Nuclear Information System (INIS)

    Drecker, St.; Hoff, A.; Dietrich, M.; Guldner, R.

    1999-01-01

    Statistical Process Control (SPC) is one of the systematic tools to perform a valuable contribution to the control and planning activities for manufacturing processes and product quality. Advanced Nuclear Fuels GmbH (ANF) started a program to introduce SPC in all sections of the manufacturing process of fuel assemblies. The concept phase is based on a realization of SPC in 3 pilot projects. The existing manufacturing devices are reviewed for the utilization of SPC. Subsequent modifications were made to provide the necessary interfaces. The processes 'powder/pellet manufacturing'. 'cladding tube manufacturing' and 'laser-welding of spacers' are located at the different locations of ANF. Due to the completion of the first steps and the experience obtained by the pilot projects, the introduction program for SPC has already been extended to other manufacturing processes. (authors)

  18. Process for manufacture of Te microwire in glass insulation

    International Nuclear Information System (INIS)

    Bodiul, Pavel; Nicolaeva, Alibina; Konopko, Leonid; Bondarciuc, Nicolae

    2010-01-01

    The invention relates to the manufacturing of microwires in glass insulation and can be used in electronics and in the manufacturing of thermoelectrodes for thermoelectric sensors. The process for manufacture of Te microwire in glass insulation consists in softening the Te sample and its pulling in glass insulation. Near the microwire pulling zone through the furnace is maintained a temperature of 430-440 degrees Celsius, which causes the solidification firstly of Te microwire, and then of glass insulation. The result of the invention is to obtain Te microwires in glass insulation of high quality with a diameter of 50-100 μm and a length of 3-15 cm.

  19. Materials, Processes, and Facile Manufacturing for Bioresorbable Electronics: A Review.

    Science.gov (United States)

    Yu, Xiaowei; Shou, Wan; Mahajan, Bikram K; Huang, Xian; Pan, Heng

    2018-05-07

    Bioresorbable electronics refer to a new class of advanced electronics that can completely dissolve or disintegrate with environmentally and biologically benign byproducts in water and biofluids. They have provided a solution to the growing electronic waste problem with applications in temporary usage of electronics such as implantable devices and environmental sensors. Bioresorbable materials such as biodegradable polymers, dissolvable conductors, semiconductors, and dielectrics are extensively studied, enabling massive progress of bioresorbable electronic devices. Processing and patterning of these materials are predominantly relying on vacuum-based fabrication methods so far. However, for the purpose of commercialization, nonvacuum, low-cost, and facile manufacturing/printing approaches are the need of the hour. Bioresorbable electronic materials are generally more chemically reactive than conventional electronic materials, which require particular attention in developing the low-cost manufacturing processes in ambient environment. This review focuses on material reactivity, ink availability, printability, and process compatibility for facile manufacturing of bioresorbable electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Economic trade-offs of additive manufacturing integration in injection moulding process chain

    DEFF Research Database (Denmark)

    Charalambis, Alessandro; Kerbache, Laoucine; Tosello, Guido

    2017-01-01

    Additive Manufacturing has emerged as an innovative set of novel technologies capable of replacing established manufacturing processes due to fabrication of highly complex parts and its continuous improvements of efficiency and cost effectiveness. This study is based on the idea that through...... the creation of synergies between additive and conventional manufacturing technologies it is possible to achieve greater cost advantages and operational benefits than by substituting injection moulding with additive manufacturing. The analysis presented explores the cost advantages that can be secured when...... additive manufacturing is used to support the fabrication of mould inserts for the product development phase of the injection moulding process chain. This study shows that fabrication of soft tooling by mean of AM is economically convenient with a cost reduction between 80% and 90%. Break-even points...

  1. U.S. Department of Energy integrated manufacturing & processing predoctoral fellowships. Final Report

    Energy Technology Data Exchange (ETDEWEB)

    Petrochenkov, Margaret

    2003-03-31

    The objective of this program was threefold: to create a pool of PhDs trained in the integrated approach to manufacturing and processing, to promote academic interest in the field, and to attract talented professionals to this challenging area of engineering. It was anticipated that the program would result in the creation of new manufacturing methods that would contribute to improved energy efficiency, to better utilization of scarce resources, and to less degradation of the environment. Emphasis in the competition was on integrated systems of manufacturing and the integration of product design with manufacturing processes. Research addressed such related areas as aspects of unit operations, tooling and equipment, intelligent sensors, and manufacturing systems as they related to product design. This is the final report to close out the contract.

  2. Manufacturing process optimization of nuclear fuel guide tube using HANA alloys

    International Nuclear Information System (INIS)

    Jeong, Yong Hwan; Park, S. Y.; Choi, B. K.; Park, J. Y.; Kim, H. G.; Jeong, Y. I.; Park, D. J.; Lim, J. K.

    2010-08-01

    From this project, the advanced manufacturing parameters which were contained of heat-treatment, reduction rate, and new process (2 step) were considered to increase the guide tube performance of HANA material. It was obtained that the strength and corrosion resistance of HANA material were improved by applying the improve manufacturing parameters when compared to the commercial guide tube material. · Manufacturing parameter study to increase mechanical property -Tensile strength increase of 30% by manufacturing parameter setup when compared to the guide tube specification · Manufacturing parameter study to decrease irradiation growth -Theoretical study of the texture effect on sample specimens related to the irradiation growth · Manufacturing parameter study to increase corrosion resistance -Corrosion resistance increase of 30% by manufacturing parameter setup when compared to the commercial guide tube

  3. A comparison of degradation in three amorphous silicon PV module technologies

    Energy Technology Data Exchange (ETDEWEB)

    Radue, C.; van Dyk, E.E. [Physics Department, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa)

    2010-03-15

    Three commercial amorphous silicon modules manufactured by monolithic integration and consisting of three technology types were analysed in this study. These modules were deployed outdoors for 14 months and underwent degradation. All three modules experienced the typical light-induced degradation (LID) described by the Staebler-Wronski effect, and this was followed by further degradation. A 14 W single junction amorphous silicon module degraded by about 45% of the initial measured maximum power output (P{sub MAX}) at the end of the study. A maximum of 30% of this has been attributed to LID and the further 15% to cell mismatch and cell degradation. The other two modules, a 64 W triple junction amorphous silicon module, and a 68 W flexible triple junction amorphous silicon module, exhibited LID followed by seasonal variation in the degraded P{sub MAX}. The 64 W module showed a maximum degradation in P{sub MAX} of about 22%. This is approximately 4% more than the manufacturer allowed for the initial LID. However, the seasonal variation in P{sub MAX} seems to be centred around the manufacturer's rating ({+-}4%). The 68 W flexible module has shown a maximum decrease in P{sub MAX} of about 27%. This decrease is about 17% greater than the manufacturer allowed for the initial LID. (author)

  4. Low cost silicon solar array project large area silicon sheet task: Silicon web process development

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Blais, P. D.; Davis, J. R., Jr.

    1977-01-01

    Growth configurations were developed which produced crystals having low residual stress levels. The properties of a 106 mm diameter round crucible were evaluated and it was found that this design had greatly enhanced temperature fluctuations arising from convection in the melt. Thermal modeling efforts were directed to developing finite element models of the 106 mm round crucible and an elongated susceptor/crucible configuration. Also, the thermal model for the heat loss modes from the dendritic web was examined for guidance in reducing the thermal stress in the web. An economic analysis was prepared to evaluate the silicon web process in relation to price goals.

  5. Results from a first production of enhanced Silicon Sensor Test Structures produced by ITE Warsaw

    Science.gov (United States)

    Bergauer, T.; Dragicevic, M.; Frey, M.; Grabiec, P.; Grodner, M.; Hänsel, S.; Hartmann, F.; Hoffmann, K.-H.; Hrubec, J.; Krammer, M.; Kucharski, K.; Macchiolo, A.; Marczewski, J.

    2009-01-01

    Monitoring the manufacturing process of silicon sensors is essential to ensure stable quality of the produced detectors. During the CMS silicon sensor production we were utilising small Test Structures (TS) incorporated on the cut-away of the wafers to measure certain process-relevant parameters. Experience from the CMS production and quality assurance led to enhancements of these TS. Another important application of TS is the commissioning of new vendors. The measurements provide us with a good understanding of the capabilities of a vendor's process. A first batch of the new TS was produced at the Institute of Electron Technology in Warsaw Poland. We will first review the improvements to the original CMS test structures and then discuss a selection of important measurements performed on this first batch.

  6. Life cycle assessment of grid-connected photovoltaic power generation from crystalline silicon solar modules in China

    International Nuclear Information System (INIS)

    Hou, Guofu; Sun, Honghang; Jiang, Ziying; Pan, Ziqiang; Wang, Yibo; Zhang, Xiaodan; Zhao, Ying; Yao, Qiang

    2016-01-01

    Graphical abstract: Comparison of life cycle GHG emissions of various power sources. - Highlights: • The LCA study of grid-connected PV generation with silicon solar modules in China has been performed. • The energy payback times range from 1.6 to 2.3 years. • The GHG emissions are in the range of 60.1–87.3 g-CO_2,eq/kW h. • The PV manufacturing process occupied about 85% or higher of total energy usage and total GHG emission. • The SoG-Si production process accounted for more than 35% of total energy consumption and GHG emissions. - Abstract: The environmental impacts of grid-connected photovoltaic (PV) power generation from crystalline silicon (c-Si) solar modules in China have been investigated using life cycle assessment (LCA). The life cycle inventory was first analyzed. Then the energy consumption and greenhouse gas (GHG) emission during every process were estimated in detail, and finally the life-cycle value was calculated. The results showed that the energy payback time (T_E_P_B_T) of grid-connected PV power with crystalline silicon solar modules ranges from 1.6 to 2.3 years, while the GHG emissions now range from 60.1 to 87.3 g-CO_2,eq/kW h depending on the installation methods. About 84% or even more of the total energy consumption and total GHG emission occupied during the PV manufacturing process. The solar grade silicon (SoG-Si) production is the most energy-consuming and GHG-emitting process, which accounts for more than 35% of the total energy consumption and the total GHG emission. The results presented in this study are expected to provide useful information to enact reasonable policies, development targets, as well as subsidies for PV technology in China.

  7. 40 CFR 761.187 - Reporting importers and by persons generating PCBs in excluded manufacturing processes.

    Science.gov (United States)

    2010-07-01

    ...) MANUFACTURING, PROCESSING, DISTRIBUTION IN COMMERCE, AND USE PROHIBITIONS General Records and Reports § 761.187 Reporting importers and by persons generating PCBs in excluded manufacturing processes. In addition to... generating PCBs in excluded manufacturing processes. 761.187 Section 761.187 Protection of Environment...

  8. 24 CFR 3282.53 - Service of process on foreign manufacturers and importers.

    Science.gov (United States)

    2010-04-01

    ... 24 Housing and Urban Development 5 2010-04-01 2010-04-01 false Service of process on foreign manufacturers and importers. 3282.53 Section 3282.53 Housing and Urban Development Regulations Relating to... REGULATIONS Formal Procedures § 3282.53 Service of process on foreign manufacturers and importers. The...

  9. Evolutionary process development towards next generation crystalline silicon solar cells : a semiconductor process toolbox application

    Directory of Open Access Journals (Sweden)

    Tous L.

    2012-08-01

    Full Text Available Bulk crystalline Silicon solar cells are covering more than 85% of the world’s roof top module installation in 2010. With a growth rate of over 30% in the last 10 years this technology remains the working horse of solar cell industry. The full Aluminum back-side field (Al BSF technology has been developed in the 90’s and provides a production learning curve on module price of constant 20% in average. The main reason for the decrease of module prices with increasing production capacity is due to the effect of up scaling industrial production. For further decreasing of the price per wattpeak silicon consumption has to be reduced and efficiency has to be improved. In this paper we describe a successive efficiency improving process development starting from the existing full Al BSF cell concept. We propose an evolutionary development includes all parts of the solar cell process: optical enhancement (texturing, polishing, anti-reflection coating, junction formation and contacting. Novel processes are benchmarked on industrial like baseline flows using high-efficiency cell concepts like i-PERC (Passivated Emitter and Rear Cell. While the full Al BSF crystalline silicon solar cell technology provides efficiencies of up to 18% (on cz-Si in production, we are achieving up to 19.4% conversion efficiency for industrial fabricated, large area solar cells with copper based front side metallization and local Al BSF applying the semiconductor toolbox.

  10. LSSA large area silicon sheet task continuous Czochralski process development

    Science.gov (United States)

    Rea, S. N.

    1978-01-01

    A Czochralski crystal growing furnace was converted to a continuous growth facility by installation of a premelter to provide molten silicon flow into the primary crucible. The basic furnace is operational and several trial crystals were grown in the batch mode. Numerous premelter configurations were tested both in laboratory-scale equipment as well as in the actual furnace. The best arrangement tested to date is a vertical, cylindrical graphite heater containing small fused silicon test tube liner in which the incoming silicon is melted and flows into the primary crucible. Economic modeling of the continuous Czochralski process indicates that for 10 cm diameter crystal, 100 kg furnace runs of four or five crystals each are near-optimal. Costs tend to asymptote at the 100 kg level so little additional cost improvement occurs at larger runs. For these conditions, crystal cost in equivalent wafer area of around $20/sq m exclusive of polysilicon and slicing was obtained.

  11. Review of the Potential of the Ni/Cu Plating Technique for Crystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Atteq ur Rehman

    2014-02-01

    Full Text Available Developing a better method for the metallization of silicon solar cells is integral part of realizing superior efficiency. Currently, contact realization using screen printing is the leading technology in the silicon based photovoltaic industry, as it is simple and fast. However, the problem with metallization of this kind is that it has a lower aspect ratio and higher contact resistance, which limits solar cell efficiency. The mounting cost of silver pastes and decreasing silicon wafer thicknesses encourages silicon solar cell manufacturers to develop fresh metallization techniques involving a lower quantity of silver usage and not relying pressing process of screen printing. In recent times nickel/copper (Ni/Cu based metal plating has emerged as a metallization method that may solve these issues. This paper offers a detailed review and understanding of a Ni/Cu based plating technique for silicon solar cells. The formation of a Ni seed layer by adopting various deposition techniques and a Cu conducting layer using a light induced plating (LIP process are appraised. Unlike screen-printed metallization, a step involving patterning is crucial for opening the masking layer. Consequently, experimental procedures involving patterning methods are also explicated. Lastly, the issues of adhesion, back ground plating, process complexity and reliability for industrial applications are also addressed.

  12. Fit of single tooth zirconia copings: comparison between various manufacturing processes.

    Science.gov (United States)

    Grenade, Charlotte; Mainjot, Amélie; Vanheusden, Alain

    2011-04-01

    Various CAD/CAM processes are commercially available to manufacture zirconia copings. Comparative data on their performance in terms of fit are needed. The purpose of this in vitro study was to compare the internal and marginal fit of single tooth zirconia copings manufactured with a CAD/CAM process (Procera; Nobel Biocare) and a mechanized manufacturing process (Ceramill; Amann Girrbach). Abutments (n=20) prepared in vivo for ceramic crowns served as a template for manufacturing both Procera and Ceramill zirconia copings. Copings were manufactured and cemented (Clearfil Esthetic Cement; Kuraray) on epoxy replicas of stone cast abutments. Specimens were sectioned. Nine measurements were performed for each coping. Over- and under-extended margins were evaluated. Comparisons between the 2 processes were performed with a generalized linear mixed model (α=.05). Internal gap values between Procera and Ceramill groups were not significantly different (P=.13). The mean marginal gap (SD) for Procera copings (51(50) μm) was significantly smaller than for Ceramill (81(66) μm) (P<.005). The percentages of over- and under-extended margins were 43% and 57% for Procera respectively, and 71% and 29% for Ceramill. Within the limitations of this in vitro study, the marginal fit of Procera copings was significantly better than that of Ceramill copings. Furthermore, Procera copings showed a smaller percentage of over-extended margins than did Ceramill copings. Copyright © 2011 The Editorial Council of the Journal of Prosthetic Dentistry. Published by Mosby, Inc. All rights reserved.

  13. CHO Quasispecies—Implications for Manufacturing Processes

    Directory of Open Access Journals (Sweden)

    Florian M. Wurm

    2013-10-01

    Full Text Available Chinese hamster ovary (CHO cells are a source of multi-ton quantities of protein pharmaceuticals. They are, however, immortalized cells, characterized by a high degree of genetic and phenotypic diversity. As is known for any biological system, this diversity is enhanced by selective forces when laboratories (no sharing of gene pools grow cells under (diverse conditions that are practical and useful. CHO cells have been used in culture for more than 50 years, and various lines of cells are available and have been used in manufacturing. This article tries to represent, in a cursory way, the history of CHO cells, particularly the origin and subsequent fate of key cell lines. It is proposed that the name CHO represents many different cell types, based on their inherent genetic diversity and their dynamic rate of genetic change. The continuing remodeling of genomic structure in clonal or non-clonal cell populations, particularly due to the non-standardized culture conditions in hundreds of different labs renders CHO cells a typical case for “quasispecies”. This term was coined for families of related (genomic sequences exposed to high mutation rate environments where a large fraction of offspring is expected to carry one or more mutations. The implications of the quasispecies concept for CHO cells used in protein manufacturing processes are significant. CHO genomics/transcriptomics may provide only limited insights when done on one or two “old” and poorly characterized CHO strains. In contrast, screening of clonal cell lines, derived from a well-defined starting material, possibly within a given academic or industrial environment, may reveal a more narrow diversity of phenotypes with respect to physiological/metabolic activities and, thus, allow more precise and reliable predictions of the potential of a clone for high-yielding manufacturing processes.

  14. A high volume cost efficient production macrostructuring process. [for silicon solar cell surface treatment

    Science.gov (United States)

    Chitre, S. R.

    1978-01-01

    The paper presents an experimentally developed surface macro-structuring process suitable for high volume production of silicon solar cells. The process lends itself easily to automation for high throughput to meet low-cost solar array goals. The tetrahedron structure observed is 0.5 - 12 micron high. The surface has minimal pitting with virtually no or very few undeveloped areas across the surface. This process has been developed for (100) oriented as cut silicon. Chemi-etched, hydrophobic and lapped surfaces were successfully texturized. A cost analysis as per Samics is presented.

  15. Thin Slits Manufacturing Process Using Electro Discharge Technique

    Czech Academy of Sciences Publication Activity Database

    Hošek, Jan

    -, č. 40 (2011), s. 175-178 ISSN 1584-5982 R&D Projects: GA AV ČR IAA200760905 Institutional research plan: CEZ:AV0Z20760514 Keywords : thin slit * EDM process * manufacturing Subject RIV: JR - Other Machinery

  16. Toward Meaningful Manufacturing Variation Data in Design - Feature Based Description of Variation in Manufacturing Processes

    DEFF Research Database (Denmark)

    Eifler, Tobias; Boorla, Srinivasa Murthy; Howard, Thomas J.

    2016-01-01

    The need to mitigate the effects of manufacturing variation already in design is nowadays commonly acknowledged and has led to a wide use of predictive modeling techniques, tolerancing approaches, etc. in industry. The trustworthiness of corresponding variation analyses is, however, not ensured...... by the availability of sophisticated methods and tools alone, but does evidently also depend on the accuracy of the input information used. As existing approaches for the description of manufacturing variation focus however, almost exclusively, on monitoring and controlling production processes, there is frequently...... a lack of objective variation data in design. As a result, variation analyses and tolerancing activities rely on numerous assumptions made to fill the gaps of missing or incomplete data. To overcome this hidden subjectivity, a schema for a consistent and standardised description of manufacturing...

  17. 15 CFR 400.32 - Procedure for review of request for approval of manufacturing or processing.

    Science.gov (United States)

    2010-01-01

    ... approval of manufacturing or processing. 400.32 Section 400.32 Commerce and Foreign Trade Regulations... REGULATIONS OF THE FOREIGN-TRADE ZONES BOARD Manufacturing and Processing Activity-Reviews § 400.32 Procedure for review of request for approval of manufacturing or processing. (a) Request as part of application...

  18. Solar Grade Silicon from Agricultural By-products

    Energy Technology Data Exchange (ETDEWEB)

    Laine, Richard M

    2012-08-20

    In this project, Mayaterials developed a low cost, low energy and low temperature method of purifying rice hull ash to high purity (5-6Ns) and converting it by carbothermal reduction to solar grade quality silicon (Sipv) using a self-designed and built electric arc furnace (EAF). Outside evaluation of our process by an independent engineering firm confirms that our technology greatly lowers estimated operating expenses (OPEX) to $5/kg and capital expenses (CAPEX) to $24/kg for Sipv production, which is well below best-in-class plants using a Siemens process approach (OPEX of 14/kg and CAPEX of $87/kg, respectively). The primary limiting factor in the widespread use of photovoltaic (PV) cells is the high cost of manufacturing, compared to more traditional sources to reach 6 g Sipv/watt (with averages closer to 8+g/watt). In 2008, the spot price of Sipv rose to $450/kg. While prices have since dropped to a more reasonable $25/kg; this low price level is not sustainable, meaning the longer-term price will likely return to $35/kg. The 6-8 g Si/watt implies that the Sipv used in a module will cost $0.21-0.28/watt for the best producers (45% of the cost of a traditional solar panel), a major improvement from the cost/wafer driven by the $50/kg Si costs of early 2011, but still a major hindrance in fulfilling DOE goal of lowering the cost of solar energy below $1/watt. The solar cell industry has grown by 40% yearly for the past eight years, increasing the demand for Sipv. As such, future solar silicon price spikes are expected in the next few years. Although industry has invested billions of dollars to meet this ever-increasing demand, the technology to produce Sipv remains largely unchanged requiring the energy intensive, and chlorine dependent Siemens process or variations thereof. While huge improvements have been made, current state-of-the-art industrial plant still use 65 kWh/kg of silicon purified. Our technology offers a key distinction to other technologies as it

  19. Packaging of silicon sensors for microfluidic bio-analytical applications

    International Nuclear Information System (INIS)

    Wimberger-Friedl, Reinhold; Prins, Menno; Megens, Mischa; Dittmer, Wendy; Witz, Christiane de; Nellissen, Ton; Weekamp, Wim; Delft, Jan van; Ansems, Will; Iersel, Ben van

    2009-01-01

    A new industrial concept is presented for packaging biosensor chips in disposable microfluidic cartridges to enable medical diagnostic applications. The inorganic electronic substrates, such as silicon or glass, are integrated in a polymer package which provides the electrical and fluidic interconnections to the world and provides mechanical strength and protection for out-of-lab use. The demonstrated prototype consists of a molded interconnection device (MID), a silicon-based giant magneto-resistive (GMR) biosensor chip, a flex and a polymer fluidic part with integrated tubing. The various processes are compatible with mass manufacturing and run at a high yield. The devices show a reliable electrical interconnection between the sensor chip and readout electronics during extended wet operation. Sandwich immunoassays were carried out in the cartridges with surface functionalized sensor chips. Biological response curves were determined for different concentrations of parathyroid hormone (PTH) on the packaged biosensor, which demonstrates the functionality and biocompatibility of the devices. The new packaging concept provides a platform for easy further integration of electrical and fluidic functions, as for instance required for integrated molecular diagnostic devices in cost-effective mass manufacturing

  20. A manufacturable process integration approach for graphene devices

    Science.gov (United States)

    Vaziri, Sam; Lupina, Grzegorz; Paussa, Alan; Smith, Anderson D.; Henkel, Christoph; Lippert, Gunther; Dabrowski, Jarek; Mehr, Wolfgang; Östling, Mikael; Lemme, Max C.

    2013-06-01

    In this work, we propose an integration approach for double gate graphene field effect transistors. The approach includes a number of process steps that are key for future integration of graphene in microelectronics: bottom gates with ultra-thin (2 nm) high-quality thermally grown SiO2 dielectrics, shallow trench isolation between devices and atomic layer deposited Al2O3 top gate dielectrics. The complete process flow is demonstrated with fully functional GFET transistors and can be extended to wafer scale processing. We assess, through simulation, the effects of the quantum capacitance and band bending in the silicon substrate on the effective electric fields in the top and bottom gate oxide. The proposed process technology is suitable for other graphene-based devices such as graphene-based hot electron transistors and photodetectors.

  1. Effect of neutron irradiation on p-type silicon

    International Nuclear Information System (INIS)

    Sopko, B.

    1973-01-01

    The possibilities are discussed of silicon isotope reactions with neutrons of all energies. In the reactions, 30 Si is converted to a stable phosphorus isotope forming n-type impurities in silicon. The above reactions proceed as a result of thermal neutron irradiation. An experiment is reported involving irradiation of two p-type silicon single crystals having a specific resistance of 2000 ohm.cm and 5000 to 20 000 ohm.cm, respectively, which changed as a result of irradiation into n-type silicon with a given specific resistance. The specific resistance may be pre-calculated from the concentration of impurities and the time of irradiation. The effects of irradiation on other silicon parameters and thus on the suitability of silicon for the manufacture of semiconductor elements are discussed. (J.K.)

  2. Materials processing in zero gravity. [space manufacturing

    Science.gov (United States)

    Wuenscher, H. F.

    1973-01-01

    Manufacturing processes which are expected to show drastic changes in a space environment due to the absence of earth gravity are classified according to (1) buoyancy and thermal convection sensitive processes and (2) processes where molecular forces like cohesion and adhesion remain as the relatively strongest and hence controlling factors. Some specific process demonstration experiments carried out during the Apollo 14 mission and in the Skylab program are described. These include chemical separation by electrophoresis, the M551 metals melting experiment, the M552 exothermic brazing experiment, the M553 sphere forming experiment, the M554 composite casting experiment, and the M555 gallium arsenide crystal growth experiment.

  3. Process development for green part printing using binder jetting additive manufacturing

    Science.gov (United States)

    Miyanaji, Hadi; Orth, Morgan; Akbar, Junaid Muhammad; Yang, Li

    2018-05-01

    Originally developed decades ago, the binder jetting additive manufacturing (BJ-AM) process possesses various advantages compared to other additive manufacturing (AM) technologies such as broad material compatibility and technological expandability. However, the adoption of BJ-AM has been limited by the lack of knowledge with the fundamental understanding of the process principles and characteristics, as well as the relatively few systematic design guideline that are available. In this work, the process design considerations for BJ-AM in green part fabrication were discussed in detail in order to provide a comprehensive perspective of the design for additive manufacturing for the process. Various process factors, including binder saturation, in-process drying, powder spreading, powder feedstock characteristics, binder characteristics and post-process curing, could significantly affect the printing quality of the green parts such as geometrical accuracy and part integrity. For powder feedstock with low flowability, even though process parameters could be optimized to partially offset the printing feasibility issue, the qualities of the green parts will be intrinsically limited due to the existence of large internal voids that are inaccessible to the binder. In addition, during the process development, the balanced combination between the saturation level and in-process drying is of critical importance in the quality control of the green parts.

  4. Quality Assurance and Performance Tests of Silicon Detector Modules for the CMS/Tracker

    CERN Document Server

    Dragicevic, Marko

    2005-01-01

    After providing a short overview of the LHC accelerator, the CMS experiment and it’s various detector systems, we will have an in-depth look on silicon semiconductor particle detectors. Various important aspects like theoretical principles, radiation damage and actual design considerations are discussed and the quality assurance scheme for the sensor and module production is introduced. A strong emphasis is made on the ARC module teststand which was set up and operated be the author. Another important aspect in establishing a good quality assurance scheme is flexibility and keeping an eye on the unexpected. At one such occasion, the author had to gather custom made test equipment, to investigate certain effects in silicon sensors manufactured by ST Microelectronics. Conclusions from these measurement could only be drawn very cautiously, as the manufacturing process and many of its subtle changes, remained a well kept secret of the company. Nevertheless, the investigations proofed to be useful and ST Microel...

  5. Doping of silicon by carbon during laser ablation process

    Science.gov (United States)

    Raciukaitis, G.; Brikas, M.; Kazlauskiene, V.; Miskinis, J.

    2007-04-01

    Effect of laser ablation on properties of remaining material was investigated in silicon. It was established that laser cutting of wafers in air induced doping of silicon by carbon. The effect was found to be more distinct by the use of higher laser power or UV radiation. Carbon ions created bonds with silicon in the depth of silicon. Formation of the silicon carbide type bonds was confirmed by SIMS, XPS and AES measurements. Modeling of the carbon diffusion was performed to clarify its depth profile in silicon. Photo-chemical reactions of such type changed the structure of material and could be a reason for the reduced quality of machining. A controlled atmosphere was applied to prevent carbonization of silicon during laser cutting.

  6. Doping of silicon by carbon during laser ablation process

    International Nuclear Information System (INIS)

    Raciukaitis, G; Brikas, M; Kazlauskiene, V; Miskinis, J

    2007-01-01

    Effect of laser ablation on properties of remaining material was investigated in silicon. It was established that laser cutting of wafers in air induced doping of silicon by carbon. The effect was found to be more distinct by the use of higher laser power or UV radiation. Carbon ions created bonds with silicon in the depth of silicon. Formation of the silicon carbide type bonds was confirmed by SIMS, XPS and AES measurements. Modeling of the carbon diffusion was performed to clarify its depth profile in silicon. Photo-chemical reactions of such type changed the structure of material and could be a reason for the reduced quality of machining. A controlled atmosphere was applied to prevent carbonization of silicon during laser cutting

  7. Development of processes for the production of solar grade silicon from halides and alkali metals, phase 1 and phase 2

    Science.gov (United States)

    Dickson, C. R.; Gould, R. K.; Felder, W.

    1981-01-01

    High temperature reactions of silicon halides with alkali metals for the production of solar grade silicon are described. Product separation and collection processes were evaluated, measure heat release parameters for scaling purposes and effects of reactants and/or products on materials of reactor construction were determined, and preliminary engineering and economic analysis of a scaled up process were made. The feasibility of the basic process to make and collect silicon was demonstrated. The jet impaction/separation process was demonstrated to be a purification process. The rate at which gas phase species from silicon particle precursors, the time required for silane decomposition to produce particles, and the competing rate of growth of silicon seed particles injected into a decomposing silane environment were determined. The extent of silane decomposition as a function of residence time, temperature, and pressure was measured by infrared absorption spectroscopy. A simplistic model is presented to explain the growth of silicon in a decomposing silane enviroment.

  8. New model of enterprises resource planning implementation planning process in manufacturing enterprises

    Directory of Open Access Journals (Sweden)

    Mirjana Misita

    2016-05-01

    Full Text Available This article presents new model of enterprises resource planning implementation planning process in manufacturing enterprises based on assessment of risk sources. This assessment was performed by applying analytic hierarchy process. Analytic hierarchy process method allows variation of relative importance of specific risk sources dependent on the section from which the risk source originates (organizational environment, technical issues, people issues, adoption process management, and external support. Survey was conducted on 85 manufacturing enterprises involved with an enterprises resource planning solution. Ranking of risk sources assessments returns most frequent risks of enterprises resource planning implementation success in manufacturing enterprises, and representative factors were isolated through factor analysis by risk source origin. Finally, results indicate that there are hidden causes of failed implementation, for example, risk source “top management training and education,” from risk origin “adoption process management.”

  9. Silicon Web Process Development. [for solar cell fabrication

    Science.gov (United States)

    Duncan, C. S.; Seidensticker, R. G.; Hopkins, R. H.; Mchugh, J. P.; Hill, F. E.; Heimlich, M. E.; Driggers, J. M.

    1979-01-01

    Silicon dendritic web, ribbon form of silicon and capable of fabrication into solar cells with greater than 15% AMl conversion efficiency, was produced from the melt without die shaping. Improvements were made both in the width of the web ribbons grown and in the techniques to replenish the liquid silicon as it is transformed to web. Through means of improved thermal shielding stress was reduced sufficiently so that web crystals nearly 4.5 cm wide were grown. The development of two subsystems, a silicon feeder and a melt level sensor, necessary to achieve an operational melt replenishment system, is described. A gas flow management technique is discussed and a laser reflection method to sense and control the melt level as silicon is replenished is examined.

  10. Characteristics of thin-film transistors based on silicon nitride passivation by excimer laser direct patterning

    International Nuclear Information System (INIS)

    Chen, Chao-Nan; Huang, Jung-Jie

    2013-01-01

    This study explored the removal of silicon nitride using KrF laser ablation technology with a high threshold fluence of 990 mJ/cm 2 . This technology was used for contact hole patterning to fabricate SiN x -passivation-based amorphous-silicon thin films in a transistor device. Compared to the photolithography process, laser direct patterning using KrF laser ablation technology can reduce the number of process steps by at least three. Experimental results showed that the mobility and threshold voltages of thin film transistors patterned using the laser process were 0.16 cm 2 /V-sec and 0.2 V, respectively. The device performance and the test results of gate voltage stress reliability demonstrated that laser direct patterning is a promising alternative to photolithography in the panel manufacturing of thin-film transistors for liquid crystal displays. - Highlights: ► KrF laser ablation technology is used to remove silicon nitride. ► A simple method for direct patterning contact-hole in thin-film-transistor device. ► Laser technology reduced processing by at least three steps

  11. Development of processes for the production of solar grade silicon from halides and alkali metals

    Science.gov (United States)

    Dickson, C. R.; Gould, R. K.

    1980-01-01

    High temperature reactions of silicon halides with alkali metals for the production of solar grade silicon in volume at low cost were studied. Experiments were performed to evaluate product separation and collection processes, measure heat release parameters for scaling purposes, determine the effects of reactants and/or products on materials of reactor construction, and make preliminary engineering and economic analyses of a scaled-up process.

  12. Additive Manufacturing of High-Entropy Alloys by Laser Processing

    NARCIS (Netherlands)

    Ocelik, V.; Janssen, Niels; Smith, Stefan; De Hosson, J. Th M.

    This contribution concentrates on the possibilities of additive manufacturing of high-entropy clad layers by laser processing. In particular, the effects of the laser surface processing parameters on the microstructure and hardness of high-entropy alloys (HEAs) were examined. AlCoCrFeNi alloys with

  13. Knowledge Assisted Integrated Design of a Component and Its Manufacturing Process

    Science.gov (United States)

    Gautham, B. P.; Kulkarni, Nagesh; Khan, Danish; Zagade, Pramod; Reddy, Sreedhar; Uppaluri, Rohith

    Integrated design of a product and its manufacturing processes would significantly reduce the total cost of the products as well as the cost of its development. However this would only be possible if we have a platform that allows us to link together simulations tools used for product design, performance evaluation and its manufacturing processes in a closed loop. In addition to that having a comprehensive knowledgebase that provides systematic knowledge guided assistance to product or process designers who may not possess in-depth design knowledge or in-depth knowledge of the simulation tools, would significantly speed up the end-to-end design process. In this paper, we propose a process and illustrate a case for achieving an integrated product and manufacturing process design assisted by knowledge support for the user to make decisions at various stages. We take transmission component design as an example. The example illustrates the design of a gear for its geometry, material selection and its manufacturing processes, particularly, carburizing-quenching and tempering, and feeding the material properties predicted during heat treatment into performance estimation in a closed loop. It also identifies and illustrates various decision stages in the integrated life cycle and discusses the use of knowledge engineering tools such as rule-based guidance, to assist the designer make informed decisions. Simulation tools developed on various commercial, open-source platforms as well as in-house tools along with knowledge engineering tools are linked to build a framework with appropriate navigation through user-friendly interfaces. This is illustrated through examples in this paper.

  14. Scaling of black silicon processing time by high repetition rate femtosecond lasers

    Directory of Open Access Journals (Sweden)

    Nava Giorgio

    2013-11-01

    Full Text Available Surface texturing of silicon substrates is performed by femtosecond laser irradiation at high repetition rates. Various fabrication parameters are optimized in order to achieve very high absorptance in the visible region from the micro-structured silicon wafer as compared to the unstructured one. A 70-fold reduction of the processing time is demonstrated by increasing the laser repetition rate from 1 kHz to 200 kHz. Further scaling up to 1 MHz can be foreseen.

  15. Broadband wavelength conversion in hydrogenated amorphous silicon waveguide with silicon nitride layer

    Science.gov (United States)

    Wang, Jiang; Li, Yongfang; Wang, Zhaolu; Han, Jing; Huang, Nan; Liu, Hongjun

    2018-01-01

    Broadband wavelength conversion based on degenerate four-wave mixing is theoretically investigated in a hydrogenated amorphous silicon (a-Si:H) waveguide with silicon nitride inter-cladding layer (a-Si:HN). We have found that enhancement of the non-linear effect of a-Si:H waveguide nitride intermediate layer facilitates broadband wavelength conversion. Conversion bandwidth of 490 nm and conversion efficiency of 11.4 dB were achieved in a numerical simulation of a 4 mm-long a-Si:HN waveguide under 1.55 μm continuous wave pumping. This broadband continuous-wave wavelength converter has potential applications in photonic networks, a type of readily manufactured low-cost highly integrated optical circuits.

  16. Large-scale membrane transfer process: its application to single-crystal-silicon continuous membrane deformable mirror

    International Nuclear Information System (INIS)

    Wu, Tong; Sasaki, Takashi; Hane, Kazuhiro; Akiyama, Masayuki

    2013-01-01

    This paper describes a large-scale membrane transfer process developed for the construction of large-scale membrane devices via the transfer of continuous single-crystal-silicon membranes from one substrate to another. This technique is applied for fabricating a large stroke deformable mirror. A bimorph spring array is used to generate a large air gap between the mirror membrane and the electrode. A 1.9 mm × 1.9 mm × 2 µm single-crystal-silicon membrane is successfully transferred to the electrode substrate by Au–Si eutectic bonding and the subsequent all-dry release process. This process provides an effective approach for transferring a free-standing large continuous single-crystal-silicon to a flexible suspension spring array with a large air gap. (paper)

  17. Small Scale Turbopump Manufacturing Technology and Material Processes

    Science.gov (United States)

    Alvarez, Erika; Morgan, Kristin; Wells, Doug; Zimmerman, Frank

    2011-01-01

    As part of an internal research and development project, NASA Marshall Space Flight Center (MSFC) has been developing a high specific impulse 9,000-lbf LOX/LH2 pump-fed engine testbed with the capability to throttle 10:1. A Fuel Turbopump (FTP) with the ability to operate across a speed range of 30,000-rpm to 100,000-rpm was developed and analyzed. This small size and flight-like Fuel Turbopump has completed the design and analysis phase and is currently in the manufacturing phase. This paper highlights the manufacturing and processes efforts to fabricate an approximately 20-lb turbopump with small flow passages, intricately bladed components and approximately 3-in diameter impellers. As a result of the small scale and tight tolerances of the hardware on this turbopump, several unique manufacturing and material challenges were encountered. Some of the technologies highlighted in this paper include the use of powder metallurgy technology to manufacture small impellers, electron beam welding of a turbine blisk shroud, and casting challenges. The use of risk reduction efforts such as non-destructive testing (NDT) and evaluation (NDE), fractography, material testing, and component spin testing are also discussed in this paper.

  18. 75 FR 61418 - Milk for Manufacturing Purposes and Its Production and Processing; Requirements Recommended for...

    Science.gov (United States)

    2010-10-05

    ... for Manufacturing Purposes and Its Production and Processing; Requirements Recommended for Adoption by... sanitation requirements for the production and processing of manufacturing grade milk. These Recommended... comments. SUMMARY: This document proposes to amend the recommended manufacturing milk requirements...

  19. Local sensor based on nanowire field effect transistor from inhomogeneously doped silicon on insulator

    Science.gov (United States)

    Presnov, Denis E.; Bozhev, Ivan V.; Miakonkikh, Andrew V.; Simakin, Sergey G.; Trifonov, Artem S.; Krupenin, Vladimir A.

    2018-02-01

    We present the original method for fabricating a sensitive field/charge sensor based on field effect transistor (FET) with a nanowire channel that uses CMOS-compatible processes only. A FET with a kink-like silicon nanowire channel was fabricated from the inhomogeneously doped silicon on insulator wafer very close (˜100 nm) to the extremely sharp corner of a silicon chip forming local probe. The single e-beam lithographic process with a shadow deposition technique, followed by separate two reactive ion etching processes, was used to define the narrow semiconductor nanowire channel. The sensors charge sensitivity was evaluated to be in the range of 0.1-0.2 e /√{Hz } from the analysis of their transport and noise characteristics. The proposed method provides a good opportunity for the relatively simple manufacture of a local field sensor for measuring the electrical field distribution, potential profiles, and charge dynamics for a wide range of mesoscopic objects. Diagnostic systems and devices based on such sensors can be used in various fields of physics, chemistry, material science, biology, electronics, medicine, etc.

  20. Influence of Manufacturing Processes on the Performance of Phantom Lungs

    International Nuclear Information System (INIS)

    Traub, Richard J.

    2008-01-01

    Chest counting is an important tool for estimating the radiation dose to individuals who have inhaled radioactive materials. Chest counting systems are calibrated by counting the activity in the lungs of phantoms where the activity in the phantom lungs is known. In the United States a commonly used calibration phantom was developed at the Lawrence Livermore National Laboratory and is referred to as the Livermore Torso Phantom. An important feature of this phantom is that the phantom lungs can be interchanged so that the counting system can be challenged by different combinations of radionuclides and activity. Phantom lungs are made from lung tissue substitutes whose constituents are foaming plastics and various adjuvants selected to make the lung tissue substitute similar to normal healthy lung tissue. Some of the properties of phantom lungs cannot be readily controlled by phantom lung manufacturers. Some, such as density, are a complex function of the manufacturing process, while others, such as elemental composition of the bulk plastic are controlled by the plastics manufacturer without input, or knowledge of the phantom manufacturer. Despite the fact that some of these items cannot be controlled, they can be measured and accounted for. This report describes how manufacturing processes can influence the performance of phantom lungs. It is proposed that a metric that describes the brightness of the lung be employed by the phantom lung manufacturer to determine how well the phantom lung approximates the characteristics of a human lung. For many purposes, the linear attenuation of the lung tissue substitute is an appropriate surrogate for the brightness

  1. Laboratory evaluation of hot metal de siliconizing process in ladle; Avaliacao laboratorial do processo de dessiliciacao do gusa na panela

    Energy Technology Data Exchange (ETDEWEB)

    Passos, Sergio R.M.; Furtado, Henrique S.; Bentes, Miguel A.G.; Almeida, Pedro S. de [Companhia Siderurgica Nacional, Volta Redonda, RJ (Brazil). Centro de Pesquisas

    1996-12-31

    The attractiveness of hot metal de siliconizing in ladle, relative to the process in blast furnace runner, is the previous knowledge of silicon content of hot metal, without the constraints of slag removing by skimmer met in torpedo car, and the better efficiency in low range silicon content, making easier the process controllability. Meanwhile, the main question about this technology is the extent of the resulfurization of hot metal that may occur due to process be performed after the desulfurization. This work simulates de de siliconizing process in ladle by experiments in induction furnace to compare the efficiencies of various de siliconizing agents available at CSN iron and steel making plant, and to evaluate the resulfurization intensity able to occur during the process, as well as, unexpected increasing of refractory wear. (author) 4 refs., 8 figs., 6 tabs.

  2. Wellbore manufacturing processes for in situ heat treatment processes

    Science.gov (United States)

    Davidson, Ian Alexander; Geddes, Cameron James; Rudolf, Randall Lynn; Selby, Bruce Allen; MacDonald, Duncan Charles

    2012-12-11

    A method includes making coiled tubing at a coiled tubing manufacturing unit coupled to a coiled tubing transportation system. One or more coiled tubing reels are transported from the coiled tubing manufacturing unit to one or more moveable well drilling systems using the coiled tubing transportation system. The coiled tubing transportation system runs from the tubing manufacturing unit to one or more movable well drilling systems, and then back to the coiled tubing manufacturing unit.

  3. Results from a first production of enhanced Silicon Sensor Test Structures produced by ITE Warsaw

    Energy Technology Data Exchange (ETDEWEB)

    Bergauer, T. [Institute of High Energy Physics, Austrian Academy of Sciences, Nikolsdorfergasse 18, 1050 Vienna (Austria); Dragicevic, M. [Institute of High Energy Physics, Austrian Academy of Sciences, Nikolsdorfergasse 18, 1050 Vienna (Austria)], E-mail: dragicevic@oeaw.ac.at; Frey, M. [Institut fuer Experimentelle Kernphysik (IEKP), Universitaet Karlsruhe (Thailand) (Germany); Grabiec, P.; Grodner, M. [Institute of Electron Technology (ITE), Warsaw (Poland); Haensel, S. [Institute of High Energy Physics, Austrian Academy of Sciences, Nikolsdorfergasse 18, 1050 Vienna (Austria); Hartmann, F.; Hoffmann, K.-H. [Institut fuer Experimentelle Kernphysik (IEKP), Universitaet Karlsruhe (Thailand) (Germany); Hrubec, J.; Krammer, M. [Institute of High Energy Physics, Austrian Academy of Sciences, Nikolsdorfergasse 18, 1050 Vienna (Austria); Kucharski, K. [Institute of Electron Technology (ITE), Warsaw (Poland); Macchiolo, A. [Max-Planck-Institut fuer Physik (MPI), Munich (Germany); Marczewski, J. [Institute of Electron Technology (ITE), Warsaw (Poland)

    2009-01-01

    Monitoring the manufacturing process of silicon sensors is essential to ensure stable quality of the produced detectors. During the CMS silicon sensor production we were utilising small Test Structures (TS) incorporated on the cut-away of the wafers to measure certain process-relevant parameters. Experience from the CMS production and quality assurance led to enhancements of these TS. Another important application of TS is the commissioning of new vendors. The measurements provide us with a good understanding of the capabilities of a vendor's process. A first batch of the new TS was produced at the Institute of Electron Technology in Warsaw Poland. We will first review the improvements to the original CMS test structures and then discuss a selection of important measurements performed on this first batch.

  4. Development of a process for high capacity arc heater production of silicon for solar arrays

    Science.gov (United States)

    Meyer, T. N.

    1980-01-01

    A high temperature silicon production process using existing electric arc heater technology is discussed. Silicon tetrachloride and a reductant, liquid sodium, were injected into an arc heated mixture of hydrogen and argon. Under these high temperature conditions, a very rapid reaction occurred, yielding silicon and gaseous sodium chloride. Techniques for high temperature separation and collection of the molten silicon were developed. The desired degree of separation was not achieved. The electrical, control and instrumentation, cooling water, gas, SiCl4, and sodium systems are discussed. The plasma reactor, silicon collection, effluent disposal, the gas burnoff stack, and decontamination and safety are also discussed. Procedure manuals, shakedown testing, data acquisition and analysis, product characterization, disassembly and decontamination, and component evaluation are reviewed.

  5. Characteristics of fracture during the approach process and wear mechanism of a silicon AFM tip

    International Nuclear Information System (INIS)

    Chung, Koo-Hyun; Lee, Yong-Ha; Kim, Dae-Eun

    2005-01-01

    The wear of an atomic force microscope (AFM) tip is one of the crucial issues in AFM as well as in other probe-based applications. In this work, wear tests under extremely low normal load using an AFM were conducted. Also, in order to understand the nature of silicon tip wear, the wear characteristics of crystal silicon and amorphous silicon oxide layer were investigated by a high-resolution transmission electron microscope (HRTEM). It was found that fracture of the tip readily occurred due to impact during the approach process. Experimental results showed that the impact should be below 0.1 nN s to avoid significant fracture of the tip. Also, it was observed that wear of the amorphous layer, formed at the end of the tip, occurred at the initial stage of the silicon tip damage process. Based on Archard's wear law, the wear coefficient of the amorphous layer was in the range of 0.009-0.014. As for the wear characteristics of the silicon tip, it was shown that wear occurred gradually under light normal load and the wear rate decreased with increase in the sliding distance. As for the wear mechanism of the silicon tip, oxidation wear was identified to be the most significant. It was shown that the degree of oxidation was higher under high normal load and in a nitrogen environment, oxidation of the silicon tip was reduced

  6. Research on sensor design for internet of things and laser manufacturing

    Science.gov (United States)

    Wang, Tao; Yao, Jianquan; Guo, Ling; Zhang, Yanchun

    2010-12-01

    In this paper, we will introduce the research on sensor design for IOT (Internet of Things) and laser manufacturing, and supporting the establishment of local area IOT. The main contents include studying on the structure designing of silicon micro tilt sensor, data acquisition and processing, addressing implanted and building Local Area IOT with wireless sensor network technology. At last, it is discussed the status and trends of the Internet of Things from the promoters, watchers, pessimists and doers.

  7. Proposal of a Modelling of the Innovation Process in an International Manufacturing Company

    Directory of Open Access Journals (Sweden)

    Pauline Lacom

    2017-07-01

    Full Text Available Nowadays, to cope with the competition, and to ensure the durability of their activities, companies have to be able to innovate. Manufacturing companies operating in a B2B market often perceive innovation as a technological result. However, innovation is often more characterized as a process. The needs of the users, and not only the technology, can achieve innovation. In this context, our paper intends to determine how to involve better the users in the innovation process of an international manufacturing company, which is, according to us, representative of the current manufacturing companies. The aim of our research paper is to help manufacturing companies to manage innovation led by users, and to implement their innovation process so that they will be able to set up specific tools for each action of the process. The study proposes a diagram-based language Structured Analysis and Design Technique (SADT that is based on the normative guide FD X50-271 of the French national organization for standardization (AFNOR. The SADT model we propose usefully complements this guide, to make the innovation process more understandable, practical and operational, for manufacturing companies, which are often helpless when faced with the subject. A critical analysis of the model we propose completed in a manufacturing company through semi-structured interviews of the innovation team and questionnaire for all the employees shows the application of the model in the company.

  8. Tenth Workshop on Crystalline Silicon Solar Cell Materials and Processes: A Summary of Discussion Sessions

    Energy Technology Data Exchange (ETDEWEB)

    Tan, T.; Swanson, D.; Sinton, R.; Sopori, B.

    2001-01-22

    The 10th Workshop on Silicon Solar Cell Materials and Processes was held in Copper Mountain, Colorado, on August 13-16, 2000. The workshop was attended by 85 scientists and engineers from 15 international photovoltaic (PV) companies and 24 research institutions. Review and poster presentations were augmented by discussion sessions to address the recent progress and critical issues in meeting the goals for Si in the PV Industry Roadmap. The theme of the workshop was Si Photovoltaics: 10 Years of Progress and Opportunities for the Future. Two special sessions were held: Advanced Metallization and Interconnections - covering recent advances in solar cell metallization, printed contacts and interconnections, and addressing new metallization schemes for low-cost cell interconnections; and Characterization Methods - addressing the growing need for process monitoring techniques in the PV industry. The following major issues emerged from the discussion sessions: (1) Mechanical breakage in the P V industry involves a large fraction, about 5%-10%, of the wafers. (2) The current use of Al screen-printed back-contacts appears to be incompatible with the PV Industry Roadmap requirements. (3) The PV manufacturers who use hydrogen passivation should incorporate the plasma-enhanced chemical vapor deposited (PECVD) nitride for antireflection coating and hydrogenation. (4) There is an imminent need to dissolve metallic precipitates to minimize the electrical shunt problem caused by the ''bad'' regions in wafers. (5) Industry needs equipment for automated, in-line monitoring and testing. There are simply not many tools available to industry. (6) In the Wrap-Up Session of the workshop, there was consensus to create four industry/university teams that would address critical research topics in crystalline silicon. (7) The workshop attendees unanimously agreed that the workshop has served well the PV community by promoting the fundamental understanding of industrial

  9. 3D Machine Vision and Additive Manufacturing: Concurrent Product and Process Development

    International Nuclear Information System (INIS)

    Ilyas, Ismet P

    2013-01-01

    The manufacturing environment rapidly changes in turbulence fashion. Digital manufacturing (DM) plays a significant role and one of the key strategies in setting up vision and strategic planning toward the knowledge based manufacturing. An approach of combining 3D machine vision (3D-MV) and an Additive Manufacturing (AM) may finally be finding its niche in manufacturing. This paper briefly overviews the integration of the 3D machine vision and AM in concurrent product and process development, the challenges and opportunities, the implementation of the 3D-MV and AM at POLMAN Bandung in accelerating product design and process development, and discusses a direct deployment of this approach on a real case from our industrial partners that have placed this as one of the very important and strategic approach in research as well as product/prototype development. The strategic aspects and needs of this combination approach in research, design and development are main concerns of the presentation.

  10. Fuzzy linguistic hedges for the selection of manufacturing process for prosthetic sockets

    Directory of Open Access Journals (Sweden)

    Richa Pandey

    2014-08-01

    Full Text Available In this paper, a comparison is presented between two prime methods of producing prosthetic sockets by using the fuzzy linguistic hedges approach on the qualitative feedback of Indian prosthetic users. Recent trends indicate that the Indian manufacturers have tried to adopt the newer technologies like reverse engineering (RE approach to achieve the desired goals. However, the satisfaction of the user is of utmost importance for the unique and customized products for rehabilitation. In order to analyze the effectiveness of the manufacturing approaches, user case studies are taken, based on the linguistic feedbacks, and a comparative study is conducted. Thirteen users from four different manufacturing units are taken for study and sockets made by conventional as well as RE are experimented. Fuzzy membership functions are constructed using the linguistic hedges based on the user feedbacks. An analytical hierarchy process (AHP is applied to arrive at a decision to select the manufacturing process for user satisfaction and manufacturing excellence.

  11. Defect recognition in CFRP components using various NDT methods within a smart manufacturing process

    Science.gov (United States)

    Schumacher, David; Meyendorf, Norbert; Hakim, Issa; Ewert, Uwe

    2018-04-01

    The manufacturing process of carbon fiber reinforced polymer (CFRP) components is gaining a more and more significant role when looking at the increasing amount of CFRPs used in industries today. The monitoring of the manufacturing process and hence the reliability of the manufactured products, is one of the major challenges we need to face in the near future. Common defects which arise during manufacturing process are e.g. porosity and voids which may lead to delaminations during operation and under load. To find irregularities and classify them as possible defects in an early stage of the manufacturing process is of high importance for the safety and reliability of the finished products, as well as of significant impact from an economical point of view. In this study we compare various NDT methods which were applied to similar CFRP laminate samples in order to detect and characterize regions of defective volume. Besides ultrasound, thermography and eddy current, different X-ray methods like radiography, laminography and computed tomography are used to investigate the samples. These methods are compared with the intention to evaluate their capability to reliably detect and characterize defective volume. Beyond the detection and evaluation of defects, we also investigate possibilities to combine various NDT methods within a smart manufacturing process in which the decision which method shall be applied is inherent within the process. Is it possible to design an in-line or at-line testing process which can recognize defects reliably and reduce testing time and costs? This study aims to show up opportunities of designing a smart NDT process synchronized to the production based on the concepts of smart production (Industry 4.0). A set of defective CFRP laminate samples and different NDT methods were used to demonstrate how effective defects are recognized and how communication between interconnected NDT sensors and the manufacturing process could be organized.

  12. Process for making silicon from halosilanes and halosilicons

    Science.gov (United States)

    Levin, Harry (Inventor)

    1988-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  13. Method for distributed agent-based non-expert simulation of manufacturing process behavior

    Science.gov (United States)

    Ivezic, Nenad; Potok, Thomas E.

    2004-11-30

    A method for distributed agent based non-expert simulation of manufacturing process behavior on a single-processor computer comprises the steps of: object modeling a manufacturing technique having a plurality of processes; associating a distributed agent with each the process; and, programming each the agent to respond to discrete events corresponding to the manufacturing technique, wherein each discrete event triggers a programmed response. The method can further comprise the step of transmitting the discrete events to each agent in a message loop. In addition, the programming step comprises the step of conditioning each agent to respond to a discrete event selected from the group consisting of a clock tick message, a resources received message, and a request for output production message.

  14. Ultra-high speed all-optical signal processing using silicon waveguides and a carbon nanotubes based mode-locked laser

    DEFF Research Database (Denmark)

    Ji, Hua

    This thesis concerns the use of nano-engineered silicon waveguides for ultra-high speed optical serial data signal processing. The fundamental nonlinear properties of nano-engineered silicon waveguides are characterized. Utilizing the nonlinear effect in nano-engineered silicon waveguides for dem...

  15. Ultrasonic-assisted manufacturing processes: Variational model and numerical simulations

    KAUST Repository

    Siddiq, Amir; El Sayed, Tamer

    2012-01-01

    We present a computational study of ultrasonic assisted manufacturing processes including sheet metal forming, upsetting, and wire drawing. A fully variational porous plasticity model is modified to include ultrasonic softening effects

  16. Manufacturing process design for multi commodities in agriculture

    Science.gov (United States)

    Prasetyawan, Yudha; Santosa, Andrian Henry

    2017-06-01

    High-potential commodities within particular agricultural sectors should be accompanied by maximum benefit value that can be attained by both local farmers and business players. In several cases, the business players are small-medium enterprises (SMEs) which have limited resources to perform added value process of the local commodities into the potential products. The weaknesses of SMEs such as the manual production process with low productivity, limited capacity to maintain prices, and unattractive packaging due to conventional production. Agricultural commodity is commonly created into several products such as flour, chips, crackers, oil, juice, and other products. This research was initiated by collecting data by interview method particularly to obtain the perspectives of SMEs as the business players. Subsequently, the information was processed based on the Quality Function Deployment (QFD) to determine House of Quality from the first to fourth level. A proposed design as the result of QFD was produced and evaluated with Technology Assessment Model (TAM) and continued with a revised design. Finally, the revised design was analyzed with financial perspective to obtain the cost structure of investment, operational, maintenance, and workers. The machine that performs manufacturing process, as the result of revised design, was prototyped and tested to determined initial production process. The designed manufacturing process offers IDR 337,897, 651 of Net Present Value (NPV) in comparison with the existing process value of IDR 9,491,522 based on similar production input.

  17. Process and control systems for composites manufacturing

    Science.gov (United States)

    Tsiang, T. H.; Wanamaker, John L.

    1992-01-01

    A precise control of composite material processing would not only improve part quality, but it would also directly reduce the overall manufacturing cost. The development and incorporation of sensors will help to generate real-time information for material processing relationships and equipment characteristics. In the present work, the thermocouple, pressure transducer, and dielectrometer technologies were investigated. The monitoring sensors were integrated with the computerized control system in three non-autoclave fabrication techniques: hot-press, self contained tool (self heating and pressurizing), and pressure vessel). The sensors were implemented in the parts and tools.

  18. Manufacturing of tailored tubes with a process integrated heat treatment

    Science.gov (United States)

    Hordych, Illia; Boiarkin, Viacheslav; Rodman, Dmytro; Nürnberger, Florian

    2017-10-01

    The usage of work-pieces with tailored properties allows for reducing costs and materials. One example are tailored tubes that can be used as end parts e.g. in the automotive industry or in domestic applications as well as semi-finished products for subsequent controlled deformation processes. An innovative technology to manufacture tubes is roll forming with a subsequent inductive heating and adapted quenching to obtain tailored properties in the longitudinal direction. This processing offers a great potential for the production of tubes with a wide range of properties, although this novel approach still requires a suited process design. Based on experimental data, a process simulation is being developed. The simulation shall be suitable for a virtual design of the tubes and allows for gaining a deeper understanding of the required processing. The model proposed shall predict microstructural and mechanical tube properties by considering process parameters, different geometries, batch-related influences etc. A validation is carried out using experimental data of tubes manufactured from various steel grades.

  19. Development of Integrated Programs for Aerospace-vehicle Design (IPAD): Product manufacture interactions with the design process

    Science.gov (United States)

    Crowell, H. A.

    1979-01-01

    The product manufacturing interactions with the design process and the IPAD requirements to support the interactions are described. The data requirements supplied to manufacturing by design are identified and quantified. Trends in computer-aided manufacturing are discussed and the manufacturing process of the 1980's is anticipated.

  20. Surrogate Final Technical Report for "Solar: A Photovoltaic Manufacturing Development Facility"

    Energy Technology Data Exchange (ETDEWEB)

    Farrar, Paul [State University of New York Research Foundation, Albany, NY (United States)

    2014-06-27

    The project goal to create a first-of-a-kind crystalline Silicon (c-Si) photovoltaic (PV) Manufacturing & Technology Development Facility (MDF) that will support the growth and maturation of a strong domestic PV manufacturing industry, based on innovative and differentiated technology, by ensuring industry participants can, in a timely and cost-effective manner, access cutting-edge manufacturing equipment and production expertise needed to accelerate the transition of innovative technologies from R&D into manufacturing.

  1. Doping of silicon with carbon during laser ablation process

    Science.gov (United States)

    Račiukaitis, G.; Brikas, M.; Kazlauskienė, V.; Miškinis, J.

    2006-12-01

    The effect of laser ablation on properties of remaining material in silicon was investigated. It was found that laser cutting of wafers in the air induced the doping of silicon with carbon. The effect was more distinct when using higher laser power or UV radiation. Carbon ions created bonds with silicon atoms in the depth of the material. Formation of the silicon carbide type bonds was confirmed by SIMS, XPS and AES measurements. Modeling of the carbon diffusion to clarify its depth profile in silicon was performed. Photochemical reactions of such type changed the structure of material and could be the reason of the reduced machining quality. The controlled atmosphere was applied to prevent carbonization of silicon during laser cutting.

  2. Electron beam additive manufacturing with wire - Analysis of the process

    Science.gov (United States)

    Weglowski, Marek St.; Błacha, Sylwester; Pilarczyk, Jan; Dutkiewicz, Jan; Rogal, Łukasz

    2018-05-01

    The electron beam additive manufacturing process with wire is a part of global trend to find fast and efficient methods for producing complex shapes elements from costly metal alloys such as stainless steels, nickel alloys, titanium alloys etc. whose production by other conventional technologies is unprofitable or technically impossible. Demand for additive manufacturing is linked to the development of new technologies in the automotive, aerospace and machinery industries. The aim of the presented work was to carried out research on electron beam additive manufacturing with a wire as a deposited (filler) material. The scope of the work was to investigate the influence of selected technological parameters such as: wire feed rate, beam current, travelling speed, acceleration voltage on stability of the deposition process and geometric dimensions of the padding welds. The research revealed that, at low beam currents, the deposition process is unstable. The padding weld reinforcement is non-uniform. Irregularity of the width, height and straightness of the padding welds can be observed. At too high acceleration voltage and beam current, burn-through of plate and excess penetration weld can be revealed. The achieved results and gained knowledge allowed to produce, based on EBAM with wire process, whole structure from stainless steel.

  3. Achievement report for fiscal 1997. Technological development for practical application of a solar energy power generation system/development of technology to manufacture thin film solar cells (development of technology to manufacture materials and substrates (development of technology to manufacture high-quality amorphous materials and substrates)); 1997 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu seika hokokusho. Usumaku taiyo denchi no seizo gijutsu kaihatsu, zairyo kiban seizo gijutsu kaihatsu (kohinshitsu amorphous kei zairyo kiban no seizo gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    These technological developments are intended to develop technologies to manufacture with improved quality the silicon-based thin film solar cells. In order to analyze manufacturing conditions for micro crystal silicon thin films as the narrow-gap amorphous silicon-based films, films were manufactured in the vicinity of borders of amorphous/micro crystal silicon film manufacturing conditions. The present film manufacturing did not present effects of suppressing deterioration of hydrogen diluted light. In order to elucidate the light deterioration mechanism in hydrogenated amorphous silicon films and study the suppression thereof, discussions were given on impurities in the film, including oxygen. By using an ultra high vacuum plasma CVD having a thoroughgoing baking system, an oil-free exhaust mechanism, and a raw material gas refining mechanism, impurities were added to and removed from a reaction vessel, and an ultra-high purity Si:H film was manufactured, which has been removed of impurities from the raw material gas, resulting in reduction of O, C and N standing no comparison. According to the result of a light irradiation experiment on an ultra-high purity film obtained under an accelerated deteriorating condition by using a pulse laser, the model assuming the light induced defect and the pair of impure atoms has been denied. (NEDO)

  4. Nanocomposited coatings produced by laser-assisted process to prevent silicone hydogels from protein fouling and bacterial contamination

    International Nuclear Information System (INIS)

    Huang, Guobang; Chen, Yi; Zhang, Jin

    2016-01-01

    Graphical abstract: Nanocomposited-coating was deposited on silicone hydrogel by using the matrix-assisted pulsed laser evaporation (MAPLE) process. The ZnO–PEG nanocomposited coating reduces over 50% protein absorption on silicone hydrogel, and can inhibit the bacterial growth efficiently. - Highlights: • We developed a nanocomposited coating to prevent silicone hydrogel from biofouling. • Matrix-assisted pulsed laser evaporation can deposit inorganic–organic nanomaterials. • The designed nanocomposited coating reduces protein absorption by over 50%. • The designed nanocomposited coating shows significant antimicrobial efficiency. - Abstract: Zinc oxide (ZnO) nanoparticles incorporating with polyethylene glycol (PEG) were deposited together on the surface of silicone hydrogel through matrix-assisted pulsed laser evaporation (MAPLE). In this process, frozen nanocomposites (ZnO–PEG) in isopropanol were irradiated under a pulsed Nd:YAG laser at 532 nm for 1 h. Our results indicate that the MAPLE process is able to maintain the chemical backbone of polymer and prevent the nanocomposite coating from contamination. The ZnO–PEG nanocomposited coating reduces over 50% protein absorption on silicone hydrogel. The cytotoxicity study shows that the ZnO–PEG nanocomposites deposited on silicone hydrogels do not impose the toxic effect on mouse NIH/3T3 cells. In addition, MAPLE-deposited ZnO–PEG nanocomposites can inhibit the bacterial growth significantly.

  5. Readiness Assessment Towards Smart Manufacturing System for Tuna Processing Industry in Indonesia

    Science.gov (United States)

    Anggrahini, D.; Kurniati, N.; Karningsih, P. D.; Parenreng, S. M.; Syahroni, N.

    2018-04-01

    Marine product processing is one of the top priority clusters in the national development. Tuna, as a kind of deep ocean fishes, has the highest number of production that significantly increased throughout the years. Indonesia government encourages tuna processing industry, which are mostly dominated by small to medium enterprises, to grow continuously. Nowadays, manufacturers are facing substantial challenges in adopting modern system and technology that will lead a significant improvement through the internet of things (IoT). A smart factory transform integrated manufacturing process, in a high speed processing to respond customer needs. It has some positive impacts, such as increasing productivity, reducing set up time, shortening marketing and other support activities, hence the process is being more flexible and efficient. To implement smart manufacturing system, factories should know the readiness at any level of them, technology capability and strategy appropriateness. This exploratory study aims to identify the criterias, and develop an assessment tools to measure the level towards smart factory.

  6. Silicon Carbide Corrugated Mirrors for Space Telescopes, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Trex Enterprises Corporation (Trex) proposes technology development to manufacture monolithic, lightweight silicon carbide corrugated mirrors (SCCM) suitable for...

  7. Simulation of the proton implantation process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Faccinelli, Martin; Hadley, Peter [Graz University of Technology, Institute of Solid State Physics (Austria); Jelinek, Moriz; Wuebben, Thomas [Infineon Technologies Austria AG, Villach (Austria); Laven, Johannes G.; Schulze, Hans-Joachim [Infineon Technologies AG, Neubiberg (Germany)

    2016-12-15

    Proton implantation is one of many processes used to ad-just the electronic and mechanical properties of silicon. Though the process has been extensively studied, it is still not clear which exact defects are formed and what their concentration profiles are. In this article, a simulation method is presented, which provides a better understanding of the implantation process. The simulation takes into account the diffusion of mobile point defects and their reactions to defect complexes, as well as the dissociation of defect complexes. Concentration profiles for a set of defect complexes after an implantation at 400 keV and a dose of 5 x 10{sup 14} H{sup +}cm{sup -2} are presented. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Evaluation of marginal and internal gap of three-unit metal framework according to subtractive manufacturing and additive manufacturing of CAD/CAM systems.

    Science.gov (United States)

    Kim, Dong-Yeon; Kim, Eo-Bin; Kim, Hae-Young; Kim, Ji-Hwan; Kim, Woong-Chul

    2017-12-01

    To evaluate the fit of a three-unit metal framework of fixed dental prostheses made by subtractive and additive manufacturing. One master model of metal was fabricated. Twenty silicone impressions were made on the master die, working die of 10 poured with Type 4 stone, and working die of 10 made of scannable stone. Ten three-unit wax frameworks were fabricated by wax-up from Type IV working die. Stereolithography files of 10 three-unit frameworks were obtained using a model scanner and three-dimensional design software on a scannable working die. The three-unit wax framework was fabricated using subtractive manufacturing (SM) by applying the prepared stereolithography file, and the resin framework was fabricated by additive manufacturing (AM); both used metal alloy castings for metal frameworks. Marginal and internal gap were measured using silicone replica technique and digital microscope. Measurement data were analyzed by Kruskal-Wallis H test and Mann-Whitney U-test (α=.05). The lowest and highest gaps between premolar and molar margins were in the SM group and the AM group, respectively. There was a statistically significant difference in the marginal gap among the 3 groups ( P manufacturing are clinically applicable. However, additive manufacturing requires more research to be applied clinically.

  9. Tolerance analysis in manufacturing using process capability ratio with measurement uncertainty

    DEFF Research Database (Denmark)

    Mahshid, Rasoul; Mansourvar, Zahra; Hansen, Hans Nørgaard

    2017-01-01

    . In this paper, a new statistical analysis was applied to manufactured products to assess achieved tolerances when the process is known while using capability ratio and expanded uncertainty. The analysis has benefits for process planning, determining actual precision limits, process optimization, troubleshoot......Tolerance analysis provides valuable information regarding performance of manufacturing process. It allows determining the maximum possible variation of a quality feature in production. Previous researches have focused on application of tolerance analysis to the design of mechanical assemblies...... malfunctioning existing part. The capability measure is based on a number of measurements performed on part’s quality variable. Since the ratio relies on measurements, elimination of any possible error has notable negative impact on results. Therefore, measurement uncertainty was used in combination with process...

  10. Micro-architecture embedding ultra-thin interlayer to bond diamond and silicon via direct fusion

    Science.gov (United States)

    Kim, Jong Cheol; Kim, Jongsik; Xin, Yan; Lee, Jinhyung; Kim, Young-Gyun; Subhash, Ghatu; Singh, Rajiv K.; Arjunan, Arul C.; Lee, Haigun

    2018-05-01

    The continuous demand on miniaturized electronic circuits bearing high power density illuminates the need to modify the silicon-on-insulator-based chip architecture. This is because of the low thermal conductivity of the few hundred nanometer-thick insulator present between the silicon substrate and active layers. The thick insulator is notorious for releasing the heat generated from the active layers during the operation of devices, leading to degradation in their performance and thus reducing their lifetime. To avoid the heat accumulation, we propose a method to fabricate the silicon-on-diamond (SOD) microstructure featured by an exceptionally thin silicon oxycarbide interlayer (˜3 nm). While exploiting the diamond as an insulator, we employ spark plasma sintering to render the silicon directly fused to the diamond. Notably, this process can manufacture the SOD microarchitecture via a simple/rapid way and incorporates the ultra-thin interlayer for minute thermal resistance. The method invented herein expects to minimize the thermal interfacial resistance of the devices and is thus deemed as a breakthrough appealing to the current chip industry.

  11. Mass production of silicon pore optics for ATHENA

    Science.gov (United States)

    Wille, Eric; Bavdaz, Marcos; Collon, Maximilien

    2016-07-01

    Silicon Pore Optics (SPO) provide high angular resolution with low effective area density as required for the Advanced Telescope for High Energy Astrophysics (Athena). The x-ray telescope consists of several hundreds of SPO mirror modules. During the development of the process steps of the SPO technology, specific requirements of a future mass production have been considered right from the beginning. The manufacturing methods heavily utilise off-the-shelf equipment from the semiconductor industry, robotic automation and parallel processing. This allows to upscale the present production flow in a cost effective way, to produce hundreds of mirror modules per year. Considering manufacturing predictions based on the current technology status, we present an analysis of the time and resources required for the Athena flight programme. This includes the full production process starting with Si wafers up to the integration of the mirror modules. We present the times required for the individual process steps and identify the equipment required to produce two mirror modules per day. A preliminary timeline for building and commissioning the required infrastructure, and for flight model production of about 1000 mirror modules, is presented.

  12. Production of electronic grade lunar silicon by disproportionation of silicon difluoride

    Science.gov (United States)

    Agosto, William N.

    1993-01-01

    Waldron has proposed to extract lunar silicon by sodium reduction of sodium fluorosilicate derived from reacting sodium fluoride with lunar silicon tetrafluoride. Silicon tetrafluoride is obtained by the action of hydrofluoric acid on lunar silicates. While these reactions are well understood, the resulting lunar silicon is not likely to meet electronic specifications of 5 nines purity. Dale and Margrave have shown that silicon difluoride can be obtained by the action of silicon tetrafluoride on elemental silicon at elevated temperatures (1100-1200 C) and low pressures (1-2 torr). The resulting silicon difluoride will then spontaneously disproportionate into hyperpure silicon and silicon tetrafluoride in vacuum at approximately 400 C. On its own merits, silicon difluoride polymerizes into a tough waxy solid in the temperature range from liquid nitrogen to about 100 C. It is the silicon analog of teflon. Silicon difluoride ignites in moist air but is stable under lunar surface conditions and may prove to be a valuable industrial material that is largely lunar derived for lunar surface applications. The most effective driver for lunar industrialization may be the prospects for industrial space solar power systems in orbit or on the moon that are built with lunar materials. Such systems would require large quantities of electronic grade silicon or compound semiconductors for photovoltaics and electronic controls. Since silicon is the most abundant semimetal in the silicate portion of any solar system rock (approximately 20 wt percent), lunar silicon production is bound to be an important process in such a solar power project. The lunar silicon extraction process is discussed.

  13. An Introduction to Intelligent Processing Programs Developed by the Air Force Manufacturing Technology Directorate

    Science.gov (United States)

    Sampson, Paul G.; Sny, Linda C.

    1992-01-01

    The Air Force has numerous on-going manufacturing and integration development programs (machine tools, composites, metals, assembly, and electronics) which are instrumental in improving productivity in the aerospace industry, but more importantly, have identified strategies and technologies required for the integration of advanced processing equipment. An introduction to four current Air Force Manufacturing Technology Directorate (ManTech) manufacturing areas is provided. Research is being carried out in the following areas: (1) machining initiatives for aerospace subcontractors which provide for advanced technology and innovative manufacturing strategies to increase the capabilities of small shops; (2) innovative approaches to advance machine tool products and manufacturing processes; (3) innovative approaches to advance sensors for process control in machine tools; and (4) efforts currently underway to develop, with the support of industry, the Next Generation Workstation/Machine Controller (Low-End Controller Task).

  14. Solar energy innovation and Silicon Valley

    Science.gov (United States)

    Kammen, Daniel M.

    2015-03-01

    The growth of the U. S. and global solar energy industry depends on a strong relationship between science and engineering innovation, manufacturing, and cycles of policy design and advancement. The mixture of the academic and industrial engine of innovation that is Silicon Valley, and the strong suite of environmental policies for which California is a leader work together to both drive the solar energy industry, and keep Silicon Valley competitive as China, Europe and other area of solar energy strength continue to build their clean energy sectors.

  15. Extraterrestrial processing and manufacturing of large space systems. Volume 3: Executive summary

    Science.gov (United States)

    Miller, R. H.; Smith, D. B. S.

    1979-01-01

    Facilities and equipment are defined for refining processes to commercial grade of lunar material that is delivered to a 'space manufacturing facility' in beneficiated, primary processed quality. The manufacturing facilities and the equipment for producing elements of large space systems from these materials and providing programmatic assessments of the concepts are also defined. In-space production processes of solar cells (by vapor deposition) and arrays, structures and joints, conduits, waveguides, RF equipment radiators, wire cables, converters, and others are described.

  16. Process Modeling and Validation for Metal Big Area Additive Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Simunovic, Srdjan [ORNL; Nycz, Andrzej [ORNL; Noakes, Mark W. [ORNL; Chin, Charlie [Dassault Systemes; Oancea, Victor [Dassault Systemes

    2017-05-01

    Metal Big Area Additive Manufacturing (mBAAM) is a new additive manufacturing (AM) technology based on the metal arc welding. A continuously fed metal wire is melted by an electric arc that forms between the wire and the substrate, and deposited in the form of a bead of molten metal along the predetermined path. Objects are manufactured one layer at a time starting from the base plate. The final properties of the manufactured object are dependent on its geometry and the metal deposition path, in addition to depending on the basic welding process parameters. Computational modeling can be used to accelerate the development of the mBAAM technology as well as a design and optimization tool for the actual manufacturing process. We have developed a finite element method simulation framework for mBAAM using the new features of software ABAQUS. The computational simulation of material deposition with heat transfer is performed first, followed by the structural analysis based on the temperature history for predicting the final deformation and stress state. In this formulation, we assume that two physics phenomena are coupled in only one direction, i.e. the temperatures are driving the deformation and internal stresses, but their feedback on the temperatures is negligible. The experiment instrumentation (measurement types, sensor types, sensor locations, sensor placements, measurement intervals) and the measurements are presented. The temperatures and distortions from the simulations show good correlation with experimental measurements. Ongoing modeling work is also briefly discussed.

  17. Correlation of NTD-silicon rod and slice resistivity

    International Nuclear Information System (INIS)

    Wolverton, W.M.

    1984-01-01

    Neutron transmutation doped silicon is an electronic material which presents an opportunity to explore a high level of resistivity characterization. This is due to its excellent uniformity of dopant concentration. Appropriate resistivity measurements on the ingot raw material can be used as a predictor of slice resistivity. Correlation of finished NTD rod (i.e. ingot) resistivity to as-cut slice resistivity (after the sawing process) is addressed in the scope of this paper. Empirical data show that the shift of slice-center resistivity compared to rod-end center resistivity is a function of a new kind of rod radial-resistivity gradient. This function has two domains, and most rods are in domain ''A''. Correlating equations show how to significantly improve the prediction of slice resistivity of rods in domain ''A''. The new rod resistivity specifications have resulted in manufacturing economies in the production of NTD silicon slices

  18. 27 CFR 40.1 - Manufacture of tobacco products, cigarette papers and tubes, and processed tobacco.

    Science.gov (United States)

    2010-04-01

    ... 27 Alcohol, Tobacco Products and Firearms 2 2010-04-01 2010-04-01 false Manufacture of tobacco... MANUFACTURE OF TOBACCO PRODUCTS, CIGARETTE PAPERS AND TUBES, AND PROCESSED TOBACCO Scope of Regulations § 40.1 Manufacture of tobacco products, cigarette papers and tubes, and processed tobacco. This part contains...

  19. Microstructure and wear behavior of friction stir processed cast hypereutectic aluminum silicon

    Directory of Open Access Journals (Sweden)

    Ahmad Rosli

    2017-01-01

    Full Text Available Hypereutectic as-cast Al-18Si-Cu-Ni alloy was subjected to friction stir processing (FSP. The resultant effect of FSP on the alloy was evaluated by microstructure analysis and wear tests (dry sliding. A significant microstructural modification and enhancement in wear behavior of Al-18Si-Cu-Ni alloy was recorded after friction stir processing. Wear resistance improvement was related to considerable modification in size, morphology and distribution of silicon particles, and hardness improvement. It was found that lower tool rotation speed was more effective to refine silicon particles and in turn increase wear resistance. Minimum Si particle mean area of about 47.8 µm2, and wear rate of 0.0155 mg/m was achieved.

  20. Simulation of a silicon neutron detector coated with TiB2 absorber

    International Nuclear Information System (INIS)

    Krapohl, D; Nilsson, H-E; Petersson, S; Slavicek, T; Thungström, G; Pospisil, S

    2012-01-01

    Neutron radiation cannot be directly detected in semiconductor detectors and therefore needs converter layers. Planar clean-room processing can be used in the manufacturing process of semiconductor detectors with metal layers to produce a cost-effective device. We used the Geant4 Monte-Carlo toolkit to simulate the performance of a semiconductor neutron detector. A silicon photo-diode was coated with vapour deposited titanium, aluminium thin films and a titaniumdiboride (TiB 2 ) neutron absorber layer. The neutron capture reaction 10B(n, alpha)7Li is taken advantage of to create charged particles that can be counted. Boron-10 has a natural abundance of about SI 19.8%. The emitted alpha particles are absorbed in the underlying silicon detector. We varied the thickness of the converter layer and ran the simulation with a thermal neutron source in order to find the best efficiency of the TiB 2 converter layer and optimize the clean room process.

  1. Automated input data management in manufacturing process simulation

    OpenAIRE

    Ettefaghian, Alireza

    2015-01-01

    Input Data Management (IDM) is a time consuming and costly process for Discrete Event Simulation (DES) projects. Input Data Management is considered as the basis of real-time process simulation (Bergmann, Stelzer and Strassburger, 2011). According to Bengtsson et al. (2009), data input phase constitutes on the average about 31% of the time of an entire simulation project. Moreover, the lack of interoperability between manufacturing applications and simulation software leads to a high cost to ...

  2. A factory concept for processing and manufacturing with lunar material

    Science.gov (United States)

    Driggers, G. W.

    1977-01-01

    A conceptual design for an orbital factory sized to process 1.5 million metric tons per year of raw lunar fines into 0.3 million metric tons of manufacturing materials is presented. A conservative approach involving application of present earth-based technology leads to a design devoid of new inventions. Earth based counterparts to the factory machinery were used to generate subsystem masses and lumped parameters for volume and mass estimates. The results are considered to be conservative since technologies more advanced than those assumed are presently available in many areas. Some attributes of potential space processing technologies applied to material refinement and component manufacture are discussed.

  3. Advanced Manufacturing Processes Laboratory Building 878 hazards assessment document

    Energy Technology Data Exchange (ETDEWEB)

    Wood, C.; Thornton, W.; Swihart, A.; Gilman, T.

    1994-07-01

    The introduction of the hazards assessment process is to document the impact of the release of hazards at the Advanced Manufacturing Processes Laboratory (AMPL) that are significant enough to warrant consideration in Sandia National Laboratories` operational emergency management program. This hazards assessment is prepared in accordance with the Department of Energy Order 5500.3A requirement that facility-specific hazards assessments be prepared, maintained, and used for emergency planning purposes. This hazards assessment provides an analysis of the potential airborne release of chemicals associated with the operations and processes at the AMPL. This research and development laboratory develops advanced manufacturing technologies, practices, and unique equipment and provides the fabrication of prototype hardware to meet the needs of Sandia National Laboratories, Albuquerque, New Mexico (SNL/NM). The focus of the hazards assessment is the airborne release of materials because this requires the most rapid, coordinated emergency response on the part of the AMPL, SNL/NM, collocated facilities, and surrounding jurisdiction to protect workers, the public, and the environment.

  4. Advanced Manufacturing Processes Laboratory Building 878 hazards assessment document

    International Nuclear Information System (INIS)

    Wood, C.; Thornton, W.; Swihart, A.; Gilman, T.

    1994-07-01

    The introduction of the hazards assessment process is to document the impact of the release of hazards at the Advanced Manufacturing Processes Laboratory (AMPL) that are significant enough to warrant consideration in Sandia National Laboratories' operational emergency management program. This hazards assessment is prepared in accordance with the Department of Energy Order 5500.3A requirement that facility-specific hazards assessments be prepared, maintained, and used for emergency planning purposes. This hazards assessment provides an analysis of the potential airborne release of chemicals associated with the operations and processes at the AMPL. This research and development laboratory develops advanced manufacturing technologies, practices, and unique equipment and provides the fabrication of prototype hardware to meet the needs of Sandia National Laboratories, Albuquerque, New Mexico (SNL/NM). The focus of the hazards assessment is the airborne release of materials because this requires the most rapid, coordinated emergency response on the part of the AMPL, SNL/NM, collocated facilities, and surrounding jurisdiction to protect workers, the public, and the environment

  5. Customer-driven manufacturing in the food processing industry

    NARCIS (Netherlands)

    Donk, D.P. van

    2000-01-01

    Food processing industry copes with high logistical demands from its customers. This paper studies a company changing to more customer (order) driven manufacturing. In order to help decide which products should be made to order and which made to stock, a frame is developed and applied to find and

  6. Thermoelectric characteristics of Pt-silicide/silicon multi-layer structured p-type silicon

    International Nuclear Information System (INIS)

    Choi, Wonchul; Jun, Dongseok; Kim, Soojung; Shin, Mincheol; Jang, Moongyu

    2015-01-01

    Electric and thermoelectric properties of silicide/silicon multi-layer structured devices were investigated with the variation of silicide/silicon heterojunction numbers from 3 to 12 layers. For the fabrication of silicide/silicon multi-layered structure, platinum and silicon layers are repeatedly sputtered on the (100) silicon bulk substrate and rapid thermal annealing is carried out for the silicidation. The manufactured devices show ohmic current–voltage (I–V) characteristics. The Seebeck coefficient of bulk Si is evaluated as 195.8 ± 15.3 μV/K at 300 K, whereas the 12 layered silicide/silicon multi-layer structured device is evaluated as 201.8 ± 9.1 μV/K. As the temperature increases to 400 K, the Seebeck coefficient increases to 237.2 ± 4.7 μV/K and 277.0 ± 1.1 μV/K for bulk and 12 layered devices, respectively. The increase of Seebeck coefficient in multi-layered structure is mainly attributed to the electron filtering effect due to the Schottky barrier at Pt-silicide/silicon interface. At 400 K, the thermal conductivity is reduced by about half of magnitude compared to bulk in multi-layered device which shows the efficient suppression of phonon propagation by using Pt-silicide/silicon hetero-junctions. - Highlights: • Silicide/silicon multi-layer structured is proposed for thermoelectric devices. • Electric and thermoelectric properties with the number of layer are investigated. • An increase of Seebeck coefficient is mainly attributed the Schottky barrier. • Phonon propagation is suppressed with the existence of Schottky barrier. • Thermal conductivity is reduced due to the suppression of phonon propagation

  7. Fundamental Aspects of Selective Melting Additive Manufacturing Processes

    Energy Technology Data Exchange (ETDEWEB)

    van Swol, Frank B. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Miller, James E. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2014-12-01

    Certain details of the additive manufacturing process known as selective laser melting (SLM) affect the performance of the final metal part. To unleash the full potential of SLM it is crucial that the process engineer in the field receives guidance about how to select values for a multitude of process variables employed in the building process. These include, for example, the type of powder (e.g., size distribution, shape, type of alloy), orientation of the build axis, the beam scan rate, the beam power density, the scan pattern and scan rate. The science-based selection of these settings con- stitutes an intrinsically challenging multi-physics problem involving heating and melting a metal alloy, reactive, dynamic wetting followed by re-solidification. In addition, inherent to the process is its considerable variability that stems from the powder packing. Each time a limited number of powder particles are placed, the stacking is intrinsically different from the previous, possessing a different geometry, and having a different set of contact areas with the surrounding particles. As a result, even if all other process parameters (scan rate, etc) are exactly the same, the shape and contact geometry and area of the final melt pool will be unique to that particular configuration. This report identifies the most important issues facing SLM, discusses the fundamental physics associated with it and points out how modeling can support the additive manufacturing efforts.

  8. Trends in the use of silicone hydrogel contact lenses for daily wear.

    Science.gov (United States)

    Efron, Nathan; Morgan, Philip B

    2008-10-01

    Although the first silicone hydrogel contact lenses introduced onto the world contact lens market in 1999 held approval for both daily wear and extended wear use, the latter modality was promoted much more heavily by the manufacturers. The first silicone hydrogel lenses specifically promoted for daily wear were introduced in 2003. Data that we have gathered from annual contact lens fitting surveys demonstrate an immediate increase in market share whereby this lens type now represents more than one-third of soft lens fits in the United Kingdom. The success of this lens category is attributed to the health and safety benefits of silicone hydrogel materials and the positive impact of lens manufacturers by way of the effective marketing and distribution of these products.

  9. Adaptive Process Controls and Ultrasonics for High Temperature PEM MEA Manufacture

    Energy Technology Data Exchange (ETDEWEB)

    Walczyk, Daniel F. [Rensselaer Polytechnic Inst., Troy, NY (United States)

    2015-08-26

    The purpose of this 5-year DOE-sponsored project was to address major process bottlenecks associated with fuel cell manufacturing. New technologies were developed to significantly reduce pressing cycle time for high temperature PEM membrane electrode assembly (MEA) through the use of novel, robust ultrasonic (U/S) bonding processes along with low temperature (<100°C) PEM MEAs. In addition, greater manufacturing uniformity and performance was achieved through (a) an investigation into the causes of excessive variation in ultrasonically and thermally bonded MEAs using more diagnostics applied during the entire fabrication and cell build process, and (b) development of rapid, yet simple quality control measurement techniques for use by industry.

  10. Research on Marketing Channel of Mobile Manufacturer Based on Analytic Hierarchy Process

    Institute of Scientific and Technical Information of China (English)

    XIONG Hui; LI Shi-ming; LAN Yong

    2006-01-01

    Research on "marketing channel" of mobile attracts much attention in these years,but there're only few articles referring to how to optimize the disposition of channel resources for mobile manufacturers. Based on a typically multiplex marketing channel system of mobile manufacturer, the analytic hierarchy process (AHP) structure model is established. Through the judgment matrix, simple and total hierarchy arrangement, consistent test, this paper gets the weight of each kind of marketing channel of mobile manufacturer. It provides the practical reference value for mobile manufacturers to distribute resources of marketing channels.

  11. PROCESS PERFORMANCE EVALUATION USING HISTOGRAM AND TAGUCHI TECHNIQUE IN LOCK MANUFACTURING COMPANY

    Directory of Open Access Journals (Sweden)

    Hagos Berhane

    2013-12-01

    Full Text Available Process capability analysis is a vital part of an overall quality improvement program. It is a technique that has application in many segments of the product cycle, including product and process design, vendor sourcing, production or manufacturing planning, and manufacturing. Frequently, a process capability study involves observing a quality characteristic of the product. Since this information usually pertains to the product rather than the process, this analysis should strictly speaking be called a product analysis study. A true process capability study in this context would involve collecting data that relates to process parameters so that remedial actions can be identified on a timely basis. The present study attempts to analyze performance of drilling, pressing, and reaming operations carried out for the manufacturing of two major lock components viz. handle and lever plate, at Gaurav International, Aligarh (India. The data collected for depth of hole on handle, central hole diameter, and key hole diameter are used to construct histogram. Next, the information available in frequency distribution table, the process mean, process capability from calculations and specification limits provided by the manufacturing concern are used with Taguchi technique. The data obtained from histogram and Taguchi technique combined are used to evaluate the performance of the manufacturing process. Results of this study indicated that the performance of all the processes used to produce depth of hole on handle, key hole diameter, and central hole diameter are potentially incapable as the process capability indices are found to be 0.54, 0.54 and 0.76 respectively. The number of nonconforming parts expressed in terms of parts per million (ppm that have fallen out of the specification limits are found to be 140000, 26666.66, and 146666.66 for depth of hole on handle, central hole diameter, and key hole diameter respectively. As a result, the total loss incurred

  12. The use of LCA for modelling sustainability and environmental impact of manufacturing processes

    Energy Technology Data Exchange (ETDEWEB)

    Culaba, A.; Purvis, M. [Portsmouth Univ. (United Kingdom). Dept. of Mechanical and Manufacturing Engineering

    1995-12-31

    Most industries rely significantly on natural resources for raw materials and energy requirements. As a consequence of manufacturing activities, various pollutants are generated in the process. While effects on the environment can be detrimental, wastes and emissions account for a high percentage loss in the overall material balance. Unless these unnecessary losses are minimized and recovered, the environment would continue to be disadvantaged and long-term supply of raw materials and energy would likewise be affected. The key to the analysis of such problems concerns generalised procedures for the modelling of the sustainable use of resources in manufacturing processes and the development of associated sustainability criteria. This requires identifying the various aspects of manufacturing from the time the raw materials are extracted until they have been processed into products and then used or consumed and finally disposed of. The use of life cycle assessment (LCA) methodology encompasses these analyses and that of the identification of environmental effects associated with every stage of the manufacturing process. The presentation concludes that LCA is a very useful and effective tool in providing planners, legislator and decision-makers with the necessary information on the probable impacts of manufacture on the environment as well as underlying legislation, ecological, health standards and emission limits. (author)

  13. The use of LCA for modelling sustainability and environmental impact of manufacturing processes

    Energy Technology Data Exchange (ETDEWEB)

    Culaba, A; Purvis, M [Portsmouth Univ. (United Kingdom). Dept. of Mechanical and Manufacturing Engineering

    1996-12-31

    Most industries rely significantly on natural resources for raw materials and energy requirements. As a consequence of manufacturing activities, various pollutants are generated in the process. While effects on the environment can be detrimental, wastes and emissions account for a high percentage loss in the overall material balance. Unless these unnecessary losses are minimized and recovered, the environment would continue to be disadvantaged and long-term supply of raw materials and energy would likewise be affected. The key to the analysis of such problems concerns generalised procedures for the modelling of the sustainable use of resources in manufacturing processes and the development of associated sustainability criteria. This requires identifying the various aspects of manufacturing from the time the raw materials are extracted until they have been processed into products and then used or consumed and finally disposed of. The use of life cycle assessment (LCA) methodology encompasses these analyses and that of the identification of environmental effects associated with every stage of the manufacturing process. The presentation concludes that LCA is a very useful and effective tool in providing planners, legislator and decision-makers with the necessary information on the probable impacts of manufacture on the environment as well as underlying legislation, ecological, health standards and emission limits. (author)

  14. Radiation hardness of silicon detectors for collider experiments

    International Nuclear Information System (INIS)

    Golutvin, I.; Cheremukhin, A.; Fefelova, E.

    1995-01-01

    The silicon planar detectors before and after fast neutron irradiation ( n o> = 1.35 MeV) at room temperature have been investigated. Maximal neutron fluence has been 8 · 10 13 cm -2 . The detectors have been manufactured of the high resistivity (1 : 10 k Ohm · cm) n-type float-zone silicon (FZ-Si) with the orientation supplied by two different producers: WACKER CHEMITRONIC and Zaporojie Titanium-Magnesium Factory (ZTMF). The influence of fast neutron irradiation of the main parameters of the starting silicon before the technological high temperature treatment has been investigated as well. 30 refs., 17 figs., 5 tabs

  15. Silicon materials outlook study for 1980-85 calendar years

    Energy Technology Data Exchange (ETDEWEB)

    Costogue, E.; Ferber, R.; Hasbach, W.; Pellin, R.; Yaws, C.

    1979-11-01

    Photovoltaic solar cell arrays converting solar energy into electrical energy can become a cost-effective, alternative energy source provided that an adequate supply of low-priced solar cell materials and automated fabrication techniques are available. Presently, the photovoltaic industry is dependent upon polycrystalline silicon which is produced primarily for the discrete semiconductor device industry. This dependency is expected to continue until DOE-sponsored new technology developments mature. Recent industry forecasts have predicted a limited supply of polycrystalline silicon material and a shortage could occur in the early 80's. The Jet Propulsion Laboratory's Technology Development and Application Lead Center formed an ad hoc committee at JPL, SERI and consultant personnel to conduct interviews with key polycrystalline manufacturers and a large cross-section of single crystal ingot growers and wafer manufacturers. Industry consensus and conclusions reached from the analysis of the data obtained by the committee are reported. The highlight of the study is that there is a high probability of polycrystalline silicon shortage by the end of CY 1982 and a strong seller's market after CY 1981 which will foster price competition for available silicon.

  16. Evaluation of polymer micro parts produced by additive manufacturing processes using vat photopolymerization method

    DEFF Research Database (Denmark)

    Davoudinejad, Ali; Pedersen, David Bue; Tosello, Guido

    2017-01-01

    Micro manufacturing scale feature production by Additive Manufacturing (AM) processes for the direct production of miniaturized polymer components is analysed in this work. The study characterizes the AM processes for polymer micro parts productions using the vat photopolymerization method...

  17. AN OVERVIEW OF PHARMACEUTICAL PROCESS VALIDATION AND PROCESS CONTROL VARIABLES OF TABLETS MANUFACTURING PROCESSES IN INDUSTRY

    OpenAIRE

    Mahesh B. Wazade*, Sheelpriya R. Walde and Abhay M. Ittadwar

    2012-01-01

    Validation is an integral part of quality assurance; the product quality is derived from careful attention to a number of factors including selection of quality parts and materials, adequate product and manufacturing process design, control of the process variables, in-process and end-product testing. Recently validation has become one of the pharmaceutical industry’s most recognized and discussed subjects. It is a critical success factor in product approval and ongoing commercialization, fac...

  18. A Revival of Waste: Atmospheric Pressure Nitrogen Plasma Jet Enhanced Jumbo Silicon/Silicon Carbide Composite in Lithium Ion Batteries.

    Science.gov (United States)

    Chen, Bing-Hong; Chuang, Shang-I; Liu, Wei-Ren; Duh, Jenq-Gong

    2015-12-30

    In this study, a jumbo silicon/silicon carbide (Si/SiC) composite (JSC), a novel anode material source, was extracted from solar power industry cutting waste and used as a material for lithium-ion batteries (LIBs), instead of manufacturing the nanolized-Si. Unlike previous methods used for preventing volume expansion and solid electrolyte interphase (SEI), the approach proposed here simply entails applying surface modification to JSC-based electrodes by using nitrogen-atmospheric pressure plasma jet (N-APPJ) treatment process. Surface organic bonds were rearranged and N-doped compounds were formed on the electrodes through applying different plasma treatment durations, and the qualitative examinations of before/after plasma treatment were identified by X-ray photoelectron spectroscopy (XPS) and electron probe microanalyzer (EPMA). The surface modification resulted in the enhancement of electrochemical performance with stable capacity retention and high Coulombic efficiency. In addition, depth profile and scanning electron microscope (SEM) images were executed to determine the existence of Li-N matrix and how the nitrogen compounds change the surface conditions of the electrodes. The N-APPJ-induced rapid surface modification is a major breakthrough for processing recycled waste that can serve as anode materials for next-generation high-performance LIBs.

  19. Nano integrated circuit process

    International Nuclear Information System (INIS)

    Yoon, Yung Sup

    2004-02-01

    This book contains nine chapters, which are introduction of manufacture of semiconductor chip, oxidation such as Dry-oxidation, wet oxidation, oxidation model and oxide film, diffusion like diffusion process, diffusion equation, diffusion coefficient and diffusion system, ion implantation, including ion distribution, channeling, multiimplantation and masking and its system, sputtering such as CVD and PVD, lithography, wet etch and dry etch, interconnection and flattening like metal-silicon connection, silicide, multiple layer metal process and flattening, an integrated circuit process, including MOSFET and CMOS.

  20. Nano integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Yoon, Yung Sup

    2004-02-15

    This book contains nine chapters, which are introduction of manufacture of semiconductor chip, oxidation such as Dry-oxidation, wet oxidation, oxidation model and oxide film, diffusion like diffusion process, diffusion equation, diffusion coefficient and diffusion system, ion implantation, including ion distribution, channeling, multiimplantation and masking and its system, sputtering such as CVD and PVD, lithography, wet etch and dry etch, interconnection and flattening like metal-silicon connection, silicide, multiple layer metal process and flattening, an integrated circuit process, including MOSFET and CMOS.

  1. Design of production process main shaft process with lean manufacturing to improve productivity

    Science.gov (United States)

    Siregar, I.; Nasution, A. A.; Andayani, U.; Anizar; Syahputri, K.

    2018-02-01

    This object research is one of manufacturing companies that produce oil palm machinery parts. In the production process there is delay in the completion of the Main shaft order. Delays in the completion of the order indicate the low productivity of the company in terms of resource utilization. This study aimed to obtain a draft improvement of production processes that can improve productivity by identifying and eliminating activities that do not add value (non-value added activity). One approach that can be used to reduce and eliminate non-value added activity is Lean Manufacturing. This study focuses on the identification of non-value added activity with value stream mapping analysis tools, while the elimination of non-value added activity is done with tools 5 whys and implementation of pull demand system. Based on the research known that non-value added activity on the production process of the main shaft is 9,509.51 minutes of total lead time 10,804.59 minutes. This shows the level of efficiency (Process Cycle Efficiency) in the production process of the main shaft is still very low by 11.89%. Estimation results of improvement showed a decrease in total lead time became 4,355.08 minutes and greater process cycle efficiency that is equal to 29.73%, which indicates that the process was nearing the concept of lean production.

  2. A comparison of BPMN 2.0 with other notations for manufacturing processes

    Science.gov (United States)

    García-Domínguez, A.; Marcos, Mariano; Medina, I.

    2012-04-01

    In order to study their current practices and improve on them, manufacturing firms need to view their processes from several viewpoints at various abstraction levels. Several notations have been developed for this purpose, such as Value Stream Mappings or IDEF models. More recently, the BPMN 2.0 standard from the Object Management Group has been proposed for modeling business processes. A process organizes several activities (manual or automatic) into a single higher-level entity, which can be reused elsewhere in the organization. Its potential for standardizing business interactions is well-known, but there is little work on using BPMN 2.0 to model manufacturing processes. In this work some of the previous notations are outlined and BPMN 2.0 is positioned among them after discussing it in more depth. Some guidelines on using BPMN 2.0 for manufacturing are offered, and its advantages and disadvantages in comparison with the other notations are presented.

  3. ASPIE: A Framework for Active Sensing and Processing of Complex Events in the Internet of Manufacturing Things

    Directory of Open Access Journals (Sweden)

    Shaobo Li

    2018-03-01

    Full Text Available Rapid perception and processing of critical monitoring events are essential to ensure healthy operation of Internet of Manufacturing Things (IoMT-based manufacturing processes. In this paper, we proposed a framework (active sensing and processing architecture (ASPIE for active sensing and processing of critical events in IoMT-based manufacturing based on the characteristics of IoMT architecture as well as its perception model. A relation model of complex events in manufacturing processes, together with related operators and unified XML-based semantic definitions, are developed to effectively process the complex event big data. A template based processing method for complex events is further introduced to conduct complex event matching using the Apriori frequent item mining algorithm. To evaluate the proposed models and methods, we developed a software platform based on ASPIE for a local chili sauce manufacturing company, which demonstrated the feasibility and effectiveness of the proposed methods for active perception and processing of complex events in IoMT-based manufacturing.

  4. Primary Manufacturing Processes for Fiber Reinforced Composites: History, Development & Future Research Trends

    Science.gov (United States)

    Tapan Bhatt, Alpa; Gohil, Piyush P.; Chaudhary, Vijaykumar

    2018-03-01

    Composite Materials are becoming more popular gradually replacing traditional material with extra strength, lighter weight and superior property. The world is exploring use of fiber reinforced composites in all application which includes air, land and water transport, construction industry, toys, instrumentation, medicine and the list is endless. Based on application and reinforcement used, there are many ways to manufactures parts with fiber reinforced composites. In this paper various manufacturing processes have been discussed at length, to make fiber reinforced composites components. The authors have endeavored to include all the processes available recently in composite industry. Paper first highlights history of fiber reinforced composites manufacturing, and then the comparison of different manufacturing process to build composites have been discussed, to give clear understanding on, which process should be selected, based on reinforcement, matrix and application. All though, there are several advantages to use such fiber reinforcement composites, still industries have not grown at par and there is a lot of scope to improve these industries. At last, where India stands today, what are the challenges in market has been highlighted and future market and research trend of exploring such composite industries have been discussed. This work is carried out as a part of research project sanctioned by GUJCOST, Gandhinagar.

  5. Parallel direct solver for finite element modeling of manufacturing processes

    DEFF Research Database (Denmark)

    Nielsen, Chris Valentin; Martins, P.A.F.

    2017-01-01

    The central processing unit (CPU) time is of paramount importance in finite element modeling of manufacturing processes. Because the most significant part of the CPU time is consumed in solving the main system of equations resulting from finite element assemblies, different approaches have been...

  6. Tendency of lubricating oil manufacturing process

    Energy Technology Data Exchange (ETDEWEB)

    Seki, Toshio

    1988-09-30

    The manufacturing method of paraffin base oil and the tendency are explained in details. The base oil is distillate of petroleum which is obtained at the high boiling of 400/degree/C or higher. The base oil is made from the distillate which is obtained through solvent deasphalting of the vacuum distillated residual oil. The refining process of those material is classified into the two different process steps such as refining and modifying process step in which the quality of the material is improved while eliminating unstable resin, aromatic compound, and sulfur contained in the material, and dewaxing process step in which the wax contained in the material is removed. The former is combination of the two different process steps such as solvent extraction process and hydrogen finishing process or hydroforming process. The latter is a combination of the two different process steps such as solvent dewaxing and catalytic dewaxing (hydro-dewaxing). Various examples of reactions, features and industrial processes are given in accordance with each of these process steps. Regarding the tendency toward the future, the kinds of materials will be expanded in the way that naphthene base oil will adopted as the material oil along with diversification of the quality of lubricating oil, and the processing technology including two-stage processing, catalytic dewaxing, etc. will be expected to be improved. 18 references, 11 figures, 7 tables.

  7. Optimization of oxidation processes to improve crystalline silicon solar cell emitters

    Directory of Open Access Journals (Sweden)

    L. Shen

    2014-02-01

    Full Text Available Control of the oxidation process is one key issue in producing high-quality emitters for crystalline silicon solar cells. In this paper, the oxidation parameters of pre-oxidation time, oxygen concentration during pre-oxidation and pre-deposition and drive-in time were optimized by using orthogonal experiments. By analyzing experimental measurements of short-circuit current, open circuit voltage, series resistance and solar cell efficiency in solar cells with different sheet resistances which were produced by using different diffusion processes, we inferred that an emitter with a sheet resistance of approximately 70 Ω/□ performed best under the existing standard solar cell process. Further investigations were conducted on emitters with sheet resistances of approximately 70 Ω/□ that were obtained from different preparation processes. The results indicate that emitters with surface phosphorus concentrations between 4.96 × 1020 cm−3 and 7.78 × 1020 cm−3 and with junction depths between 0.46 μm and 0.55 μm possessed the best quality. With no extra processing, the final preparation of the crystalline silicon solar cell efficiency can reach 18.41%, which is an increase of 0.4%abs compared to conventional emitters with 50 Ω/□ sheet resistance.

  8. Additive Manufacturing Processes: Selective Laser Melting, Electron Beam Melting and Binder Jetting-Selection Guidelines.

    Science.gov (United States)

    Gokuldoss, Prashanth Konda; Kolla, Sri; Eckert, Jürgen

    2017-06-19

    Additive manufacturing (AM), also known as 3D printing or rapid prototyping, is gaining increasing attention due to its ability to produce parts with added functionality and increased complexities in geometrical design, on top of the fact that it is theoretically possible to produce any shape without limitations. However, most of the research on additive manufacturing techniques are focused on the development of materials/process parameters/products design with different additive manufacturing processes such as selective laser melting, electron beam melting, or binder jetting. However, we do not have any guidelines that discuss the selection of the most suitable additive manufacturing process, depending on the material to be processed, the complexity of the parts to be produced, or the design considerations. Considering the very fact that no reports deal with this process selection, the present manuscript aims to discuss the different selection criteria that are to be considered, in order to select the best AM process (binder jetting/selective laser melting/electron beam melting) for fabricating a specific component with a defined set of material properties.

  9. Additive Manufacturing Processes: Selective Laser Melting, Electron Beam Melting and Binder Jetting—Selection Guidelines

    Science.gov (United States)

    Konda Gokuldoss, Prashanth; Kolla, Sri; Eckert, Jürgen

    2017-01-01

    Additive manufacturing (AM), also known as 3D printing or rapid prototyping, is gaining increasing attention due to its ability to produce parts with added functionality and increased complexities in geometrical design, on top of the fact that it is theoretically possible to produce any shape without limitations. However, most of the research on additive manufacturing techniques are focused on the development of materials/process parameters/products design with different additive manufacturing processes such as selective laser melting, electron beam melting, or binder jetting. However, we do not have any guidelines that discuss the selection of the most suitable additive manufacturing process, depending on the material to be processed, the complexity of the parts to be produced, or the design considerations. Considering the very fact that no reports deal with this process selection, the present manuscript aims to discuss the different selection criteria that are to be considered, in order to select the best AM process (binder jetting/selective laser melting/electron beam melting) for fabricating a specific component with a defined set of material properties. PMID:28773031

  10. Plasma processing of microcrystalline silicon films : filling in the gaps

    NARCIS (Netherlands)

    Bronneberg, A.C.

    2012-01-01

    Hydrogenated microcrystalline silicon (µc-Si:H) is a mixed-phase material consisting of crystalline silicon grains, hydrogenated amorphous silicon (a-Si:H) tissue, and voids. Microcrystalline silicon is extensively used as absorber layer in thin-film tandem solar cells, combining the advantages of a

  11. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    International Nuclear Information System (INIS)

    Jung, Y. J.; Kim, W. K.; Jung, J. H.

    2014-01-01

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  12. Process design and simulation for optimizing the oxygen concentration in Czochralski-grown single-crystal silicon

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Y. J.; Kim, W. K.; Jung, J. H. [Yeungnam University, Gyeongsan (Korea, Republic of)

    2014-08-15

    The highest-concentration impurity in a single-crystal silicon ingot is oxygen, which infiltrates the ingot during growth stage. This oxygen adversely affects the wafer is quality. This study was aimed at finding an optimal design for the Czochralski (Cz) process to enable high-quality and low cost (by reducing power consumption) wafer production by controlling the oxygen concentration in the silicon ingots. In the Cz process, the characteristics of silicon ingots during crystallization are greatly influenced by the design and the configuration of the hot zone, and by crystallization rate. In order to identify process conditions for obtaining an optimal oxygen concentration of 11 - 13 ppma (required for industrial-grade ingots), designed two shield shapes for the hot zone. Furthermore, oxygen concentrations corresponding to these two shapes were compared by evaluating each shape at five different production speeds. In addition, simulations were performed to identify the optimal shield design for industrial applications.

  13. Drug-printing by flexographic printing technology--a new manufacturing process for orodispersible films.

    Science.gov (United States)

    Janssen, Eva Maria; Schliephacke, Ralf; Breitenbach, Armin; Breitkreutz, Jörg

    2013-01-30

    Orodispersible films (ODFs) are intended to disintegrate within seconds when placed onto the tongue. The common way of manufacturing is the solvent casting method. Flexographic printing on drug-free ODFs is introduced as a highly flexible and cost-effective alternative manufacturing method in this study. Rasagiline mesylate and tadalafil were used as model drugs. Printing of rasagiline solutions and tadalafil suspensions was feasible. Up to four printing cycles were performed. The possibility to employ several printing cycles enables a continuous, highly flexible manufacturing process, for example for individualised medicine. The obtained ODFs were characterised regarding their mechanical properties, their disintegration time, API crystallinity and homogeneity. Rasagiline mesylate did not recrystallise after the printing process. Relevant film properties were not affected by printing. Results were comparable to the results of ODFs manufactured with the common solvent casting technique, but the APIs are less stressed through mixing, solvent evaporation and heat. Further, loss of material due to cutting jumbo and daughter rolls can be reduced. Therefore, a versatile new manufacturing technology particularly for processing high-potent low-dose or heat sensitive drugs is introduced in this study. Copyright © 2012 Elsevier B.V. All rights reserved.

  14. 14th Workshop on Crystalline Silicon Solar Cells& Modules: Materials and Processes; Extended Abstracts and Papers

    Energy Technology Data Exchange (ETDEWEB)

    Sopori, B. L.

    2004-08-01

    The 14th Workshop will provide a forum for an informal exchange of technical and scientific information between international researchers in the photovoltaic and relevant non-photovoltaic fields. It will offer an excellent opportunity for researchers in private industry and at universities to prioritize mutual needs for future collaborative research. The workshop is intended to address the fundamental properties of PV silicon, new solar cell designs, advanced solar cell processing techniques, and cell-related module issues. A combination of oral presentations by invited speakers, poster sessions, and discussion sessions will review recent advances in crystal growth, new cell designs, new processes and process characterization techniques, cell fabrication approaches suitable for future manufacturing demands, and solar cell encapsulation. This year's theme, ''Crystalline Si Solar Cells: Leapfrogging the Barriers,'' reflects the continued success of crystalline Si PV in overcoming technological barriers to improve solar cell performance and lower the cost of Si PV. The workshop will consist of presentations by invited speakers, followed by discussion sessions. In addition, there will be two poster sessions presenting the latest research and development results. Some presentations will address recent technologies in the microelectronics field that may have a direct bearing on PV. The sessions will include: Advances in crystal growth and material issues; Impurities and defects; Dynamics during device processing; Passivation; High-efficiency Si solar cells; Advanced processing; Thin Si solar cells; and Solar cell reliability and module issues.

  15. Precision manufacturing

    CERN Document Server

    Dornfeld, David

    2008-01-01

    Today there is a high demand for high-precision products. The manufacturing processes are now highly sophisticated and derive from a specialized genre called precision engineering. Precision Manufacturing provides an introduction to precision engineering and manufacturing with an emphasis on the design and performance of precision machines and machine tools, metrology, tooling elements, machine structures, sources of error, precision machining processes and precision process planning. As well as discussing the critical role precision machine design for manufacturing has had in technological developments over the last few hundred years. In addition, the influence of sustainable manufacturing requirements in precision processes is introduced. Drawing upon years of practical experience and using numerous examples and illustrative applications, David Dornfeld and Dae-Eun Lee cover precision manufacturing as it applies to: The importance of measurement and metrology in the context of Precision Manufacturing. Th...

  16. Flexible Thermoelectric Generators on Silicon Fabric

    KAUST Repository

    Sevilla, Galo T.

    2012-11-01

    In this work, the development of a Thermoelectric Generator on Flexible Silicon Fabric is explored to extend silicon electronics for flexible platforms. Low cost, easily deployable plastic based flexible electronics are of great interest for smart textile, wearable electronics and many other exciting applications. However, low thermal budget processing and fundamentally limited electron mobility hinders its potential to be competitive with well established and highly developed silicon technology. The use of silicon in flexible electronics involve expensive and abrasive materials and processes. In this work, high performance flexible thermoelectric energy harvesters are demonstrated from low cost bulk silicon (100) wafers. The fabrication of the micro- harvesters was done using existing silicon processes on silicon (100) and then peeled them off from the original substrate leaving it for reuse. Peeled off silicon has 3.6% thickness of bulk silicon reducing the thermal loss significantly and generating nearly 30% more output power than unpeeled harvesters. The demonstrated generic batch processing shows a pragmatic way of peeling off a whole silicon circuitry after conventional fabrication on bulk silicon wafers for extremely deformable high performance integrated electronics. In summary, by using a novel, low cost process, this work has successfully integrated existing and highly developed fabrication techniques to introduce a flexible energy harvester for sustainable applications.

  17. Recent advances in fuel product and manufacturing process development

    International Nuclear Information System (INIS)

    Slember, R.J.; Doshi, P.K.

    1987-01-01

    This paper discusses advancements in commercial nuclear fuel products and manufacturing made by the Westinghouse Electric Corporation in response to the commercial nuclear fuel industry's demand for high reliability, increased plant availability and improved operating flexibility. The features and benefits of Westinghouse's most advanced fuel products--VANTAGE 5 for PWR plants and QUAD+ for BWR plants--are described, as well as 'high performance' fuel concepts now under development for delivery in the late 1980s. The paper also disusses the importance of in-process quality control throughout manufacturing towards reducing product variability and improving fuel reliability. (author)

  18. GRANULATION TRIALS OF WASTE THE DUST SILICON CARBIDE FOR UTILIZATION IN METALLURGY

    Directory of Open Access Journals (Sweden)

    Gabriel Borowski

    2016-09-01

    Full Text Available The article presents the results of laboratory granulation tests of dust silicon carbide and the results of research on the selection of the binder and the properties of the granules obtained. The research material was a waste of the silicon carbide powder with a high fragmentation, mixed with a cement or an organic modified starch specimen. Six tests were performed in a disc granulator with 100 cm in diameter. In each series of trial specified: the type and share of the binder, the diameter of the granules, tenderness, type of structure and mechanical properties. Good granules of silicon carbide obtained with the addition of cement binder with 4% of the mass fraction and at least 24 hours of seasoning. The binder should be added twice by powdering, first in a stirred granulator, and again after manufacture. It was found that the resulting granules may be used as a replacement of ferrosilicon in the process of steelmaking.

  19. Linear and nonlinear characterization of low-stress high-confinement silicon-rich nitride waveguides.

    Science.gov (United States)

    Krückel, Clemens J; Fülöp, Attila; Klintberg, Thomas; Bengtsson, Jörgen; Andrekson, Peter A; Torres-Company, Víctor

    2015-10-05

    In this paper we introduce a low-stress silicon enriched nitride platform that has potential for nonlinear and highly integrated optics. The manufacturing process of this platform is CMOS compatible and the increased silicon content allows tensile stress reduction and crack free layer growth of 700 nm. Additional benefits of the silicon enriched nitride is a measured nonlinear Kerr coefficient n(2) of 1.4·10(-18) m(2)/W (5 times higher than stoichiometric silicon nitride) and a refractive index of 2.1 at 1550 nm that enables high optical field confinement allowing high intensity nonlinear optics and light guidance even with small bending radii. We analyze the waveguide loss (∼1 dB/cm) in a spectrally resolved fashion and include scattering loss simulations based on waveguide surface roughness measurements. Detailed simulations show the possibility for fine dispersion and nonlinear engineering. In nonlinear experiments we present continuous-wave wavelength conversion and demonstrate that the material does not show nonlinear absorption effects. Finally, we demonstrate microfabrication of resonators with high Q-factors (∼10(5)).

  20. Enhancing shelf life of minimally processed multiplier onion using silicone membrane.

    Science.gov (United States)

    Naik, Ravindra; Ambrose, Dawn C P; Raghavan, G S Vijaya; Annamalai, S J K

    2014-12-01

    The aim of storage of minimal processed product is to increase the shelf life and thereby extend the period of availability of minimally processed produce. The silicone membrane makes use of the ability of polymer to permit selective passage of gases at different rates according to their physical and chemical properties. Here, the product stored maintains its own atmosphere by the combined effects of respiration process of the commodity and the diffusion rate through the membrane. A study was undertaken to enhance the shelf life of minimally processed multiplier onion with silicone membrane. The respiration activity was recorded at a temperature of 30 ± 2 °C (RH = 60 %) and 5 ± 1 °C (RH = 90 %). The respiration was found to be 23.4, 15.6, 10 mg CO2kg(-1)h(-1) at 5 ± 1 °C and 140, 110, 60 mg CO2kg(-1) h(-1) at 30 ± 2° for the peeled, sliced and diced multiplier onion, respectively. The respiration rate for the fresh multiplier onion was recorded to be 5, 10 mg CO2kg(-1) h(-1) at 5 ± 1 °C and 30 ± 1 ° C, respectively. Based on the shelf life studies and on the sensory evaluation, it was found that only the peeled multiplier onion could be stored. The sliced and diced multiplier onion did not have the required shelf life. The shelf life of the multiplier onion in the peel form could be increased from 4-5 days to 14 days by using the combined effect of silicone membrane (6 cm(2)/kg) and low temperature (5 ± 1 °C).

  1. Manufacturing: SiC Power Electronics for Variable Frequency Motor Drives

    Energy Technology Data Exchange (ETDEWEB)

    Horowitz, Kelsey A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Bench Reese, Samantha R [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Remo, Timothy W [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-08-15

    This brochure, published as an annual research highlight of the Clean Energy Manufacturing Analysis Center (CEMAC), summarizes CEMAC analysis of silicon carbide (SiC) power electronics for variable frequency motor drives. The key finding presented is that variations in manufacturing expertise, yields, and access to existing facilities impact regional costs and manufacturing location decisions for SiC ingots, wafers, chips, and power modules more than do core country-specific factors such as labor and electricity costs.

  2. High Input Voltage, Silicon Carbide Power Processing Unit Performance Demonstration

    Science.gov (United States)

    Bozak, Karin E.; Pinero, Luis R.; Scheidegger, Robert J.; Aulisio, Michael V.; Gonzalez, Marcelo C.; Birchenough, Arthur G.

    2015-01-01

    A silicon carbide brassboard power processing unit has been developed by the NASA Glenn Research Center in Cleveland, Ohio. The power processing unit operates from two sources: a nominal 300 Volt high voltage input bus and a nominal 28 Volt low voltage input bus. The design of the power processing unit includes four low voltage, low power auxiliary supplies, and two parallel 7.5 kilowatt (kW) discharge power supplies that are capable of providing up to 15 kilowatts of total power at 300 to 500 Volts (V) to the thruster. Additionally, the unit contains a housekeeping supply, high voltage input filter, low voltage input filter, and master control board, such that the complete brassboard unit is capable of operating a 12.5 kilowatt Hall effect thruster. The performance of the unit was characterized under both ambient and thermal vacuum test conditions, and the results demonstrate exceptional performance with full power efficiencies exceeding 97%. The unit was also tested with a 12.5kW Hall effect thruster to verify compatibility and output filter specifications. With space-qualified silicon carbide or similar high voltage, high efficiency power devices, this would provide a design solution to address the need for high power electric propulsion systems.

  3. Process simulations for manufacturing of thick composites

    Science.gov (United States)

    Kempner, Evan A.

    The availability of manufacturing simulations for composites can significantly reduce the costs associated with process development. Simulations provide a tool for evaluating the effect of processing conditions on the quality of parts produced without requiring numerous experiments. This is especially significant in parts that have troublesome features such as large thickness. The development of simulations for thick walled composites has been approached by examining the mechanics of resin flow and fiber deformation during processing, applying these evaluations to develop simulations, and evaluating the simulation with experimental results. A unified analysis is developed to describe the three-dimensional resin flow and fiber preform deformation during processing regardless of the manufacturing process used. It is shown how the generic governing evaluations in the unified analysis can be applied to autoclave molding, compression molding, pultrusion, filament winding, and resin transfer molding. A comparison is provided with earlier models derived individually for these processes. The evaluations described for autoclave curing were used to produce a one-dimensional cure simulation for autoclave curing of thick composites. The simulation consists of an analysis for heat transfer and resin flow in the composite as well as bleeder plies used to absorb resin removed from the part. Experiments were performed in a hot press to approximate curing in an autoclave. Graphite/epoxy laminates of 3 cm and 5 cm thickness were cured while monitoring temperatures at several points inside the laminate and thickness. The simulation predicted temperatures fairly closely, but difficulties were encountered in correlation of thickness results. This simulation was also used to study the effects of prepreg aging on processing of thick composites. An investigation was also performed on filament winding with prepreg tow. Cylinders were wound of approximately 12 mm thickness with pressure

  4. Additive Manufacturing of Tooling for Refrigeration Cabinet Foaming Processes

    Energy Technology Data Exchange (ETDEWEB)

    Post, Brian K [ORNL; Nuttall, David [ORNL; Cukier, Michael Z [ORNL; Hile, Michael B [ORNL

    2016-07-29

    The primary objective of this project was to leverage the Big Area Additive Manufacturing (BAAM) process and materials into a long term, quick change tooling concept to drastically reduce product lead and development timelines and costs. Current refrigeration foam molds are complicated to manufacture involving casting several aluminum parts in an approximate shape, machining components of the molds and post fitting and shimming of the parts in an articulated fixture. The total process timeline can take over 6 months. The foaming process is slower than required for production, therefore multiple fixtures, 10 to 27, are required per refrigerator model. Molds are particular to a specific product configuration making mixed model assembly challenging for sequencing, mold changes or auto changeover features. The initial goal was to create a tool leveraging the ORNL materials and additive process to build a tool in 4 to 6 weeks or less. A secondary goal was to create common fixture cores and provide lightweight fixture sections that could be revised in a very short time to increase equipment flexibility reduce lead times, lower the barriers to first production trials, and reduce tooling costs.

  5. Metal Advanced Manufacturing Bot-Assisted Assembly (MAMBA) Process, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Tethers Unlimited, Inc. (TUI) proposes to develop the Metal Advanced Manufacturing Bot-Assisted Assembly (MAMBA) Process, a robotically managed metal press and...

  6. Development of practical application technology for photovoltaic power generation systems in fiscal 1997. Development of technologies to manufacture thin film solar cells, development of technologies to manufacture low-cost large-area modules (dissolution and deposition process); 1997 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu. Usumaku taiyo denchi no seizo gijutsu kaihatsu, tei cost daimenseki module seizo gijutsu kaihatsu (yokai sekishutsuho)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Research and development was performed by noticing on the plasma spraying method as a process that can manufacture thin poly-crystalline silicon films at a high speed. Fiscal 1997 has established a technology that can form a silicon film directly without using seed crystals in an area of 2-cm square on a carbon supported substrate by using a small film manufacturing equipment using the dissolution and deposition process. The size of the crystal is as very large as several hundred {mu}m, by which a possibility of making high-performance solar cells was verified. Discussions were given to apply this technology to large-area substrates, whereas a device was developed, which is capable of forming a film in an area corresponding to 10-cm square. According to a film forming experiment using this device, the film has begun being formed on part of a 10-cm square substrate, verifying the effectiveness of this method. While the film thickness is about 100 {mu}m, it was confirmed that the crystal size will not change even if the thickness is made mechanically as thin as about 50 {mu}m. Further discussions were given on enhancement of wettability by means of coating, and light enclosing structure. (NEDO)

  7. An Integrated Environment for Batch Process Development - From Recipe to Manufacture

    DEFF Research Database (Denmark)

    Batch process development involves the process of converting a chemical synthesis into an optimum, safe, robust, and economical process for manufacturing the chemical of desired quality at the ultimate desired scale. In this paper we describe a strategy for developing a set of integrated decision...

  8. Modelling of additive manufacturing processes: a review and classification

    Science.gov (United States)

    Stavropoulos, Panagiotis; Foteinopoulos, Panagis

    2018-03-01

    Additive manufacturing (AM) is a very promising technology; however, there are a number of open issues related to the different AM processes. The literature on modelling the existing AM processes is reviewed and classified. A categorization of the different AM processes in process groups, according to the process mechanism, has been conducted and the most important issues are stated. Suggestions are made as to which approach is more appropriate according to the key performance indicator desired to be modelled and a discussion is included as to the way that future modelling work can better contribute to improving today's AM process understanding.

  9. Gelcasting of SiC/Si for preparation of silicon nitride bonded silicon carbide

    International Nuclear Information System (INIS)

    Xie, Z.P.; Tsinghua University, Beijing,; Cheng, Y.B.; Lu, J.W.; Huang, Y.

    2000-01-01

    In the present paper, gelcasting of aqueous slurry with coarse silicon carbide(1mm) and fine silicon particles was investigated to fabricate silicon nitride bonded silicon carbide materials. Through the examination of influence of different polyelectrolytes on the Zeta potential and viscosity of silicon and silicon carbide suspensions, a stable SiC/Si suspension with 60 vol% solid loading could be prepared by using polyelectrolyte of D3005 and sodium alginate. Gelation of this suspension can complete in 10-30 min at 60-80 deg C after cast into mold. After demolded, the wet green body can be dried directly in furnace and the green strength will develop during drying. Complex shape parts with near net size were prepared by the process. Effects of the debindering process on nitridation and density of silicon nitride bonded silicon carbide were also examined. Copyright (2000) The Australian Ceramic Society

  10. Transformational silicon electronics

    KAUST Repository

    Rojas, Jhonathan Prieto

    2014-02-25

    In today\\'s traditional electronics such as in computers or in mobile phones, billions of high-performance, ultra-low-power devices are neatly integrated in extremely compact areas on rigid and brittle but low-cost bulk monocrystalline silicon (100) wafers. Ninety percent of global electronics are made up of silicon. Therefore, we have developed a generic low-cost regenerative batch fabrication process to transform such wafers full of devices into thin (5 μm), mechanically flexible, optically semitransparent silicon fabric with devices, then recycling the remaining wafer to generate multiple silicon fabric with chips and devices, ensuring low-cost and optimal utilization of the whole substrate. We show monocrystalline, amorphous, and polycrystalline silicon and silicon dioxide fabric, all from low-cost bulk silicon (100) wafers with the semiconductor industry\\'s most advanced high-κ/metal gate stack based high-performance, ultra-low-power capacitors, field effect transistors, energy harvesters, and storage to emphasize the effectiveness and versatility of this process to transform traditional electronics into flexible and semitransparent ones for multipurpose applications. © 2014 American Chemical Society.

  11. COMPARISON OF POLYJET PRINTING AND SILICON MOULDING AS RAPID PLASTIC MOULDING SOLUTIONS

    Directory of Open Access Journals (Sweden)

    R. Singh

    2012-12-01

    Full Text Available The aim of the present investigation is to compare two rapid molding (RM solutions, namely polyjet printing (PP and silicon molding (SM, for the manufacture of plastic components. The comparison has been made on the basis of dimensional accuracy (as per IT grades, mechanical properties (namely surface hardness, surface roughness and production cost. The comparison of the experimental results will serve as a yard stick for the further selection of processes for industrial applications.

  12. Technological review of the HRP manufacturing process R and D activity

    International Nuclear Information System (INIS)

    Visca, Eliseo; Pizzuto, A.; Gavila, P.; Riccardi, B.; Roccella, S.; Candura, D.; Sanguinetti, G.P.

    2013-01-01

    Highlights: • R and D activities for the manufacturing of ITER divertor high heat flux plasma-facing components (HHFC). • ENEA and Ansaldo have jointly manufactured several actively cooled monoblock mock-ups and prototypical components. • Successful manufacturing by HRP (hot radial pressing) and PBC (pre-brazed casting) of both W and CFC armoured small and medium scale mockups. • ENEA-ANSALDO participate to the European programme for the qualification of the manufacturing technology for the ITER divertor IVT. • A qualification divertor inner vertical target prototype successfully tested at ITER relevant thermal heat fluxes. -- Abstract: ENEA and Ansaldo Nucleare S.p.A. have been deeply involved in the European International Thermonuclear Experimental Reactor (ITER) R and D activities for the manufacturing of high heat flux plasma-facing components (HHFC), and in particular for the inner vertical target (IVT) of the ITER divertor. This component has to be manufactured by using both armour and structural materials whose properties are defined by ITER. Their physical properties prevent the use of standard joining techniques. The reference armour materials are tungsten and carbon/carbon fibre composite (CFC). The cooling pipe is made of copper alloy (CuCrZr-IG). During the last years ENEA and Ansaldo have jointly manufactured several actively cooled monoblock mock-ups and prototypical components of different length, geometry and materials, by using innovative processes: HRP (hot radial pressing) and PBC (pre-brazed casting). The history of the technical issues solved during the R and D phase and the improvements implemented to the assembling tools and equipments are reviewed in the paper together with the testing results. The optimization of the processes started from the successful manufacturing of both W and CFC armoured small scale mockups thermal fatigue tested in the worst ITER operating condition (20 MW/m 2 ) through the achievement of record

  13. Technological review of the HRP manufacturing process R and D activity

    Energy Technology Data Exchange (ETDEWEB)

    Visca, Eliseo, E-mail: eliseo.visca@enea.it [Associazione EURATOM-ENEA sulla Fusione, C.R. Frascati, Via E. Fermi 45, IT-00044 Frascati (Italy); Pizzuto, A. [Associazione EURATOM-ENEA sulla Fusione, C.R. Frascati, Via E. Fermi 45, IT-00044 Frascati (Italy); Gavila, P.; Riccardi, B. [Fusion For Energy, C. Josep Pla 2, ES-08019 Barcelona (Spain); Roccella, S. [Associazione EURATOM-ENEA sulla Fusione, C.R. Frascati, Via E. Fermi 45, IT-00044 Frascati (Italy); Candura, D.; Sanguinetti, G.P. [Ansaldo Nucleare S.p.A., Corso Perrone 25, IT-16121 Genova (Italy)

    2013-10-15

    Highlights: • R and D activities for the manufacturing of ITER divertor high heat flux plasma-facing components (HHFC). • ENEA and Ansaldo have jointly manufactured several actively cooled monoblock mock-ups and prototypical components. • Successful manufacturing by HRP (hot radial pressing) and PBC (pre-brazed casting) of both W and CFC armoured small and medium scale mockups. • ENEA-ANSALDO participate to the European programme for the qualification of the manufacturing technology for the ITER divertor IVT. • A qualification divertor inner vertical target prototype successfully tested at ITER relevant thermal heat fluxes. -- Abstract: ENEA and Ansaldo Nucleare S.p.A. have been deeply involved in the European International Thermonuclear Experimental Reactor (ITER) R and D activities for the manufacturing of high heat flux plasma-facing components (HHFC), and in particular for the inner vertical target (IVT) of the ITER divertor. This component has to be manufactured by using both armour and structural materials whose properties are defined by ITER. Their physical properties prevent the use of standard joining techniques. The reference armour materials are tungsten and carbon/carbon fibre composite (CFC). The cooling pipe is made of copper alloy (CuCrZr-IG). During the last years ENEA and Ansaldo have jointly manufactured several actively cooled monoblock mock-ups and prototypical components of different length, geometry and materials, by using innovative processes: HRP (hot radial pressing) and PBC (pre-brazed casting). The history of the technical issues solved during the R and D phase and the improvements implemented to the assembling tools and equipments are reviewed in the paper together with the testing results. The optimization of the processes started from the successful manufacturing of both W and CFC armoured small scale mockups thermal fatigue tested in the worst ITER operating condition (20 MW/m{sup 2}) through the achievement of record

  14. Geochemistry of silicon isotopes

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Tiping; Li, Yanhe; Gao, Jianfei; Hu, Bin [Chinese Academy of Geological Science, Beijing (China). Inst. of Mineral Resources; Jiang, Shaoyong [China Univ. of Geosciences, Wuhan (China).

    2018-04-01

    Silicon is one of the most abundant elements in the Earth and silicon isotope geochemistry is important in identifying the silicon source for various geological bodies and in studying the behavior of silicon in different geological processes. This book starts with an introduction on the development of silicon isotope geochemistry. Various analytical methods are described and compared with each other in detail. The mechanisms of silicon isotope fractionation are discussed, and silicon isotope distributions in various extraterrestrial and terrestrial reservoirs are updated. Besides, the applications of silicon isotopes in several important fields are presented.

  15. Fluorinated Greenhouse Gases in Photovoltaic Module Manufacturing: Potential Emissions and Abatement Strategies

    NARCIS (Netherlands)

    Alsema, E.A.|info:eu-repo/dai/nl/073416258; de Wild-Schoten, M.J.; Fthenakis, V.M.; Agostinelli, G.; Dekkers, H.; Roth, K.; Kinzig, V.

    2007-01-01

    Some fluorinated gases (F-gases) which are used, or considered to be used, in crystalline silicon photovoltaic solar cell and film silicon module manufacturing have a very high global warming effect. CF4, C2F6, SF6 and NF3 have global warming potentials 7390, 12200, 22800 and 17200 times higher than

  16. Developing silicon strip detectors with a large-scale commercial foundry

    Energy Technology Data Exchange (ETDEWEB)

    König, A., E-mail: axel.koenig@oeaw.ac.at [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Bartl, U. [Infineon Technologies Austria AG, Villach (Austria); Bergauer, T.; Dragicevic, M. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Hacker, J. [Infineon Technologies Austria AG, Villach (Austria); Treberspurg, W. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria)

    2016-07-11

    Since 2009 the Institute of High Energy Physics (HEPHY) in Vienna is developing a production process for planar silicon strip sensors on 6-in. wafers together with the semiconductor manufacturer Infineon Technologies. Four runs with several batches of wafers, each comprising six different sensors, were manufactured and characterized. A brief summary of the recently completed 6-in. campaign is given. Milestones in sensor development as well as techniques to improve the sensor quality are discussed. Particular emphasis is placed on a failure causing areas of defective strips which accompanied the whole campaign. Beam tests at different irradiation facilities were conducted to validate the key capability of particle detection. Another major aspect is to prove the radiation hardness of sensors produced by Infineon. Therefore, neutron irradiation studies were performed.

  17. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  18. Influence of Crucible Thermal Conductivity on Crystal Growth in an Industrial Directional Solidification Process for Silicon Ingots

    Directory of Open Access Journals (Sweden)

    Zaoyang Li

    2016-01-01

    Full Text Available We carried out transient global simulations of heating, melting, growing, annealing, and cooling stages for an industrial directional solidification (DS process for silicon ingots. The crucible thermal conductivity is varied in a reasonable range to investigate its influence on the global heat transfer and silicon crystal growth. It is found that the crucible plays an important role in heat transfer, and therefore its thermal conductivity can influence the crystal growth significantly in the entire DS process. Increasing the crucible thermal conductivity can shorten the time for melting of silicon feedstock and growing of silicon crystal significantly, and therefore large thermal conductivity is helpful in saving both production time and power energy. However, the high temperature gradient in the silicon ingots and the locally concave melt-crystal interface shape for large crucible thermal conductivity indicate that high thermal stress and dislocation propagation are likely to occur during both growing and annealing stages. Based on the numerical simulations, some discussions on designing and choosing the crucible thermal conductivity are presented.

  19. Reduction of the environmental impacts in crystalline silicon module manufacturing

    NARCIS (Netherlands)

    Alsema, E.A.|info:eu-repo/dai/nl/073416258; de Wild-Schoten, M.J.

    2007-01-01

    In this paper we review the most important options to reduce environmental impacts of crystalline silicon modules. We investigate which are the main barriers for implementation of the measure. Finally we review which measures to reduce environmental impacts could also lead to a cost reduction.

  20. Materials and processing approaches for foundry-compatible transient electronics

    Science.gov (United States)

    Chang, Jan-Kai; Fang, Hui; Bower, Christopher A.; Song, Enming; Yu, Xinge; Rogers, John A.

    2017-07-01

    Foundry-based routes to transient silicon electronic devices have the potential to serve as the manufacturing basis for “green” electronic devices, biodegradable implants, hardware secure data storage systems, and unrecoverable remote devices. This article introduces materials and processing approaches that enable state-of-the-art silicon complementary metal-oxide-semiconductor (CMOS) foundries to be leveraged for high-performance, water-soluble forms of electronics. The key elements are (i) collections of biodegradable electronic materials (e.g., silicon, tungsten, silicon nitride, silicon dioxide) and device architectures that are compatible with manufacturing procedures currently used in the integrated circuit industry, (ii) release schemes and transfer printing methods for integration of multiple ultrathin components formed in this way onto biodegradable polymer substrates, and (iii) planarization and metallization techniques to yield interconnected and fully functional systems. Various CMOS devices and circuit elements created in this fashion and detailed measurements of their electrical characteristics highlight the capabilities. Accelerated dissolution studies in aqueous environments reveal the chemical kinetics associated with the underlying transient behaviors. The results demonstrate the technical feasibility for using foundry-based routes to sophisticated forms of transient electronic devices, with functional capabilities and cost structures that could support diverse applications in the biomedical, military, industrial, and consumer industries.