WorldWideScience

Sample records for si substrates prepared

  1. Large-scale uniform bilayer graphene prepared by vacuum graphitization of 6H-SiC(0001) substrates

    Science.gov (United States)

    Wang, Qingyan; Zhang, Wenhao; Wang, Lili; He, Ke; Ma, Xucun; Xue, Qikun

    2013-03-01

    We report on the preparation of large-scale uniform bilayer graphenes on nominally flat Si-polar 6H-SiC(0001) substrates by flash annealing in ultrahigh vacuum. The resulting graphenes have a single thickness of one bilayer and consist of regular terraces separated by the triple SiC bilayer steps on the 6H-SiC(0001) substrates. In situ scanning tunneling microscopy reveals that suppression of pit formation on terraces and uniformity of SiC decomposition at step edges are the key factors to the uniform thickness. By studying the surface morphologies prepared under different annealing rates, it is found that the annealing rate is directly related to SiC decomposition, diffusion of the released Si/C atoms and strain relaxation, which together determine the final step structure and density of defects.

  2. Transmission Electron Microscopy (TEM) Sample Preparation of Si(1-x)Gex in c-Plane Sapphire Substrate

    Science.gov (United States)

    Kim, Hyun Jung; Choi, Sang H.; Bae, Hyung-Bin; Lee, Tae Woo

    2012-01-01

    The National Aeronautics and Space Administration-invented X-ray diffraction (XRD) methods, including the total defect density measurement method and the spatial wafer mapping method, have confirmed super hetero epitaxy growth for rhombohedral single crystalline silicon germanium (Si1-xGex) on a c-plane sapphire substrate. However, the XRD method cannot observe the surface morphology or roughness because of the method s limited resolution. Therefore the authors used transmission electron microscopy (TEM) with samples prepared in two ways, the focused ion beam (FIB) method and the tripod method to study the structure between Si1-xGex and sapphire substrate and Si1?xGex itself. The sample preparation for TEM should be as fast as possible so that the sample should contain few or no artifacts induced by the preparation. The standard sample preparation method of mechanical polishing often requires a relatively long ion milling time (several hours), which increases the probability of inducing defects into the sample. The TEM sampling of the Si1-xGex on sapphire is also difficult because of the sapphire s high hardness and mechanical instability. The FIB method and the tripod method eliminate both problems when performing a cross-section TEM sampling of Si1-xGex on c-plane sapphire, which shows the surface morphology, the interface between film and substrate, and the crystal structure of the film. This paper explains the FIB sampling method and the tripod sampling method, and why sampling Si1-xGex, on a sapphire substrate with TEM, is necessary.

  3. Effect of substrate porosity on photoluminescence properties of ZnS films prepared on porous Si substrates by pulsed laser deposition

    Science.gov (United States)

    Wang, Cai-Feng; Li, Qing-Shan; Zhang, Li-Chun; Lv, Lei; Qi, Hong-Xia

    2007-05-01

    ZnS films were deposited on porous Si (PS) substrates with different porosities by pulsed laser deposition. The photoluminescence spectra of the samples were measured to study the effect of substrate porosity on luminescence properties of ZnS/porous Si composites. After deposition of ZnS films, the red photoluminescence peak of porous Si shows a slight blueshift compared with as-prepared porous Si samples. With an increase of the porosity, a green emission at about 550 nm was observed which may be ascribed to the defect-center luminescence of ZnS films, and the photoluminescence of ZnS/porous Si composites is very close to white light. Good crystal structures of the samples were observed by x-ray diffraction, showing that ZnS films were grown in preferred orientation. Due to the roughness of porous Si surface, some cracks appear in ZnS films, which could be seen from scanning electron microscope images.

  4. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo; Yoo, Tae Jin; Kim, Jin Tae; Pak, Yusin; Kumaresan, Yogeenth; Kim, Hyeonghun; Kim, Woochul; Lee, Byoung Hun; Jung, Gun Young

    2018-01-01

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates

  5. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  6. β-FeSi2 films prepared on 6H-SiC substrates by magnetron sputtering

    Science.gov (United States)

    Hong, Li; Hongbin, Pu; Chunlei, Zheng; Zhiming, Chen

    2015-06-01

    β-FeSi2 thin films have been successfully prepared by magnetron sputtering and post rapid thermal annealing method on 6H-SiC (0001) substrates using a FeSi2 target and a Si target. X-ray diffraction (XRD) and Raman spectroscopy are applied to analyze the formation of β-FeSi2 films. XRD spectra reveal that the amorphous FeSi2 films are transformed to β-FeSi2 phase as the annealing temperature is increased from 500 to 900 °C for 5 min and the optimal annealing temperature is 900 °C. The formation of β-FeSi2 is also confirmed by Raman spectroscopy. Scanning electron microscope (SEM) observations indicate that the film is flat, relatively compact and the interface between β-FeSi2 and 6H-SiC is clear. Atomic force microscope (AFM) measurements demonstrate that the surface roughness confirmed by the root mean square (RMS) of the β-FeSi2 film is 0.87 nm. Near-infrared spectrophotometer observation shows that the absorption coefficient is of the order of 105 cm-1 and the optical band-gap of the β-FeSi2 film is 0.88 eV. The β-FeSi2 film with high crystal quality is fabricated by co-sputtering a FeSi2 target and a Si target for 60 min and annealing at 900 °C for 5 min. Project supported by the National Natural Science Foundation of China (No. 51177134) and the Natural Science Basic Research Plan in Shaanxi Province of China (No. 2015JM6286).

  7. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo

    2018-02-28

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates. Then, bottom-contact graphene field effect transistors (G-FETs) were fabricated on the NP SiO2/Si substrate to measure the transfer curves. The graphene transferred onto the NP SiO2/Si substrate showed relatively n-doped behavior compared to the graphene transferred onto a flat SiO2/Si substrate, as evidenced by the blue-shift of the 2D peak position (∼2700 cm−1) in the Raman spectra due to contact doping. As the porosity increased within the substrate, the Dirac voltage shifted to a more positive or negative value, depending on the initial doping type (p- or n-type, respectively) of the contact doping. The Dirac voltage shifts with porosity were ascribed mainly to the compensation for the reduced capacitance owing to the SiO2–air hetero-structured dielectric layer within the periodically aligned nanopores capped by the suspended graphene (electrostatic doping). The hysteresis (Dirac voltage difference during the forward and backward scans) was reduced when utilizing an NP SiO2/Si substrate with smaller pores and/or a low porosity because fewer H2O or O2 molecules could be trapped inside the smaller pores.

  8. Growth of CNTs on Fe-Si catalyst prepared on Si and Al coated Si substrates

    International Nuclear Information System (INIS)

    Teng, F-Y; Ting, J-M; Sharma, Sahendra P; Liao, Kun-Hou

    2008-01-01

    In this paper we report the effect of Al interlayers on the growth characteristics of carbon nanotubes (CNTs) using as-deposited and plasma etched Fe-Si catalyst films as the catalysts. Al interlayers having various thicknesses ranging from 2 to 42 nm were deposited on Si substrates prior to the deposition of Fe-Si catalysts. It was found that the Al interlayer diffuses into the Fe-Si catalyst during the plasma etching prior to the CNT growth, leading to the swelling and amorphization of the catalyst. This allows enhanced carbon diffusion in the catalyst and therefore a faster growth rate of the resulting CNTs. It was also found that use of an Al interlayer having a thickness of ∼3 ± 1 nm is most effective. Due to the effectiveness of this, the normally required catalyst etching is no longer needed for the growth of CNTs

  9. Growth of CNTs on Fe-Si catalyst prepared on Si and Al coated Si substrates.

    Science.gov (United States)

    Teng, F-Y; Ting, Jyh-Ming; Sharma, Sahendra P; Liao, Kun-Hou

    2008-03-05

    In this paper we report the effect of Al interlayers on the growth characteristics of carbon nanotubes (CNTs) using as-deposited and plasma etched Fe-Si catalyst films as the catalysts. Al interlayers having various thicknesses ranging from 2 to 42 nm were deposited on Si substrates prior to the deposition of Fe-Si catalysts. It was found that the Al interlayer diffuses into the Fe-Si catalyst during the plasma etching prior to the CNT growth, leading to the swelling and amorphization of the catalyst. This allows enhanced carbon diffusion in the catalyst and therefore a faster growth rate of the resulting CNTs. It was also found that use of an Al interlayer having a thickness of ∼3 ± 1 nm is most effective. Due to the effectiveness of this, the normally required catalyst etching is no longer needed for the growth of CNTs.

  10. Nucleation sites of Ge nanoislands grown on pit-patterned Si substrate prepared by electron-beam lithography

    Science.gov (United States)

    Smagina, Zh. V.; Zinovyev, V. A.; Rudin, S. A.; Novikov, P. L.; Rodyakina, E. E.; Dvurechenskii, A. V.

    2018-04-01

    Regular pit-patterned Si(001) substrates were prepared by electron-beam lithography followed by plasma chemical etching. The geometry of the pits was controlled by varying the etching conditions and the electron-beam exposure duration. It was shown that the location of three-dimensional (3D) Ge nanoislands subsequently grown on the pit-patterned Si substrates depends on the shape of the pit bottom. In the case of pits having a sharp bottom, 3D Ge islands nucleate inside the pits. For pits with a wide flat bottom, the 3D Ge island nucleation takes place at the pit periphery. This effect is attributed to the strain relaxation depending not only on the initial pit shape, but also on its evolution during the Ge wetting layer deposition. It was shown by Monte Carlo simulations that in the case of a pit with a pointed bottom, the relaxation is most effective inside the pit, while for a pit with a wide bottom, the most relaxed area migrates during Ge deposition from the pit bottom to its edges, where 3D Ge islands nucleate.

  11. Purity of targets prepared on Cu substrates

    Science.gov (United States)

    Méens, A.; Rossini, I.; Sens, J. C.

    1993-09-01

    The purity of several elemental self-supporting targets usually prepared by evaporation onto soluble Cu substrates has been studied. The targets were analysed by Rutherford backscattering and instrumental neutron activation analysis. Because of the high percentage of Cu observed in some Si targets, further measurements, including transmission electron microscopy, have been performed on Si targets deposited by e-gun bombardment onto Cu and ion-beam sputtering onto betaine.

  12. Low thermal budget surface preparation of Si and SiGe

    International Nuclear Information System (INIS)

    Abbadie, A.; Hartmann, J.M.; Holliger, P.; Semeria, M.N.; Besson, P.; Gentile, P.

    2004-01-01

    Using a two-step cleaning, we have investigated the low thermal budget surface preparation of Si and Si 1-x Ge x (x=0.2-0.33). It consists of an ex situ 'HF-last' wet-cleaning and an in situ low thermal budget H 2 bake in a reduced pressure-chemical vapor deposition reactor. Using secondary ion mass spectrometry, we have evaluated the effects of different H 2 bake temperatures (in between 750 and 850 deg. C for 2 min) on the removal efficiency of C, O and F atoms still present on the surface of Si and SiGe virtual substrates after the 'HF-last' wet-cleaning. We have then examined the impact of the (wet-cleaning+H 2 bake) combination on the surface cross-hatch of SiGe as-grown virtual substrates, focusing on the analysis, notably by atomic force microscopy, of the surface topography before and after the miscellaneous thermal treatments. In situ hydrogen baking steps in between 775 and 850 deg. C do not modify the surface morphology and roughness. An easy and rapid optical characterization method, i.e. the optical interferometry, is presented as well to monitor in line the morphological changes induced by such processing steps as chemical mechanical polishing, wet-cleaning, H 2 bake, etc. Despite the lower resolution of the optical profilometer, the surface roughness values coming from it have been correctly correlated with those obtained from AFM. An optimized 'HF-last' wet-cleaning using a diluted chemistry in conjunction with a H 2 bake at 800 deg. C for 2 min (775 deg. C, 2') is a good compromise for SiGe (Si) surface preparation

  13. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    International Nuclear Information System (INIS)

    Morse, M.; Wu, P.; Choi, S.; Kim, T.H.; Brown, A.S.; Losurdo, M.; Bruno, G.

    2006-01-01

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy

  14. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Morse, M. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: michael.morse@duke.edu; Wu, P. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, T.H. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Brown, A.S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: abrown@ee.duke.edu; Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy)

    2006-10-31

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy.

  15. Silver-coated Si nanograss as highly sensitive surface-enhanced Raman spectroscopy substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Jing; Kuo, Huei Pei; Hu, Min; Li, Zhiyong; Williams, R.S. [Hewlett-Packard Laboratories, Information and Quantum Systems Laboratory, Palo Alto, CA (United States); Ou, Fung Suong [Hewlett-Packard Laboratories, Information and Quantum Systems Laboratory, Palo Alto, CA (United States); Rice University, Department of Applied Physics, Houston, TX (United States); Stickle, William F. [Hewlett-Packard Company, Advanced Diagnostic Lab, Corvallis, OR (United States)

    2009-09-15

    We created novel surface-enhanced Raman spectroscopy (SERS) substrates by metalization (Ag) of Si nanograss prepared by a Bosch process which involves deep reactive ion etching of single crystalline silicon. No template or lithography was needed for making the Si nanograss, thus providing a simple and inexpensive method to achieve highly sensitive large-area SERS substrates. The dependence of the SERS effect on the thickness of the metal deposition and on the surface morphology and topology of the substrate prior to metal deposition was studied in order to optimize the SERS signals. We observed that the Ag-coated Si nanograss can achieve uniform SERS enhancement over large area ({proportional_to}1 cm x 1 cm) with an average EF (enhancement factor) of 4.2 x 10{sup 8} for 4-mercaptophenol probe molecules. (orig.)

  16. Mechanics of patterned helical Si springs on Si substrate.

    Science.gov (United States)

    Liu, D L; Ye, D X; Khan, F; Tang, F; Lim, B K; Picu, R C; Wang, G C; Lu, T M

    2003-12-01

    The elastic response, including the spring constant, of individual Si helical-shape submicron springs, was measured using a tip-cantilever assembly attached to a conventional atomic force microscope. The isolated, four-turn Si springs were fabricated using oblique angle deposition with substrate rotation, also known as the glancing angle deposition, on a templated Si substrate. The response of the structures was modeled using finite elements, and it was shown that the conventional formulae for the spring constant required modifications before they could be used for the loading scheme used in the present experiment.

  17. HgCdTe photovoltaic detectors on Si substrates

    International Nuclear Information System (INIS)

    Zanio, K.R.; Bean, R.C.

    1988-01-01

    HgCdTe photovoltaic detectors have been fabricated on Si substrates through intermediate CdTe/GaAs layers. Encapsulation of the GaAs between the CdTe and Si prevents unintentional doping of the HgCdTe by Ga and As. Uniform epitaxial GaAs is grown on three inch diameter Si substrates. Detectors on such large area Si substrates will offer hybrid focal plane arrays whose dimensions are not limited by the difference between the coefficients of thermal expansion of the Si signal processor and the substrate for the HgCdTe detector array. The growth of HgCdTe detectors on the Si signal processors for monolithic focal plane arrays is also considered. 40 references

  18. Structure and scintillation properties of CsI(Tl) films on Si single crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Lina [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu, Shuang, E-mail: shuangliu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Chen, Dejun; Zhang, Shangjian; Liu, Yong; Zhong, Zhiyong [State Key Laboratory of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Falco, Charles M. [University of Arizona, College of Optical Sciences, AZ 85721 (United States)

    2016-10-30

    Highlights: • We obtained the desired micro-columnar structure of CsI(Tl) films on the orienting Si substrates. • We improved the micro-columnar structure of CsI(Tl) films under the relatively large deposition rate through using the substrate with a pre-deposited CsI nanolayer. • We modeled the interface structures between the CsI(Tl) films with (200) and (310) orientation and Si(111) substrates to explain the preferred orientation of film under the influence of the orienting substrate significantly. • We gained a new spectrum of the CsI(Tl) films peaked at 740 nm wavelength. - Abstract: CsI(Tl) scintillation films fabricated on glass substrates are widely applied for X-ray imaging because their ability to grow in micro-columnar structure and proper emission wavelength matching CCD cameras. But the coupling process between the CsI(Tl) films and Si-based photo detector would cause coupling loss. In this work, CsI(Tl) films were deposited on the orienting Si substrates and the Si substrates covered by the pre-deposited CsI nanolayers. Structure and scintillation properties of films were examined by using scanning electron microscopy, X-ray diffraction, photoluminescence and radioluminescent spectrum. The films deposited on the orienting Si substrates show the micro-columnar morphology with perfect single crystalline structure and the photoluminescence spectra with bimodal distribution. The performances of the films prepared on the pre-deposited CsI nanolayer, containing micro-columns structure and the light yield are improved.

  19. Structure and scintillation properties of CsI(Tl) films on Si single crystal substrates

    International Nuclear Information System (INIS)

    Guo, Lina; Liu, Shuang; Chen, Dejun; Zhang, Shangjian; Liu, Yong; Zhong, Zhiyong; Falco, Charles M.

    2016-01-01

    Highlights: • We obtained the desired micro-columnar structure of CsI(Tl) films on the orienting Si substrates. • We improved the micro-columnar structure of CsI(Tl) films under the relatively large deposition rate through using the substrate with a pre-deposited CsI nanolayer. • We modeled the interface structures between the CsI(Tl) films with (200) and (310) orientation and Si(111) substrates to explain the preferred orientation of film under the influence of the orienting substrate significantly. • We gained a new spectrum of the CsI(Tl) films peaked at 740 nm wavelength. - Abstract: CsI(Tl) scintillation films fabricated on glass substrates are widely applied for X-ray imaging because their ability to grow in micro-columnar structure and proper emission wavelength matching CCD cameras. But the coupling process between the CsI(Tl) films and Si-based photo detector would cause coupling loss. In this work, CsI(Tl) films were deposited on the orienting Si substrates and the Si substrates covered by the pre-deposited CsI nanolayers. Structure and scintillation properties of films were examined by using scanning electron microscopy, X-ray diffraction, photoluminescence and radioluminescent spectrum. The films deposited on the orienting Si substrates show the micro-columnar morphology with perfect single crystalline structure and the photoluminescence spectra with bimodal distribution. The performances of the films prepared on the pre-deposited CsI nanolayer, containing micro-columns structure and the light yield are improved.

  20. Effect of Atomic Hydrogen on Preparation of Highly Moisture-Resistive SiNx Films at Low Substrate Temperatures

    Science.gov (United States)

    Heya, Akira; Niki, Toshikazu; Takano, Masahiro; Yonezawa, Yasuto; Minamikawa, Toshiharu; Muroi, Susumu; Minami, Shigehira; Izumi, Akira; Masuda, Atsushi; Umemoto, Hironobu; Matsumura, Hideki

    2004-12-01

    Highly moisture-resistive SiNx films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

  1. Characterisation of NdFeB thin films prepared on (100)Si substrates with SiO2 barrier layers

    International Nuclear Information System (INIS)

    Sood, D.K.; Muralidhar, G.K.

    1998-01-01

    This work presents a systematic study of the deposition and characterization of NdFeB films on substrates of Si(100) and of SiO2 layer thermally grown on Si(100) held at RT, 360 deg C or 440 deg C. The post-deposition annealing is performed at 600 or 800 deg C in vacuum. The films are characterised using the analytical techniques of RBS, SIMS, XRD, OM and SEM. Results indicate that SiO2 is, in deed, an excellent diffusion barrier layer till 600 deg C but becomes relatively less effective at 800 deg C. Without this barrier layer, interdiffusion at the Si-NdFeB film interface leads to formation of iron silicides, α-Fe and B exclusion from the diffusion zone, in competition with the formation of the magnetic NdFeB phase. (authors)

  2. Al-Si/B{sub 4}C composite coatings on Al-Si substrate by plasma spray technique

    Energy Technology Data Exchange (ETDEWEB)

    Sarikaya, Ozkan [Sakarya University, Faculty of Engineering, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Anik, Selahaddin [Sakarya University, Faculty of Engineering, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Aslanlar, Salim [Sakarya University, Faculty of Technical Education, Department of Mechanical Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Cem Okumus, S. [Sakarya University, Faculty of Engineering, Department of Metallurgical and Materials Engineering, Esentepe Campus, Sakarya 54187 (Turkey); Celik, Erdal [Dokuz Eylul University, Engineering Faculty, Department of Metallurgical and Materials Engineering, Buca, Izmir 35160 (Turkey)]. E-mail: erdal.celik@deu.edu.tr

    2007-07-01

    Plasma-sprayed coatings of Al-Si/B{sub 4}C have been prepared on Al-Si piston alloys for diesel engine motors. The Al-Si/B{sub 4}C composite powders including 5-25 wt% B{sub 4}C were prepared by mixing and ball-milling processes. These powders were deposited on Al-Si substrate using an atmospheric plasma spray technique. The coatings have been characterised with respect to phase composition, microstructure, microhardness, bond strength and thermal expansion. It was found that Al, Si, B{sub 4}C and Al{sub 2}O{sub 3} phases were determined in the coatings with approximately 600 {mu}m thick by using X-ray diffraction analysis. Scanning electron microscope observation revealed that boron carbide particles were uniformly distributed in composite coatings and B{sub 4}C particles were fully wetted by Al-Si alloy. Also, no reaction products were observed in Al-Si/B{sub 4}C composite coatings. It was found that surface roughness, porosity, bond strength and thermal expansion coefficient of composite coatings decreased with increasing fraction of the boron carbide particle. It was demonstrated that the higher the B{sub 4}C content, the higher the hardness of coatings because the hardness of B{sub 4}C is higher than that of Al-Si.

  3. Polarized luminescence of nc-Si-SiO x nanostructures on silicon substrates with patterned surface

    Science.gov (United States)

    Michailovska, Katerina; Mynko, Viktor; Indutnyi, Ivan; Shepeliavyi, Petro

    2018-05-01

    Polarization characteristics and spectra of photoluminescence (PL) of nc-Si-SiO x structures formed on the patterned and plane c-Si substrates are studied. The interference lithography with vacuum chalcogenide photoresist and anisotropic wet etching are used to form a periodic relief (diffraction grating) on the surface of the substrates. The studied nc-Si-SiO x structures were produced by oblique-angle deposition of Si monoxide in vacuum and the subsequent high-temperature annealing. The linear polarization memory (PM) effect in PL of studied structure on plane substrate is manifested only after the treatment of the structures in HF and is explained by the presence of elongated Si nanoparticles in the SiO x nanocolumns. But the PL output from the nc-Si-SiO x structure on the patterned substrate depends on how this radiation is polarized with respect to the grating grooves and is much less dependent on the polarization of the exciting light. The measured reflection spectra of nc-Si-SiO x structure on the patterned c-Si substrate confirmed the influence of pattern on the extraction of polarized PL.

  4. High quality β-FeSi2 thin films prepared on silicon (100) by using pulsed laser ablation of Fe target

    International Nuclear Information System (INIS)

    Xu, S.C.; Yang, C.; Liu, M.; Jiang, S.Z.; Ma, Y.Y.; Chen, C.S.; Gao, X.G.; Sun, Z.C.; Hu, B.; Wang, C.C.; Man, B.Y.

    2012-01-01

    High quality β-FeSi 2 thin films have been fabricated on silicon (100) substrate by the pulsed laser deposition (PLD) technique with the Fe and sintered FeSi 2 targets. The crystalline quality and surface morphology of the samples were characterized by X-ray diffraction (XRD), scanning electron microscope (SEM), atomic force microscope (AFM), X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared (FTIR) spectroscopy. These results indicate that the samples prepared with a Fe target can acquire a better crystalline quality and a smoother surface than those with a sintered FeSi 2 target. The reasons were discussed with subsurface superheating mechanism. The intrinsic PL spectrum attributed to the interband transition of β-FeSi 2 for all the samples was compared, showing that the film prepared with Fe target can acquire a good PL property by optimizing experimental parameters. It is suggested that sputtering Fe on Si substrate by the pulsed laser offers a cheap and convenient way to prepare the β-FeSi 2 thin films. -- Highlights: ► β-FeSi 2 films were fabricated by PLD technique with the Fe and FeSi 2 targets. ► The films prepared with Fe target have good crystalline quality and smooth surface. ► The Fe target prepared film acquired a high PL intensity. ► Sputtering Fe on Si substrate offers a convenient way to prepare the β-FeSi 2 films.

  5. Heteroepitaxy of zinc-blende SiC nano-dots on Si substrate by organometallic ion beam

    International Nuclear Information System (INIS)

    Matsumoto, T.; Kiuchi, M.; Sugimoto, S.; Goto, S.

    2006-01-01

    The self-assembled SiC nano-dots were fabricated on Si(111) substrate at low-temperatures using the organometallic ion beam deposition technique. The single precursor of methylsilicenium ions (SiCH 3 + ) with the energy of 100 eV was deposited on Si(111) substrate at 500, 550 and 600 deg. C. The characteristics of the self-assembled SiC nano-dots were analyzed by reflection high-energy electron diffraction (RHEED), Raman spectroscopy and atomic force microscope (AFM). The RHEED patterns showed that the crystal structure of the SiC nano-dots formed on Si(111) substrate was zinc-blende SiC (3C-SiC) and it was heteroepitaxy. The self-assembled SiC nano-dots were like a dome in shape, and their sizes were the length of 200-300 nm and the height of 10-15 nm. Despite the low-temperature of 500 deg. C as SiC crystallization the heteroepitaxial SiC nano-dots were fabricated on Si(111) substrate using the organometallic ion beam

  6. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  7. Preparation of Pb(Zr, Ti)O3 Thin Films on Glass Substrates

    Science.gov (United States)

    Hioki, Tsuyoshi; Akiyama, Masahiko; Ueda, Tomomasa; Onozuka, Yutaka; Hara, Yujiro; Suzuki, Kouji

    2000-09-01

    Lead-zirconate-titanate (PZT) thin films were prepared on non-alkaline glass substrates widely used in liquid crystal display (LCD) devices, by plasma-assisted magnetron RF sputtering with an immersed coil. After preparation of the PZT thin film, the glass was available for use in LCD device processing. No mutual diffusion of the elements was recognized between the glass substrate and the bottom electrode. The PZT layer had a dense film structure with rectangular and columnar grains, and only its perovskite phase was crystalline. PZT thin films on a glass substrate had leakage current densities of about 10-8 A/cm2, acceptable hysteresis loop shapes with the remanent polarization (Pr) of 45 μC/cm2 and the coercive field (Ec) of 90 kV/cm. Ferroelectric properties on a glass substrate almost conform with those on a Si-based substrate.

  8. Structural and electrical properties of epitaxial Si layers prepared by E-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Rudigier, E.; Fenske, F.; Lee, K.Y.; Gorka, B.; Rau, B.; Conrad, E.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2008-08-30

    In this work, we present structural and electrical properties of thin Si films which are homoepitaxially grown at low substrate temperatures (T{sub s} 450-700 deg. C) by high-rate electron beam evaporation. As substrates, monocrystalline Si wafers with (100) and (111) orientations and polycrystalline Si (poly-Si) seed layers on glass were used. Applying Secco etching, films grown on Si(111) wafers exhibit a decreasing etch pit density with increasing T{sub s}. The best structural quality of the films was obtained on Si(100) wafers. Defect etching on epitaxially grown poly-Si absorbers reveal regions with different crystalline quality. Solar cells have been prepared on both wafers and seed layers. Applying Rapid Thermal Annealing (RTA) and Hydrogen plasma passivation an open circuit voltage of 570 mV for wafer based and 346 mV for seed layer based solar cells have been reached.

  9. SiC substrate defects and III-N heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B D [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Koga, T S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Sandhu, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hsing, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Khan, A [Department of Electrical Engineering, University of South Carolina, Columbia, SC (United States); Goorsky, M S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2003-05-21

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuK{alpha} radiation ({lambda} = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10{sup -7}. The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from {approx}100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were {approx}20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established.

  10. SiC substrate defects and III-N heteroepitaxy

    International Nuclear Information System (INIS)

    Poust, B D; Koga, T S; Sandhu, R; Heying, B; Hsing, R; Wojtowicz, M; Khan, A; Goorsky, M S

    2003-01-01

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuKα radiation (λ = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10 -7 . The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from ∼100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were ∼20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established

  11. Structural and optical properties of {beta}-FeSi{sub 2}/Si(100) prepared by laser ablation method

    Energy Technology Data Exchange (ETDEWEB)

    Kakemoto, H; Makita, Y; Obara, A; Tsai, Y; Sakuragi, S; Ando, S; Tsukamoto, T

    1997-07-01

    {beta}-FeSi{sub 2} is a promising material for the application of various electronic, optoelectronic and energy devices. The authors present here the semiconducting properties of {beta}-FeSi{sub 2} films on Si(100) substrate prepared by laser ablation method. Samples were grown using poly-crystalline bulk {beta}-FeSi{sub 2} prepared by horizontal gradient freeze method. For the monitoring of growth, in-situ observation of ablation plume was made through fluorescence spectroscopy. Reflection of high-energy electron beam diffraction (RHEED) was also made in-situ to see the surface morphology. Characterization of the films by X-ray diffraction presented purely {beta}(220) orientation. Raman scattering measurements at room temperature also indicated that the grown films are semiconducting {beta}-FeSi{sub 2}. Optical absorption spectra at room temperature showed absorption coefficient higher than 10{sup 5} cm{sup {minus}1} above the band-gap ({approximately}1.2 eV). It was revealed that high quality semiconducting {beta}-FeSi{sub 2} films can be fabricated by laser ablation method without post-annealing.

  12. Growth and characterization of yttrium iron garnet films on Si substrates by Chemical Solution Deposition (CSD) technique

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Xin; Chen, Ying; Wang, Genshui [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Zhang, Yuanyuan [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ge, Jun [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Tang, Xiaodong [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ponchel, Freddy; Rémiens, Denis [Institute of Electronics, Microelectronics and Nanotechnology (IEMN)–DOAE, UMR CNRS 8520, Université des Sciences et Technologies de Lille, 59652 Villeneuve d’Ascq Cedex (France); Dong, Xianlin, E-mail: xldong@mail.sic.ac.cn [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China)

    2016-06-25

    Yttrium Iron Garnet (YIG) films were prepared on Si substrates by Chemical Solution Deposition (CSD) technique using acetic acid and deionized water as solvents. Well-crystallized and crack-free YIG films were obtained when annealed at 750 °C and 850 °C respectively, showing a low surface roughness of several nanometers. When annealed at 750 °C for 30 min, the saturated magnetization (Ms) and coercive field (Hc) of YIG films were 0.121 emu/mm{sup 3} (4πMs = 1.52 kGs) and 7 Oe respectively, which were similar to that prepared by PLD technique. The peak-to-peak linewidth of ferromagnetic resonance (FMR) was 220 Oe at 9.10 GHz. The results demonstrated that CSD was an excellent technique to prepare high quality yttrium iron garnet (YIG) films on silicon, which could provide a lower-cost way for large-scale production on Si-based integrated devices. - Highlights: • The preparation of YIG films by Chemical Solution Deposition are demonstrated. • Well-crystallized and crack-free YIG films can be obtained on Si substrate by CSD. • YIG films can be crystallized in 750 °C with good magnetic performances. • It's beneficial to large-scale production of YIG films on Si integrated devices.

  13. Structural investigation of ZnO:Al films deposited on the Si substrates by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chen, Y.Y.; Yang, J.R.; Cheng, S.L.; Shiojiri, M.

    2013-01-01

    ZnO:Al films 400 nm thick were prepared on (100) Si substrates by magnetron sputtering. Energy dispersive X-ray spectroscopy and transmission electron microscopy (TEM) revealed that in the initial stage of the deposition, an amorphous silicon oxide layer about 4 nm thick formed from damage to the Si substrate due to sputtered particle bombardment and the incorporation of Si atoms with oxygen. Subsequently, a crystalline Si (Zn) layer about 30 nm thick grew on the silicon oxide layer by co-deposition of Si atoms sputtered away from the substrate with Zn atoms from the target. Finally, a ZnO:Al film with columnar grains was deposited on the Si (Zn) layer. The sputtered particle bombardment greatly influenced the structure of the object films. The (0001) lattice fringes of the ZnO:Al film were observed in high-resolution TEM images, and the forbidden 0001 reflection spots in electron diffraction patterns were attributed to double diffraction. Therefore, the appearance of the forbidden reflection did not imply any ordering of Al atoms and/or O vacancies in the ZnO:Al film. - Highlights: • ZnO:Al films were deposited on (100) Si substrate using magnetron sputtering. • An amorphous silicon oxide layer with a thickness of 4 nm was formed on Si substrate. • Crystalline Si (Zn) layer about 30 nm thick grew on amorphous silicon oxide layer. • ZnO:Al film comprising columnar grains was deposited on the Si(Zn) layer. • Lattice image of the ZnO:Al film has been interpreted

  14. Evaluation of preparation methods for suspended nano-objects on substrates for dimensional measurements by atomic force microscopy

    Directory of Open Access Journals (Sweden)

    Petra Fiala

    2017-08-01

    Full Text Available Dimensional measurements on nano-objects by atomic force microscopy (AFM require samples of safely fixed and well individualized particles with a suitable surface-specific particle number on flat and clean substrates. Several known and proven particle preparation methods, i.e., membrane filtration, drying, rinsing, dip coating as well as electrostatic and thermal precipitation, were performed by means of scanning electron microscopy to examine their suitability for preparing samples for dimensional AFM measurements. Different suspensions of nano-objects (with varying material, size and shape stabilized in aqueous solutions were prepared therefore on different flat substrates. The drop-drying method was found to be the most suitable one for the analysed suspensions, because it does not require expensive dedicated equipment and led to a uniform local distribution of individualized nano-objects. Traceable AFM measurements based on Si and SiO2 coated substrates confirmed the suitability of this technique.

  15. Evaluation of preparation methods for suspended nano-objects on substrates for dimensional measurements by atomic force microscopy.

    Science.gov (United States)

    Fiala, Petra; Göhler, Daniel; Wessely, Benno; Stintz, Michael; Lazzerini, Giovanni Mattia; Yacoot, Andrew

    2017-01-01

    Dimensional measurements on nano-objects by atomic force microscopy (AFM) require samples of safely fixed and well individualized particles with a suitable surface-specific particle number on flat and clean substrates. Several known and proven particle preparation methods, i.e., membrane filtration, drying, rinsing, dip coating as well as electrostatic and thermal precipitation, were performed by means of scanning electron microscopy to examine their suitability for preparing samples for dimensional AFM measurements. Different suspensions of nano-objects (with varying material, size and shape) stabilized in aqueous solutions were prepared therefore on different flat substrates. The drop-drying method was found to be the most suitable one for the analysed suspensions, because it does not require expensive dedicated equipment and led to a uniform local distribution of individualized nano-objects. Traceable AFM measurements based on Si and SiO 2 coated substrates confirmed the suitability of this technique.

  16. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  17. Separation of stress-free AlN/SiC thin films from Si substrate

    International Nuclear Information System (INIS)

    Redkov, A V; Osipov, A V; Mukhin, I S; Kukushkin, S A

    2016-01-01

    We separated AlN/SiC film from Si substrate by chemical etching of the AlN/SiC/Si heterostructure. The film fully repeats the size and geometry of the original sample and separated without destroying. It is demonstrated that a buffer layer of silicon carbide grown by a method of substitution of atoms may have an extensive hollow subsurface structure, which makes it easier to overcome the differences in the coefficients of thermal expansion during the growth of thin films. It is shown that after the separation of the film from the silicon substrate, mechanical stresses therein are almost absent. (paper)

  18. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    During the past two decades, the decrease in intrinsic delay of MOSFETs has been driven by the scaling of the device dimensions. The performance improvement has relied mostly in the increase of source velocity with gate scaling, while the transport properties of the channel have remained constant, i.e., those of conventional Si. Starting at the 90 nm node, uniaxial strain has been introduced in the transistor channel in order to further increase the source velocity. Beyond the 32 nm node, novel channel materials, with superior carrier velocities, and novel device architectures are required in order to continue the performance enhancement of MOSFETs while preserving the electrostatic control. In this Thesis, different physical aspects of strained Si and SiGe materials are investigated as a mean to increase carrier velocity in MOSFET channels. Novel approaches for the fabrication of strained Si based on ion implantation and anneal induced relaxation of virtual substrates are developed. The strain relaxation of SiGe layers is improved using a buried thin Si:C layer in the Si(100) substrate. Further, a Si{sup +} ion implantation and annealing method is investigated for relaxing virtual substrates using lower implantation dose. Finally, the uniaxial relaxation of {l_brace}110{r_brace} surface oriented substrates is demonstrated using a He ion implantation and anneal technique. Apart of channel material studies, the fundamental and technological challenges involved in the integration of strained Si and SiGe into MOSFETs are assessed. The impact of source and drain formation on the elastic strain and electrical properties of strained Si layers and nanowires is examined. Also, the formation of ultra-shallow junction in strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI heterostructures is investigated using different types of ion implanted specie and annealing. The results show that BF{sup +}{sub 2} implantation and low temperature annealing are suitable approaches for

  19. Magnetotransport properties of c-axis oriented La0.7Sr0.3MnO3 thin films on MgO-buffered SiO2/Si substrates

    International Nuclear Information System (INIS)

    Kang, Young-Min; Ulyanov, Alexander N.; Shin, Geo-Myung; Lee, Sung-Yun; Yoo, Dae-Gil; Yoo, Sang-Im

    2009-01-01

    c-axis oriented La 0.7 Sr 0.3 MnO 3 (LSMO) films on MgO-buffered SiO 2 /Si substrates were prepared, and their texture, microstructure, and magnetotransport properties were studied and compared to epitaxial LSMO/MgO (001) and polycrystalline LSMO/SiO 2 /Si films. c-axis oriented MgO buffer layers were obtained on amorphous SiO 2 layer through rf sputter deposition at low substrate temperature and consequent postannealing processes. In situ pulsed laser deposition-grown LSMO films, deposited on the MgO layer, show strong c-axis texture, but no in-plane texture. The c-axis oriented LSMO films which are magnetically softer than LSMO/SiO 2 /Si films exhibit relatively large low field magnetoresistance (LFMR) and sharper MR drop at lower field. The large LFMR is attributed to a spin-dependent scattering of transport current at the grain boundaries

  20. Highly active surface-enhanced Raman scattering (SERS) substrates based on gold nanoparticles infiltrated into SiO{sub 2} inverse opals

    Energy Technology Data Exchange (ETDEWEB)

    Ankudze, Bright; Philip, Anish [Department of Chemistry, University of Eastern Finland, P.O. Box 111, F1-80101, Joensuu (Finland); Pakkanen, Tuula T., E-mail: Tuula.Pakkanen@uef.fi [Department of Chemistry, University of Eastern Finland, P.O. Box 111, F1-80101, Joensuu (Finland); Matikainen, Antti; Vahimaa, Pasi [Institute of Photonics, University of Eastern Finland, P.O. Box 111, F1-80101, Joensuu (Finland)

    2016-11-30

    Highlights: • SERS substrates prepared by infiltration of nanoparticles into SiO{sub 2} inverse opal. • The SERS substrate gives an enhancement factor of 10{sup 7} for 4-aminothiophenol. • The sensitivity of the substrate is mainly attributed to gold nanoparticle clusters. - Abstract: SiO{sub 2} inverse opal (IO) films with embedded gold nanoparticles (AuNPs) for surface-enhanced Raman scattering (SERS) application are reported. SiO{sub 2} IO films were loaded with AuNPs by a simple infiltration in a single cycle to form Au-SiO{sub 2} IOs. The optical property and the morphology of the Au-SiO{sub 2} IO substrates were characterized; it was observed that they retained the Bragg diffraction of SiO{sub 2} IO and the localized surface plasmon resonance (LSPR) of AuNPs. The SERS property of the Au-SiO{sub 2} IO substrates were studied with methylene blue (MB) and 4-aminothiophenol (4-ATP). The SERS enhancement factors were 10{sup 7} and 10{sup 6} for 4-ATP and MB, respectively. A low detection limit of 10{sup −10} M for 4-ATP was also obtained with the Au-SiO{sub 2} IO substrate. A relative standard deviation of 18.5% for the Raman signals intensity at 1077 cm{sup −1} for 4-ATP shows that the Au-SiO{sub 2} IO substrates have good signal reproducibility. The results of this study indicate that the Au-SiO{sub 2} IO substrates can be used in sensing and SERS applications.

  1. Morphological and electrical properties of self-assembled iron silicide nanoparticles on Si(0 0 1) and Si(1 1 1) substrates

    International Nuclear Information System (INIS)

    Molnár, G.; Dózsa, L.; Erdélyi, R.; Vértesy, Z.; Osváth, Z.

    2015-01-01

    Highlights: • Epitaxial iron silicide nanostructures were grown on Si(1 1 1) and Si(0 0 1) substrates. • The size and shape of the particles are the function of the thickness and annealing. • The local current–voltage characteristics were measured by conductive AFM. • The different size and shape nanoparticles show similar I–V characteristics. • The tip current is dominated in few nm size sites, visible in the AFM phase image. - Abstract: Epitaxial iron silicide nanostructures are grown by solid phase epitaxy on Si(0 0 1) and Si(1 1 1), and by reactive deposition epitaxy on Si(0 0 1) substrates. The formation process is monitored by reflection high-energy electron diffraction. The morphology, size, and electrical properties of the nanoparticles are investigated by scanning electron microscopy, by electrically active scanning probe microscopy, and by confocal Raman spectroscopy. The results show that the shape, size, orientation, and density of the nanoobjects can be tuned by self-assembly, controlled by the lattice misfit between the substrates and iron silicides. The size distribution and shape of the grown nanoparticles depend on the substrate orientation, on the initial thickness of the evaporated iron, on the temperature and time of the annealing, and on the preparation method. The so-called Ostwald ripening phenomena, which state that the bigger objects develop at the expense of smaller ones, controls the density of the nanoparticles. Raman spectra show the bigger objects do not contain β-FeSi 2 phase. The different shape nanoparticles exhibit small, about 100 mV barrier compared to the surrounding silicon. The local leakage current of the samples measured by conductive AFM using a Pt coated Si tip is localized in a few nanometers size sites, and the sites which we assume are very small silicide nanoparticles or point defects.

  2. Preparation and study of nanostructured TiAlSiN thin films

    Directory of Open Access Journals (Sweden)

    Jakab-Farkas L.

    2011-12-01

    Full Text Available TiAlSiN thin film coatings were deposited by DC reactive magnetron sputtering of TiAlSi target with 40 at.% Ti, 40 at.% Al and 20 at.% Si, performed in N2-Ar gas mixture. The sputtering power used in these experiments was controlled for 400 W. The bias voltage of the substrates was kept at -20 V DC and the temperature at 500 0C. All the samples were prepared with a constant flow rate of Ar and different nitrogen flow rates, which were selected from 1.25 sccm to 4.0 sccm. Nanostructured TiAlSiN coatings were developed on Si(100 and HSS substrates. Microstructure investigation of the coatings was performed by transmission electron microscopy investigation, structure investigation was performed by XRD analysis, and the mechanical properties of the coatings have been tested by ball-on-disk tribological investigation and micro-Vickers hardness measurements. In this paper will be shown that for optimized nitrogen concentration the microstructure of TiAlSiN coating evolve from a competitive columnar growth to a dendritic growth one with very fine nano-lamellae like morphology. The developed nanostructured TiAlSiN coatingshave hardness HV exceeding 40 GPa and show an increased abrasive wear resistance

  3. Temperature dependence of ordered GeSi island growth on patterned Si (001) substrates

    International Nuclear Information System (INIS)

    ZhongZhenyang; Chen Peixuan; Jiang Zuimin; Bauer, Guenther

    2008-01-01

    Statistical information on GeSi islands grown on two-dimensionally pit-patterned Si substrates at different temperatures is presented. Three growth regimes on patterned substrates are identified: (i) kinetically limited growth at low growth temperatures, (ii) ordered island growth in an intermediate temperature range, and (iii) stochastic island growth within pits at high temperatures. A qualitative model based on growth kinetics is proposed to explain these phenomena. It can serve as a guidance to realize optimum growth conditions for ordered islands on patterned substrates

  4. Preparation and corrosion resistance of electroless Ni-P/SiC functionally gradient coatings on AZ91D magnesium alloy

    Science.gov (United States)

    Wang, Hui-Long; Liu, Ling-Yun; Dou, Yong; Zhang, Wen-Zhu; Jiang, Wen-Feng

    2013-12-01

    In this paper, the protective electroless Ni-P/SiC gradient coatings on AZ91D magnesium alloy substrate were successfully prepared. The prepared Ni-P/SiC gradient coatings were characterized for its microstructure, morphology, microhardness and adhesion to the substrate. The deposition reaction kinetics was investigated and an empirical rate equation for electroless Ni-P/SiC plating on AZ91D magnesium alloy was developed. The anticorrosion properties of the Ni-P/SiC gradient coatings in 3.5 wt.% NaCl solution were evaluated by potentiodynamic polarization and electrochemical impedance spectroscopy (EIS) studies. The potentiodynamic polarization measurements revealed that the SiC concentration in the bath and heat treatment can influence the corrosion protection performance of electroless deposited Ni-P/SiC gradient coatings. EIS studies indicated that higher charge transfer resistance and slightly lower capacitance values were obtained for Ni-P/SiC gradient coatings compared to Ni-P coatings. The corrosion resistance of the Ni-P/SiC gradient coatings increases initially and decreases afterwards with the sustained increasing of immersion time in the aggressive medium. The electroless Ni-P/SiC gradient coatings can afford better corrosion protection for magnesium alloy substrate compared with Ni-P coatings.

  5. Effect of substrate temperature on the radiation damage from MeV Si implantation in Si

    International Nuclear Information System (INIS)

    Yu, X.K.; Shao Lin; Rusakova, Irene; Wang, X.M.; Ma, K.B.; Chen, H.; Liu, Jiarui; Chu, W.-K.

    2006-01-01

    We have investigated the radiation damage by MeV implantation of Si in Si and its evolution under thermal annealing. Si wafers were implanted with MeV Si at various substrate temperatures. Damages were characterized by Rutherford-backscattering (RBS) channeling and by transmission electron microscopy (TEM). Defect formation after post-implantation annealing is very sensitive to the substrate temperatures during implantation. When the substrate temperature was decreased to 200 K, TEM revealed two distinct bands of damage after annealing: one around the mean projected ion range and another at half the projected range. Our study indicates that the formation of defects at half range results from the solid phase epitaxy growth of initial buried amorphous layers

  6. Fabrication of single-phase ε-GaSe films on Si(100) substrate by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chia-Chen; Zeng, Jia-Xian; Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Chang, Kuo-Jen [Chung-Shan Institute of Science and Technology, No.15, Shi Qi Zi, Gaoping Village, Longtan Township, Taoyuan County, Taiwan (China)

    2013-09-02

    Single-phase ε-gallium selenide (GaSe) films were fabricated on Si(100) substrate by metal organic chemical vapor deposition using dual-source precursors: triethylgallium (TEG) and hydrogen selenide (H{sub 2}Se) with the flow ratio of [H{sub 2}Se]/[TEG] being maintained at 1.2. In particular, an arsine (AsH{sub 3}) flow was introduced to the Si substrate before the film deposition to induce an arsenic (As)-passivation effect on the substrate. The crystalline structure of GaSe films prepared was analyzed using X-ray diffraction and the surface morphology of them was characterized by scanning electron microscopy. It was found that the film quality could be improved by the As-passivation effect. The optical properties of the films were studied by temperature dependent photoluminescence (PL) measurements. PL spectra obtained with different distributions and intensities favored for resolving the superior material quality of the films produced on the substrate with As-passivation compared to those produced on the substrate without As-passivation. The former was dominated by the excitonic emissions for the whole temperature range of 20–300 K examined, while the latter was initially dominated by the defect-related emission at 1.907 eV for a low-temperature range ≦ 80 K and then became dominated by the weak excitonic emission band instead. The ε modification of GaSe films prepared was further recognized by the Raman scattering measurements conducted at room temperature. - Highlights: • Gallium selenide (GaSe) layered structures are fabricated on Si(100) substrate. • Metal–organic chemical vapor deposition is used for film fabrication. • Arsenic-passivation effects of Si substrate on the GaSe film quality are analyzed. • Photoluminescence measurements of GaSe polycrystals are reported.

  7. Substrate Effects in Wideband SiGe HBT Mixer Circuits

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Vidkjær, Jens; Krozer, Viktor

    2005-01-01

    are also applied to predict short distance substrate coupling effects. Simulation results using extracted equivalent circuit models and substrate coupling networks are compared with experimental results obtained on a wideband mixer circuit implemented in a 0.35 μm, 60 GHz ft SiGe HBT BiCMOS process.......In this paper, the influence from substrate effects on the performance of wideband SiGe HBT mixer circuits is investigated. Equivalent circuit models including substrate networks are extracted from on-wafer test structures and compared with electromagnetic simulations. Electromagnetic simulations...

  8. Preparation and characterization of molybdenum disilicide coating on molybdenum substrate by air plasma spraying

    International Nuclear Information System (INIS)

    Wang, Yi; Wang, Dezhi; Yan, Jianhui; Sun, Aokui

    2013-01-01

    MoSi 2 oxidation protective coatings on molybdenum substrate were prepared by air plasma spraying technique (APS). Microstructure, phase composition, porosity, microhardness and bonding strength of the coatings were investigated and determined. Oxidation behavior of the coating at high temperature was also examined. Results show that composition of the coatings is constituted with MoSi 2 and Mo 5 Si 3 , the surface morphology is described as flattened lamellar features, insufficiently flattened protuberance with some degree of surface roughness, a certain quantity of spherical particles, microcracks and pores. Testing results reveal that microhardness and bonding strength of the coatings increase, and porosity decreases with increasing power or decreasing Ar gas flow rate. Moreover, with decreasing the porosity, the microhardness of the coatings increases. The bonding strength of the coatings also increases with increasing spray distance. The MoSi 2 coated Mo substrate exhibited a good oxidation resistance at 1200 °C.

  9. InGaAs quantum dots grown by molecular beam epitaxy for light emission on Si substrates.

    Science.gov (United States)

    Bru-Chevallier, C; El Akra, A; Pelloux-Gervais, D; Dumont, H; Canut, B; Chauvin, N; Regreny, P; Gendry, M; Patriarche, G; Jancu, J M; Even, J; Noe, P; Calvo, V; Salem, B

    2011-10-01

    The aim of this study is to achieve homogeneous, high density and dislocation free InGaAs quantum dots grown by molecular beam epitaxy for light emission on silicon substrates. This work is part of a project which aims at overcoming the severe limitation suffered by silicon regarding its optoelectronic applications, especially efficient light emission device. For this study, one of the key points is to overcome the expected type II InGaAs/Si interface by inserting the InGaAs quantum dots inside a thin silicon quantum well in SiO2 fabricated on a SOI substrate. Confinement effects of the Si/SiO2 quantum well are expected to heighten the indirect silicon bandgap and then give rise to a type I interface with the InGaAs quantum dots. Band structure and optical properties are modeled within the tight binding approximation: direct energy bandgap is demonstrated in SiO2/Si/InAs/Si/SiO2 heterostructures for very thin Si layers and absorption coefficient is calculated. Thinned SOI substrates are successfully prepared using successive etching process resulting in a 2 nm-thick Si layer on top of silica. Another key point to get light emission from InGaAs quantum dots is to avoid any dislocations or defects in the quantum dots. We investigate the quantum dot size distribution, density and structural quality at different V/III beam equivalent pressure ratios, different growth temperatures and as a function of the amount of deposited material. This study was performed for InGaAs quantum dots grown on Si(001) substrates. The capping of InGaAs quantum dots by a silicon epilayer is performed in order to get efficient photoluminescence emission from quantum dots. Scanning transmission electronic microscopy images are used to study the structural quality of the quantum dots. Dislocation free In50Ga50As QDs are successfully obtained on a (001) silicon substrate. The analysis of QDs capped with silicon by Rutherford Backscattering Spectrometry in a channeling geometry is also presented.

  10. Ordered GeSi nanorings grown on patterned Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Ma Yingjie

    2011-01-01

    Full Text Available Abstract An easy approach to fabricate ordered pattern using nanosphere lithography and reactive iron etching technology was demonstrated. Long-range ordered GeSi nanorings with 430 nm period were grown on patterned Si (001 substrates by molecular beam epitaxy. The size and shape of rings were closely associated with the size of capped GeSi quantum dots and the Si capping processes. Statistical analysis on the lateral size distribution shows that the high growth temperature and the long-term annealing can improve the uniformity of nanorings. PACS code1·PACS code2·more Mathematics Subject Classification (2000 MSC code1·MSC code2·more

  11. Ag/SiO2 surface-enhanced Raman scattering substrate for plasticizer detection

    Science.gov (United States)

    Wu, Ming-Chung; Lin, Ming-Pin; Lin, Ting-Han; Su, Wei-Fang

    2018-04-01

    In this study, we demonstrated a simple method of fabricating a high-performance surface-enhanced Raman scattering (SERS) substrate. Monodispersive SiO2 colloidal spheres were self-assembled on a silicon wafer, and then a silver layer was coated on it to obtain a Ag/SiO2 SERS substrate. The Ag/SiO2 SERS substrates were used to detect three kinds of plasticizer with different concentrations, namely, including bis(2-ethylhexyl)phthalate (DEHP), benzyl butyl phthalate (BBP), and dibutyl phthalate (DBP). The enhancement of Raman scattering intensity caused by surface plasmon resonance can be observed using the Ag/SiO2 SERS substrates. The Ag/SiO2 SERS substrate with a 150-nm-thick silver layer can detect plasticizers, and it satisfies the detection limit of plasticizers at 100 ppm. The developed highly sensitive Ag/SiO2 SERS substrates show a potential for the design and fabrication of functional sensors to identify the harmful plasticizers that plastic products release in daily life.

  12. Chlorobenzene, chloroform, and carbon tetrachloride adsorption on undoped and metal-doped sol-gel substrates (SiO{sub 2}, Ag/SiO{sub 2}, Cu/SiO{sub 2} and Fe/SiO{sub 2})

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez, M.A. [Postgrado de Ciencias Ambientales and Departamento de Investigacion en Zeolitas, Instituto de Ciencias, Universidad Autonoma de Puebla, Edificio 76, Complejo de Ciencias, Ciudad Universitaria, CP 72570 Puebla (Mexico)], E-mail: mighern@siu.buap.mx; Gonzalez, A.I.; Corona, L.; Hernandez, F. [Postgrado de Ciencias Ambientales and Departamento de Investigacion en Zeolitas, Instituto de Ciencias, Universidad Autonoma de Puebla, Edificio 76, Complejo de Ciencias, Ciudad Universitaria, CP 72570 Puebla (Mexico); Rojas, F.; Asomoza, M.; Solis, S. [Departamento de Quimica, Universidad Autonoma Metropolitana-Iztapalapa, P.O. Box 55-534, D.F. Mexico (Mexico); Portillo, R.; Salgado, M.A. [Facultad de Ciencias Quimicas, Universidad Autonoma de Puebla (Mexico)

    2009-02-15

    Adsorption isotherms of chlorobenzene, chloroform and carbon tetrachloride vapors on undoped SiO{sub 2}, and metal-doped Ag/SiO{sub 2}, Cu/SiO{sub 2} and Fe/SiO{sub 2} substrates were measured in the temperature range of 398-593 K. These substrates were prepared from a typical sol-gel technique in the presence of metal dopants that rendered an assortment of microporous-mesoporous solids. The relevant characteristic of these materials was the different porosities and micropore to mesopore volume ratios that were displayed; this was due to the effect that the cationic metal valence exerts on the size of the sol-gel globules that compose the porous solid. The texture of these SiO{sub 2} materials was analyzed by X-ray diffraction (XRD), FTIR, and diverse adsorption methods. The pore-size distributions of the adsorbents confirmed the existence of mesopores and supermicropores, while ultramicropores were absent. The Freundlich adsorption model approximately fitted the chlorinated compounds adsorption data on the silica substrates by reason of a heterogeneous energy distribution of adsorption sites. The intensity of the interaction between these organic vapors and the surface of the SiO{sub 2} samples was analyzed through evaluation of the isosteric heat of adsorption and standard adsorption energy; from these last results it was evident that the presence of metal species within the silica structure greatly affected the values of both the amounts adsorbed as well as of the isosteric heats of adsorption.

  13. Preparation and characterization of molybdenum disilicide coating on molybdenum substrate by air plasma spraying

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Yi [Key Laboratory of Ministry of Education for Non-ferrous Materials Science and Engineering, Central South University, Changsha 410083 (China); Key Laboratory of Hunan Province for Metallurgy and Material Processing of Rare Metals, Central South University, Changsha 410083 (China); School of Materials Science and Engineering, Central South University, Changsha 410083 (China); Wang, Dezhi, E-mail: dzwang68@163.com [Key Laboratory of Ministry of Education for Non-ferrous Materials Science and Engineering, Central South University, Changsha 410083 (China); Key Laboratory of Hunan Province for Metallurgy and Material Processing of Rare Metals, Central South University, Changsha 410083 (China); School of Materials Science and Engineering, Central South University, Changsha 410083 (China); Yan, Jianhui [Advanced Materials Synthesis and Application Technology Laboratory, Hunan University of Science and Technology, Xiangtan 411201 (China); Sun, Aokui [Key Laboratory of Ministry of Education for Non-ferrous Materials Science and Engineering, Central South University, Changsha 410083 (China); Key Laboratory of Hunan Province for Metallurgy and Material Processing of Rare Metals, Central South University, Changsha 410083 (China); School of Materials Science and Engineering, Central South University, Changsha 410083 (China)

    2013-11-01

    MoSi{sub 2} oxidation protective coatings on molybdenum substrate were prepared by air plasma spraying technique (APS). Microstructure, phase composition, porosity, microhardness and bonding strength of the coatings were investigated and determined. Oxidation behavior of the coating at high temperature was also examined. Results show that composition of the coatings is constituted with MoSi{sub 2} and Mo{sub 5}Si{sub 3}, the surface morphology is described as flattened lamellar features, insufficiently flattened protuberance with some degree of surface roughness, a certain quantity of spherical particles, microcracks and pores. Testing results reveal that microhardness and bonding strength of the coatings increase, and porosity decreases with increasing power or decreasing Ar gas flow rate. Moreover, with decreasing the porosity, the microhardness of the coatings increases. The bonding strength of the coatings also increases with increasing spray distance. The MoSi{sub 2} coated Mo substrate exhibited a good oxidation resistance at 1200 °C.

  14. Interaction of silicene with β-Si3N4(0001)/Si(111) substrate; energetics and electronic properties

    International Nuclear Information System (INIS)

    Filippone, Francesco

    2014-01-01

    The free-standing, quasi-2D layer of Si is known as silicene, in analogy with graphene. Much effort is devoted in the study of silicene, since, similarly to graphene, it shows a very high electron mobility. The interaction of silicene with a hybrid substrate, β-Si 3 N 4 (0001)/Si(111), exposing the β-Si 3 N 4 (0001) surface, has been studied by means of Density Functional calculations, with van der Waals interactions included. Once deepened the most important structural and electronic features of the hybrid substrate, we demonstrated that an electron transfer occurs from the substrate to the silicene layer. In turn, such an electron transfer can be modulated by the doping of the substrate. The β-Si 3 N 4 /silicene interaction appears to be strong enough to ensure adequate adsorption stability. It is also shown that electronic states of substrate and adsorbate still remain decoupled, paving the way for the exploitation of the peculiar electron mobility properties of the silicene layer. A detailed analysis in both direct and reciprocal space is reported. (paper)

  15. Comparative study on stress in AlGaN/GaN HEMT structures grown on 6H-SiC, Si and on composite substrates of the 6H-SiC/poly-SiC and Si/poly-SiC

    International Nuclear Information System (INIS)

    Guziewicz, M; Kaminska, E; Piotrowska, A; Golaszewska, K; Domagala, J Z; Poisson, M-A; Lahreche, H; Langer, R; Bove, P

    2008-01-01

    The stresses in GaN-based HEMT structures grown on both single crystal 6H SiC(0001) and Si(111) have been compared to these in the HEMT structures grown on new composite substrates engendered as a thin monocrystalline film attached to polycrystalline 3C-SiC substrate. By using HRXRD technique and wafer curvature method we show that stress of monocrystalline layer in composite substrates of the type mono-Si/poly-SiC is lower than 100 MPa and residual stress of epitaxial GaN buffer grown on the composite substrate does not exceed 0.31 GPa, but in the cases of single crystal SiC or Si substrates the GaN buffer stress is compressive in the range of -0.5 to -0.75 GPa. The total stress of the HEMT structure calculated from strains is consistent with the averaged stress of the multilayers stack measured by wafer curvature method. The averaged stress of HEMT structure grown on single crystals is higher than those in structures grown on composites substrates

  16. Formation of ferromagnetic interface between β-FeSi2 and Si(111) substrate

    International Nuclear Information System (INIS)

    Hattori, Azusa N.; Hattori, Ken; Kodama, Kenji; Hosoito, Nobuyoshi; Daimon, Hiroshi

    2007-01-01

    Epitaxial β-FeSi 2 thin films were grown on Si(111)7x7 clean surfaces by solid phase epitaxy in ultrahigh vacuum: iron deposition at low temperature and subsequent annealing. We found that a ferromagnetic interface layer of iron-rich silicides forms between a β-FeSi 2 surface layer and a Si(111) substrate spontaneously from transmission electron microscopy observations and magnetization measurements

  17. Study of sputtered ZnO thin films on SiO2 and GaP substrates

    International Nuclear Information System (INIS)

    Brath, T.; Buc, D.; Kovac, J.; Hrnciar, V.; Caplovic, L.

    2011-01-01

    We have investigated n-ZnO polycrystalline thin films prepared on SiO 2 and p-GaP substrate using magnetron sputtering technique. The structural and electrical properties of these structures were studied. The measured parameters give promising results with a possibility to utilize n-ZnO/p-GaP heterostructure for application in the solar cells development especially in the field of nanostructures. The prepared structures will be a subject of further research. (authors)

  18. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    International Nuclear Information System (INIS)

    Cecchi, S.; Chrastina, D.; Frigerio, J.; Isella, G.; Gatti, E.; Guzzi, M.; Müller Gubler, E.; Paul, D. J.

    2014-01-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si 1−x Ge x buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si 1−x Ge x layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach

  19. Single-phase {beta}-FeSi{sub 2} thin films prepared on Si wafer by femtosecond laser ablation and its photoluminescence at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lu Peixiang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)]. E-mail: lupeixiang@mail.hust.edu.cn; Zhou Youhua [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China) and Physics and Information School, Jianghan University, Wuhan 430056 (China)]. E-mail: yhzhou@jhun.edu.cn; Zheng Qiguang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China); Yang Guang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2006-02-06

    Single-phase {beta}-FeSi{sub 2} thin films were prepared on Si(100) and Si(111) wafers by using femtosecond laser deposition with a FeSi{sub 2} alloy target for the first time. X-ray diffraction (XRD), field scanning electron microscopy (FSEM), scanning probe microscopy (SPM), electron backscattered diffraction pattern (EBSD), and Fourier-transform Raman infrared spectroscopy (FTRIS) were used to characterize the structure, composition, and properties of the {beta}-FeSi{sub 2}/Si films. The orientation of {beta}-FeSi{sub 2} grains was found to depend on the orientation of the Si substrates, and photoluminescence at wavelength of 1.53 {mu}m was observed from the single-phase {beta}-FeSi{sub 2}/Si thin film at room temperature (20 {sup o}C)

  20. Growth and characterization of textured well-faceted ZnO on planar Si(100, planar Si(111, and textured Si(100 substrates for solar cell applications

    Directory of Open Access Journals (Sweden)

    Chin-Yi Tsai

    2017-09-01

    Full Text Available In this work, textured, well-faceted ZnO materials grown on planar Si(100, planar Si(111, and textured Si(100 substrates by low-pressure chemical vapor deposition (LPCVD were analyzed by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM, and cathode luminescence (CL measurements. The results show that ZnO grown on planar Si(100, planar Si(111, and textured Si(100 substrates favor the growth of ZnO(110 ridge-like, ZnO(002 pyramid-like, and ZnO(101 pyramidal-tip structures, respectively. This could be attributed to the constraints of the lattice mismatch between the ZnO and Si unit cells. The average grain size of ZnO on the planar Si(100 substrate is slightly larger than that on the planar Si(111 substrate, while both of them are much larger than that on the textured Si(100 substrate. The average grain sizes (about 10–50 nm of the ZnO grown on the different silicon substrates decreases with the increase of their strains. These results are shown to strongly correlate with the results from the SEM, AFM, and CL as well. The reflectance spectra of these three samples show that the antireflection function provided by theses samples mostly results from the nanometer-scaled texture of the ZnO films, while the micrometer-scaled texture of the Si substrate has a limited contribution. The results of this work provide important information for optimized growth of textured and well-faceted ZnO grown on wafer-based silicon solar cells and can be utilized for efficiency enhancement and optimization of device materials and structures, such as heterojunction with intrinsic thin layer (HIT solar cells.

  1. Thin film pc-Si by aluminium induced crystallization on metallic substrate

    Directory of Open Access Journals (Sweden)

    Cayron C.

    2013-04-01

    Full Text Available Thin film polycrystalline silicon (pc-Si on flexible metallic substrates is promising for low cost production of photovoltaic solar cells. One of the attractive methods to produce pc-Si solar cells consists in thickening a large-grained seed layer by epitaxy. In this work, the deposited seed layer is made by aluminium induced crystallization (AIC of an amorphous silicon (a-Si thin film on metallic substrates (Ni/Fe alloy initially coated with a tantalum nitride (TaN conductive diffusion barrier layer. Effect of the thermal budget on the AIC grown pc-Si seed layer was investigated in order to optimize the process (i.e. the quality of the pc-Si thin film. Structural and optical characterizations were carried out using optical microscopy, μ-Raman and Electron Backscatter Diffraction (EBSD. At optimal thermal annealing conditions, the continuous AIC grown pc-Si thin film showed an average grain size around 15 μm. The grains were preferably (001 oriented which is favorable for its epitaxial thickening. This work proves the feasibility of the AIC method to grow large grains pc-Si seed layer on TaN coated metal substrates. These results are, in terms of grains size, the finest obtained by AIC on metallic substrates.

  2. Electrical properties of the n-ZnO/c-Si heterojunction prepared by chemical spray pyrolysis

    International Nuclear Information System (INIS)

    Romero, R.; Lopez, M.C.; Leinen, D.; Martin, F.; Ramos-Barrado, J.R.

    2004-01-01

    Electrical, structural and compositional properties of n-ZnO/c-Si heterojunctions prepared by chemical spray pyrolysis on single-crystal n-type and p-type monocrystalline silicon(1 0 0) substrates are examined with the C-V method and admittance spectroscopy at temperature ranges between 223 and 373 K. The n-ZnO/c-Si heterojunctions show a height barrier consistent with the difference in energy of the work functions of Si and ZnO; however, the n-ZnO:Al/c-Si heterojunctions present a more complex behavior due to the defects at or near the n-ZnO:Al/c-Si interface, causing a Fermi energy pinning

  3. Preparation and characterization of TiO2 and Si-doped octacalcium phosphate composite coatings on zirconia ceramics (Y-TZP) for dental implant applications

    Science.gov (United States)

    Bao, Lei; Liu, Jingxiao; Shi, Fei; Jiang, Yanyan; Liu, Guishan

    2014-01-01

    In order to prevent the low temperature degradation and improve the bioactivity of zirconia ceramic implants, TiO2 and Si-doped octacalcium phosphate composite coating was prepared on zirconia substrate. The preventive effect on low temperature degradation and surface morphology of the TiO2 layer were studied. Meanwhile, the structure and property changes of the bioactive coating after doping Si were discussed. The results indicate that the dense TiO2 layer, in spite of some microcracks, inhibited the direct contact of the water vapor with the sample's surface and thus prevented the low temperature degradation of zirconia substrates. The acceleration aging test shows that the ratio of the monoclinic phase transition decreased from 10% for the original zirconia substrate to 4% for the TiO2-coated substrate. As to the Si-doped octacalcium phosphate coating prepared by biomimetic method, the main phase composition of the coating was octacalcium phosphate. The morphology of the coating was lamellar-like, and the surface was uniform and continuous with no cracks being observed. It is suggested that Si was added into the coating both through substituting for PO43- and doping as NaSiO3.

  4. Preparation of ZnO film on p-Si and I-V characteristics of p-Si/n-ZnO

    Directory of Open Access Journals (Sweden)

    Shampa Mondal

    2012-01-01

    Full Text Available Zinc oxide (ZnO thin films were deposited on p-silicon (Si substrate from ammonium zincate bath following a chemical dipping technique called SILAR. Films in the thickness range 0.5-4.5 µm could be prepared by varying the number of dipping for a fixed concentration (0.125 M of zincate bath and fixed pH (11.00-11.10. Higher values of dipping produced nonadherent and poor quality films. Structural characterization by X-ray diffraction (XRD indicates the formation of polycrystalline single phase ZnO with strong c-axis orientation. The structural characteristics of the films were found to be a sensitive function of film thickness. The degree of orientation was found to be a function of film thickness and a maximum was found at around 2.2 µm. Scanning electron microscopy (SEM reveals the formation of sub-micrometer crystallites on silicon substrate. The coverage of crystallites (grains on substrate surface increases with number of dipping. Dense film containing grains distributed throughout the surface is obtained at large thicknesses. The ohmic nature of silver (Ag on ZnO and Aluminum (Al on p-Si was confirmed by I-V measurements. I-V characteristic of the p-Si/n-ZnO heterojunction was studied and rectification was observed. The maximum value of forward to reverse current ratio was ~15 at 3.0 V.

  5. Preparation of ZnO film on p-Si and I-V characteristics of p-Si/n-ZnO

    Directory of Open Access Journals (Sweden)

    Shampa Mondal

    2013-02-01

    Full Text Available Zinc oxide (ZnO thin films were deposited on p-silicon (Si substrate from ammonium zincate bath following a chemical dipping technique called SILAR. Films in the thickness range 0.5-4.5 µm could be prepared by varying the number of dipping for a fixed concentration (0.125 M of zincate bath and fixed pH (11.00-11.10. Higher values of dipping produced nonadherent and poor quality films. Structural characterization by X-ray diffraction (XRD indicates the formation of polycrystalline single phase ZnO with strong c-axis orientation. The structural characteristics of the films were found to be a sensitive function of film thickness. The degree of orientation was found to be a function of film thickness and a maximum was found at around 2.2 µm. Scanning electron microscopy (SEM reveals the formation of sub-micrometer crystallites on silicon substrate. The coverage of crystallites (grains on substrate surface increases with number of dipping. Dense film containing grains distributed throughout the surface is obtained at large thicknesses. The ohmic nature of silver (Ag on ZnO and Aluminum (Al on p-Si was confirmed by I-V measurements. I-V characteristic of the p-Si/n-ZnO heterojunction was studied and rectification was observed. The maximum value of forward to reverse current ratio was ~15 at 3.0 V.

  6. Structural and photoluminescence properties of Si-based nanosheet bundles rooted on Si substrates

    Science.gov (United States)

    Yuan, Peiling; Tamaki, Ryo; Kusazaki, Shinya; Atsumi, Nanae; Saito, Yuya; Kumazawa, Yuki; Ahsan, Nazmul; Okada, Yoshitaka; Ishida, Akihiro; Tatsuoka, Hirokazu

    2018-04-01

    Si-based nanosheet bundles were synthesized by the extraction of Ca atoms from CaSi2 microwalls grown on Si substrates by inositol hexakisphosphate solution or thermal treatment in FeCl2 vapor. The structural and photoluminescence properties of the Si-based nanosheet bundles were examined. The photoluminescence emissions in the visible region were clearly observed, and the temperature and excitation intensity dependences of the emissions were characterized. The observed Si-based nanosheets consist of thin Si layers, and a superlattice-like layered structural model is proposed to describe the Si-based nanosheet bundle structures and their photoluminescence property. The photoluminescence property of the nanosheets significantly depends on their treatment process. The luminescence mechanism of the nanosheets was discussed.

  7. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  8. Rod-like β-FeSi2 phase grown on Si (111) substrate

    International Nuclear Information System (INIS)

    Han Ming; Tanaka, Miyoko; Takeguchi, Masaki; Furuya, Kazuo

    2004-01-01

    Pure Fe with coverage of 0.5-2.0 nm was deposited on Si (111) 7x7 surfaces by reactive deposition epitaxy (RDE) in an integrated ultrahigh vacuum (UHV) system. Transmission electron microscopy (TEM) confirmed that the as-deposited epitaxial phase exhibits rod-like and equilateral triangular morphology. The as-deposited phase was identified as c-FeSi 2 by electron diffraction and high-resolution transmission electron microscopy. It was found that there exists lattice distortion in epitaxial c-FeSi 2 phase. Upon annealing at 1073 K, the metastable c-FeSi 2 transforms into equilibrium β-FeSi 2 phase, the latter inherits completely the morphology of c-FeSi 2 phase. Based on RDE and subsequent annealing, a new fabrication technique to grow rod-like semiconducting β-FeSi 2 on a Si substrate has been proposed in the present work

  9. Diffusion barrier and adhesion properties of SiO(x)N(y) and SiO(x) layers between Ag/polypyrrole composites and Si substrates.

    Science.gov (United States)

    Horváth, Barbara; Kawakita, Jin; Chikyow, Toyohiro

    2014-06-25

    This paper describes the interface reactions and diffusion between silver/polypyrrole (Ag/PPy) composite and silicon substrate. This composite material can be used as a novel technique for 3D-LSI (large-scale integration) by the fast infilling of through-silicon vias (TSV). By immersion of the silicon wafer with via holes into the dispersed solution of Ag/PPy composite, the holes are filled with the composite. It is important to develop a layer between the composite and the Si substrate with good diffusion barrier and adhesion characteristics. In this paper, SiOx and two types of SiOxNy barrier layers with various thicknesses were investigated. The interface structure between the Si substrate, the barrier, and the Ag/PPy composite was characterized by transmission electron microscopy. The adhesion and diffusion properties of the layers were established for Ag/PPy composite. Increasing thickness of SiOx proved to permit less Ag to transport into the Si substrate. SiOxNy barrier layers showed very good diffusion barrier characteristics; however, their adhesion depended strongly on their composition. A barrier layer composition with good adhesion and Ag barrier properties has been identified in this paper. These results are useful for filling conductive metal/polymer composites into TSV.

  10. Alkali passivation mechanism of sol-gel derived TiO2-SiO2 films coated on soda-lime-silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, A; Matsuno, Y; Katayama, S; Tsuno, T [Nippon Steel Glass Co. Ltd., Tokyo (Japan); Toge, N; Minami, T [University of Osaka Prefecture, Osaka (Japan). College of Engineering

    1992-09-01

    TiO2-SiO2 films prepared by the sol-gel method serves as an effective alkali passivation layer on a soda-lime-silica glass substrate and the film is superior to a sol-gel derived pure SiO2 film from the view point of weathering resistance improvement. To clarify the reason, alkali passivation mechanism of sol-gel derived TiO2-SiO2 glass films with different TiO2 contents coated on a soda-lime-silica glass substrate was studied by SIMS (secondary ion mass spectroscopy) and XPS (X-ray photoelectron spectroscopy) analyses, and compared with the results of a sol-gel derived pure SiO2 film. As a result, the following conclusions were obtained: An increase in TiO2 content in the TiO2 SiO2 film increases the sodium concentration in the film, which was induced by sodium migration from the glass substrate during the heat-treatment. Because of the presence of sodium the TiO2 -SiO2 films serve not as a barrier but as an effective getter of alkali ions and thereby effectively improve the weathering resistance Of the glass substrate. 10 refs., 6 figs.

  11. Functional BaTiO{sub 3} nanostructures immobilized onto si-based substrates using sol–gel and reverse micelle techniques

    Energy Technology Data Exchange (ETDEWEB)

    Mamana, Nadia, E-mail: nadia@fceia.unr.edu.ar; Pellegri, Nora [CONICET, FCEIyA, UNR, Laboratorio de Materiales Cerámicos IFIR (Argentina)

    2015-03-15

    The current tendency toward miniaturization of electronic devices has driven the interest in developing ferroelectric materials in low dimensions. In this work, for the preparation of lead-free BaTiO{sub 3} nanoparticles, we used a combination of the sol–gel method with the reverse micelles techniques. Moreover, previously to the thermal treatment, it was necessary to remove the surfactant. For this stage, oleic acid was used to stabilize the nanoparticles in the solution. Then, nanometer-sized particles were deposited on different substrates (Si, Pt/TiO{sub 2}/SiO{sub 2}/Si). The influence of different modes of deposition on particle size, degree of agglomeration, was analyzed. The mean particle size was 10 nm. Finally, the deposition of BaTiO{sub 3} particles on a conductive substrate such as the wafer of platinum (Pt/TiO{sub 2}/SiO{sub 2}/Si) was confirmed by several AFM techniques.

  12. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  13. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  14. Fabrication of Si/ZnS radial nanowire heterojunction arrays for white light emitting devices on Si substrates.

    Science.gov (United States)

    Katiyar, Ajit K; Sinha, Arun Kumar; Manna, Santanu; Ray, Samit K

    2014-09-10

    Well-separated Si/ZnS radial nanowire heterojunction-based light-emitting devices have been fabricated on large-area substrates by depositing n-ZnS film on p-type nanoporous Si nanowire templates. Vertically oriented porous Si nanowires on p-Si substrates have been grown by metal-assisted chemical etching catalyzed using Au nanoparticles. Isolated Si nanowires with needle-shaped arrays have been made by KOH treatment before ZnS deposition. Electrically driven efficient white light emission from radial heterojunction arrays has been achieved under a low forward bias condition. The observed white light emission is attributed to blue and green emission from the defect-related radiative transition of ZnS and Si/ZnS interface, respectively, while the red arises from the porous surface of the Si nanowire core. The observed white light emission from the Si/ZnS nanowire heterojunction could open up the new possibility to integrate Si-based optical sources on a large scale.

  15. Patterned growth of carbon nanotubes on Si substrates without predeposition of metal catalysts

    Science.gov (United States)

    Chen, Y.; Yu, J.

    2005-07-01

    Aligned carbon nanotubes (CNTs) can be readily synthesized on quartz or silicon-oxide-coated Si substrates using a chemical vapor deposition method, but it is difficult to grow them on pure Si substrates without predeposition of metal catalysts. We report that aligned CNTs were grown by pyrolysis of iron phthalocyanine at 1000°C on the templates created on Si substrates with simple mechanical scratching. Scanning electron microscopy and x-ray energy spectroscopy analysis revealed that the trenches and patterns created on the surface of Si substrates were preferred nucleation sites for nanotube growth due to a high surface energy, metastable surface structure, and possible capillarity effect. A two-step pyrolysis process maintained Fe as an active catalyst.

  16. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  17. Evolution of 3C-SiC islands nucleated from a liquid phase on Si face α-SiC substrates

    International Nuclear Information System (INIS)

    Kim-Hak, Olivier; Ferro, Gabriel; Lorenzzi, Jean; Carole, Davy; Dazord, Jacques; Chaudouet, Patrick; Chaussende, Didier; Miele, Philippe

    2010-01-01

    The contact between α-SiC crystals and Si-Ge based melts provokes the nucleation of 3C-SiC islands on the crystal surface. Evolution of these islands as a function of various parameters was studied. On both 4H and 6H substrates, it was found that, after nucleation, 3C-SiC islands first enlarge and may form a complete 3C layer under certain conditions. The 3C deposit can then be dissolved by the liquid phase at high temperature or for prolonged contact at relatively moderate temperature. The graphite crucible is proposed to play a central role in these enlargement and dissolution mechanisms by providing extra carbon atoms on the seed surface (enlargement) or provoking thermal induced carbon transport toward the sidewall (dissolution). Several differences between the use of 4H and 6H substrates were also observed.

  18. Surface-potential undulation of Alq3 thin films prepared on ITO, Au, and n-Si.

    Science.gov (United States)

    Ozasa, Kazunari; Ito, Hiromi; Maeda, Mizuo; Hara, Masahiko

    2012-01-01

    The surface potential (SP) morphology on thin films of tris(8-hydroxyquinolinato) aluminum (Alq3) was investigated with Kelvin probe force microscopy. Thin Alq3 films of 100 nm were prepared on ITO/glass substrates, Au/mica substrates, and n-Si substrates. Cloud-like morphologies of the SP undulation with 200-400 nm in lateral size were observed for all three types of the substrates. New larger peaks were observed in the cloud-like morphologies when the surfaces were exposed shortly to a light, while the SP average was reduced monotonically. The nonuniform distribution of charged traps and mobility was deduced from the SP undulation morphology and its photoexposure dependences.

  19. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    Science.gov (United States)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  20. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    Science.gov (United States)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  1. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    Science.gov (United States)

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  2. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Zhong Zhenyang

    2011-01-01

    Full Text Available Abstract Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001 substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications. PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps

  3. Formation of thin DLC films on SiO2/Si substrate using FCVAD technique

    International Nuclear Information System (INIS)

    Bootkul, D.; Intarasiri, S.; Aramwit, C.; Tippawan, U.; Yu, L.D.

    2013-01-01

    Diamond-like carbon (DLC) films deposited on SiO 2 /Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO 2 /Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I D /I G ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I D /I G ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp 3 site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp 3 fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO 2 substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC film showed changes in the edge shape

  4. Near zero reflection by nanostructured anti-reflection coating design for Si substrates

    Science.gov (United States)

    Al-Fandi, Mohamed; Makableh, Yahia F.; Khasawneh, Mohammad; Rabady, Rabi

    2018-05-01

    The nanostructure design of near zero reflection coating for Si substrates by using ZnO Nanoneedles (ZnONN) is performed and optimized for the visible spectral range. The design investigates the ZnONN tip to body ratio effect on the anti-reflection coating properties. Different tip to body ratios are used on Si substrates. Around zero reflection is achieved by the Nanoneedles structure design presented in this work, leading to minimal reflection losses from the Si surface. The current design evolves a solution to optical losses and surface contamination effects associated with Si solar cells.

  5. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  6. Osteoblast interaction with DLC-coated Si substrates.

    Science.gov (United States)

    Chai, Feng; Mathis, Nicolas; Blanchemain, Nicolas; Meunier, Cathy; Hildebrand, Hartmut F

    2008-09-01

    Diamond-like carbon (DLC) coating is a convenient means of modifying material surfaces that are sensitive to wear, such as titanium and silica substrates. This work aims to evaluate the osteoblast-like cells' response to DLC-coated Si (Si-DLC), which was treated under different conditions. DLC and deuterated DLC films were deposited by plasma-enhanced chemical vapor deposition to obtain a 200-nm-thick layer on all the samples. Three types of precursor gas were applied for deposition: pure methane (CH(4)), pure deuterated methane (CD(4)) and their half/half mixture. All surface treatments were performed under two different self-bias voltages (V(sb)): -400 and -600V. The modified surfaces were characterized by X-ray photoelectron spectroscopy, Raman spectroscopy, Rutherford backscattering spectroscopy, elastic recoil detection analysis, X-ray reflectometry and the sessile-drop method. MC3T3-E1 osteoblasts were cultured on the Si-DLC wafers for 3 and 6 days. Biological tests to measure cell proliferation, cell vitality, cell morphology and cell adhesion were performed. All DLC coatings produced a slightly more hydrophobic state than non-treated Si. Certain types of amorphous DLC coating, such as the surface treated under the V(sb) of -600V in pure methane (600CH(4)) or in pure deuterated methane (600CD(4)), offered a significantly higher cell proliferation rate to Si substrate. Scanning electron microscopy observations confirmed that the optimal cell adhesion behavior, among all the treated surfaces, occurred on the surface of the 600CH(4) and 600CD(4) groups, which showed increased amounts of filopodia and microvilli to enhance cell-environment exchange. In conclusion, DLC coating on Si could produce better surface stability and improved cellular responses.

  7. Reduction in interface defect density in p-BaSi2/n-Si heterojunction solar cells by a modified pretreatment of the Si substrate

    Science.gov (United States)

    Yamashita, Yudai; Yachi, Suguru; Takabe, Ryota; Sato, Takuma; Emha Bayu, Miftahullatif; Toko, Kaoru; Suemasu, Takashi

    2018-02-01

    We have investigated defects that occurred at the interface of p-BaSi2/n-Si heterojunction solar cells that were fabricated by molecular beam epitaxy. X-ray diffraction measurements indicated that BaSi2 (a-axis-oriented) was subjected to in-plane compressive strain, which relaxed when the thickness of the p-BaSi2 layer exceeded 50 nm. Additionally, transmission electron microscopy revealed defects in the Si layer near steps that were present on the Si(111) substrate. Deep level transient spectroscopy revealed two different electron traps in the n-Si layer that were located at 0.33 eV (E1) and 0.19 eV (E2) below the conduction band edge. The densities of E1 and E2 levels in the region close to the heterointerface were approximately 1014 cm-3. The density of these electron traps decreased below the limits of detection following Si pretreatment to remove the oxide layers from the n-Si substrate, which involved heating the substrate to 800 °C for 30 min under ultrahigh vacuum while depositing a layer of Si (1 nm). The remaining traps in the n-Si layer were hole traps located at 0.65 eV (H1) and 0.38 eV (H2) above the valence band edge. Their densities were as low as 1010 cm-3. Following pretreatment, the current versus voltage characteristics of the p-BaSi2/n-Si solar cells under AM1.5 illumination were reproducible with conversion efficiencies beyond 5% when using a p-BaSi2 layer thickness of 100 nm. The origin of the H2 level is discussed.

  8. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  9. Investigation of structural and electronic properties of epitaxial graphene on 3C–SiC(100/Si(100 substrates

    Directory of Open Access Journals (Sweden)

    Gogneau N

    2014-09-01

    Full Text Available Noelle Gogneau,1 Amira Ben Gouider Trabelsi,2 Mathieu G Silly,3 Mohamed Ridene,1 Marc Portail,4 Adrien Michon,4 Mehrezi Oueslati,2 Rachid Belkhou,3 Fausto Sirotti,3 Abdelkarim Ouerghi1 1Laboratoire de Photonique et de Nanostructures, Centre National de la Recherche Scientifique, Marcoussis, France; 2Unité des Nanomatériaux et Photonique, Faculté des Sciences de Tunis, Université de Tunis El Manar Campus Universitaire, Tunis, Tunisia; 3Synchrotron-SOLEIL, Saint-Aubin, BP48, F91192 Gif sur Yvette Cedex, France; 4Centre de Recherche sur l'HétéroEpitaxie et Ses Application, Centre National de la Recherche Scientifique, Valbonne, France Abstract: Graphene has been intensively studied in recent years in order to take advantage of its unique properties. Its synthesis on SiC substrates by solid-state graphitization appears a suitable option for graphene-based electronics. However, before developing devices based on epitaxial graphene, it is desirable to understand and finely control the synthesis of material with the most promising properties. To achieve these prerequisites, many studies are being conducted on various SiC substrates. Here, we review 3C–SiC(100 epilayers grown by chemical vapor deposition on Si(100 substrates for producing graphene by solid state graphitization under ultrahigh-vacuum conditions. Based on various characterization techniques, the structural and electrical properties of epitaxial graphene layer grown on 3C–SiC(100/Si(100 are discussed. We establish that epitaxial graphene presents properties similar to those obtained using hexagonal SiC substrates, with the advantage of being compatible with current Si-processing technology. Keywords: epitaxial graphene, electronic properties, structural properties, silicon carbide 

  10. Chemical modifications of Au/SiO2 template substrates for patterned biofunctional surfaces.

    Science.gov (United States)

    Briand, Elisabeth; Humblot, Vincent; Landoulsi, Jessem; Petronis, Sarunas; Pradier, Claire-Marie; Kasemo, Bengt; Svedhem, Sofia

    2011-01-18

    The aim of this work was to create patterned surfaces for localized and specific biochemical recognition. For this purpose, we have developed a protocol for orthogonal and material-selective surface modifications of microfabricated patterned surfaces composed of SiO(2) areas (100 μm diameter) surrounded by Au. The SiO(2) spots were chemically modified by a sequence of reactions (silanization using an amine-terminated silane (APTES), followed by amine coupling of a biotin analogue and biospecific recognition) to achieve efficient immobilization of streptavidin in a functional form. The surrounding Au was rendered inert to protein adsorption by modification by HS(CH(2))(10)CONH(CH(2))(2)(OCH(2)CH(2))(7)OH (thiol-OEG). The surface modification protocol was developed by testing separately homogeneous SiO(2) and Au surfaces, to obtain the two following results: (i) SiO(2) surfaces which allowed the grafting of streptavidin, and subsequent immobilization of biotinylated antibodies, and (ii) Au surfaces showing almost no affinity for the same streptavidin and antibody solutions. The surface interactions were monitored by quartz crystal microbalance with dissipation monitoring (QCM-D), and chemical analyses were performed by polarization modulation-reflexion absorption infrared spectroscopy (PM-RAIRS) and X-ray photoelectron spectroscopy (XPS) to assess the validity of the initial orthogonal assembly of APTES and thiol-OEG. Eventually, microscopy imaging of the modified Au/SiO(2) patterned substrates validated the specific binding of streptavidin on the SiO(2)/APTES areas, as well as the subsequent binding of biotinylated anti-rIgG and further detection of fluorescent rIgG on the functionalized SiO(2) areas. These results demonstrate a successful protocol for the preparation of patterned biofunctional surfaces, based on microfabricated Au/SiO(2) templates and supported by careful surface analysis. The strong immobilization of the biomolecules resulting from the described

  11. Residual carrier density in GaSb grown on Si substrates

    International Nuclear Information System (INIS)

    Akahane, Kouichi; Yamamoto, Naokatsu; Gozu, Shin-ichiro; Ueta, Akio; Ohtani, Naoki

    2006-01-01

    The relationships between the densities of residual carriers and those of dislocation in GaSb films grown on Si substrates were investigated. Dislocation density was evaluated by cross-sectional transmission electron microscopy (TEM). The TEM images indicated that the dislocation density after a 5-μm-thick GaSb film was grown was below 1 x 10 8 /cm 2 although the density near the interface between the Si substrate and the GaSb film was about 3 x 10 9 /cm 2 . Forming a dislocation loop by growing a thick GaSb layer may decrease the dislocation density. The density and mobility of the residual carrier were investigated by Hall measurement using the van der Pauw method. The residual carriers in GaSb grown on Si substrates were holes, and their densities decreased significantly from 4.2 x 10 18 to 1.4 x 10 17 /cm 3 as GaSb thickness was increased from 500 to 5500 nm

  12. Mo-Si-B-Based Coatings for Ceramic Base Substrates

    Science.gov (United States)

    Perepezko, John Harry (Inventor); Sakidja, Ridwan (Inventor); Ritt, Patrick (Inventor)

    2015-01-01

    Alumina-containing coatings based on molybdenum (Mo), silicon (Si), and boron (B) ("MoSiB coatings") that form protective, oxidation-resistant scales on ceramic substrate at high temperatures are provided. The protective scales comprise an aluminoborosilicate glass, and may additionally contain molybdenum. Two-stage deposition methods for forming the coatings are also provided.

  13. The electrical, elemental, optical, and surface properties of Si-doped ZnO thin films prepared by thermionic vacuum arc

    Science.gov (United States)

    Mohammadigharehbagh, Reza; Özen, Soner; Yudar, Hafizittin Hakan; Pat, Suat; Korkmaz, Şadan

    2017-09-01

    The purpose of this work is to study the properties of Si-doped ZnO (SZO) thin films, which were prepared using the non-reactive thermionic vacuum arc technique. The analysis of the elemental, optical, and surface properties of ZnO:Si thin films was carried out using energy dispersive x-ray spectroscopy, UV-VIS spectrophotometry, atomic force microscopy, and scanning electron microscopy, respectively. The current-voltage measurement was employed in order to study the electrical properties of the films. The effect of Si doping on the physical properties of ZnO films was investigated. The film thicknesses were measured as 55 and 35 nm for glass and PET substrates, respectively. It was clearly observed from the x-ray diffraction results that the Si and ZnO peaks were present in the coated SZO films for all samples. The morphological studies showed that the deposited surfaces are homogenous, dense, and have a uniform surface, with the existence of some cracks only on the glass substrate. The elemental composition has confirmed the existence of Zn, Si, and O elements within the prepared films. Using a UV-VIS spectrophotometer, the optical parameters such as transmittance, absorbance, refractive index, and reflectance were calculated. It should be noted that the transparency and refractive indices obtained from the measurements decrease with increasing Si concentration. The obtained optical bandgap values using transmittance spectra were determined to be 3.74 and 3.84 eV for the glass and PET substrates, respectively. An increase in the bandgap results demonstrates that the Si doping concentration is comparable to the pure ZnO thin films. The current versus voltage curves revealed the ohmic nature of the films. Subsequently, the development and fabrication of excellent transparent conducting electrodes enabled the appropriate use of Si-doped ZnO thin films.

  14. Abrupt GaP/Si hetero-interface using bistepped Si buffer

    Energy Technology Data Exchange (ETDEWEB)

    Ping Wang, Y., E-mail: yanping.wang@insa-rennes.fr; Kuyyalil, J.; Nguyen Thanh, T.; Almosni, S.; Bernard, R.; Tremblay, R.; Da Silva, M.; Létoublon, A.; Rohel, T.; Tavernier, K.; Le Corre, A.; Cornet, C.; Durand, O. [UMR FOTON, CNRS, INSA Rennes, Rennes F-35708 (France); Stodolna, J.; Ponchet, A. [CEMES-CNRS, Université de Toulouse, 29 rue Jeanne Marvig, BP 94347, 31055 Toulouse Cedex 04 (France); Bahri, M.; Largeau, L.; Patriarche, G. [Laboratoire de Photonique et Nanostructures, CNRS UPR 20, Route de Nozay, Marcoussis 91460 (France); Magen, C. [LMA, INA-ARAID, and Departamento de Física de la Materia Condensada, Universidad de Zaragoza, 50018 Zaragoza (Spain)

    2015-11-09

    We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer. Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth.

  15. Abrupt GaP/Si hetero-interface using bistepped Si buffer

    International Nuclear Information System (INIS)

    Ping Wang, Y.; Kuyyalil, J.; Nguyen Thanh, T.; Almosni, S.; Bernard, R.; Tremblay, R.; Da Silva, M.; Létoublon, A.; Rohel, T.; Tavernier, K.; Le Corre, A.; Cornet, C.; Durand, O.; Stodolna, J.; Ponchet, A.; Bahri, M.; Largeau, L.; Patriarche, G.; Magen, C.

    2015-01-01

    We evidence the influence of the quality of the starting Si surface on the III-V/Si interface abruptness and on the formation of defects during the growth of III-V/Si heterogeneous crystal, using high resolution transmission electron microscopy and scanning transmission electron microscopy. GaP layers were grown by molecular beam epitaxy on vicinal Si (001). The strong effect of the Si substrate chemical preparation is first demonstrated by studying structural properties of both Si homoepitaxial layer and GaP/Si heterostructure. It is then shown that choosing adequate chemical preparation conditions and subsequent III-V regrowth conditions enables the quasi-suppression of micro-twins in the epilayer. Finally, the abruptness of GaP/Si interface is found to be very sensitive to the Si chemical preparation and is improved by the use of a bistepped Si buffer prior to III-V overgrowth

  16. XPS study of graphene oxide reduction induced by (100) and (111)-oriented Si substrates

    Science.gov (United States)

    Priante, F.; Salim, M.; Ottaviano, L.; Perrozzi, F.

    2018-02-01

    The reduction of graphene oxide (GO) has been extensively studied in literature in order to let GO partially recover the properties of graphene. Most of the techniques proposed to reduce GO are based on high temperature annealing or chemical reduction. A new procedure, based on the direct reduction of GO by etched Si substrate, was recently proposed in literature. In the present work, we accurately investigated the Si-GO interaction with x-ray photoelectron spectroscopy. In order to avoid external substrate oxidation factors we used EtOH as the GO solvent instead of water, and thermal annealing was carried out in UHV. We investigated the effect of Si(100), Si(111) and Au substrates on GO, to probe the role played by both the substrate composition and substrate orientation during the reduction process. A similar degree of GO reduction was observed for all samples but only after thermal annealing, ruling out the direct reduction effect of the substrate.

  17. Broadband antireflection nanodome structures on SiC substrate

    DEFF Research Database (Denmark)

    Ou, Yiyu; Zhu, Xiaolong; Møller, Uffe Visbech

    2013-01-01

    Nanodome structures are demonstrated on the SiC substrate by using nanosphere lithography and dry etching. Significant surface antireflection has been observed over a broad spectral range from 400 nm to 1600 nm....

  18. Preparation of SiC and Ag/SiC coatings on TRISO surrogate particles by Pulsed Laser Deposition

    International Nuclear Information System (INIS)

    Lustfeld, Martin; Reinecke, Anne-Maria; Lippman, Wolfgang; Hurtado, Antonio; Ruiz-Moreno, Ana

    2014-01-01

    Recently published research results suggest significant advantages of using nanocrystalline instead of coarse grained SiC for nuclear applications. In this work it was attempted to prepare nanocrystalline SiC coatings on TRISO surrogate kernels using the pulsed laser deposition (PLD) process. As a plasma-based physical vapor deposition process, PLD allows the synthesis of dense and stoichiometric coatings in the amorphous or nanocrystalline phase. Two different types of TRISO surrogate kernels were used with outer diameters of 500 pm and 800 μm, respectively: plain Al_2O_3 kernels and ZrO_2 kernels coated with TRISO-like buffer and pyrolytic carbon (PyC) layers. In a second step, the PLD process was used for the preparation of multilayer coatings consisting of a Ag layer buried with a SiC layer. The samples were analyzed regarding their morphology, microstructure, crystalline phase and chemical composition using scanning electron microscopy (SEM), laser scanning microscopy (LSM), x-ray diffraction (XRD) and energy- dispersive x-ray spectroscopy (EDX). The samples will be used in future work for out-of-pile investigations of both thermal stability and Ag retention capability of nanocrystalline SiC layers. X-ray diflraction measurements did not confirm nano crystallinity of the SiC coatings, but rather indicated that the coatings were mainly amorphous possibly with a little fraction of the nanocrystalline phase. Further analyses showed that some of the SiC coatings had an adequate stoichiometric composition and that Ag/SiC multilayer coatings were successfully produced by PLD. Coatings on TRISO- like buffer and PyC layers exhibited good adhesion to the substrate while coatings on Al_2O_3 kernels were susceptible to delamination. The results suggest that PLD is generally suitable for SiC coating of TRISO particles. However, further optimization of the process parameters such as the coating temperature is needed to obtain fine- grained non-columnar SiC layers that are

  19. Preparation and characterization of layer-by-layer self-assembled polyelectrolyte multilayer films doped with surface-capped SiO2 nanoparticles.

    Science.gov (United States)

    Yang, Guangbin; Ma, Hongxia; Yu, Laigui; Zhang, Pingyu

    2009-05-15

    SiO(2) nanoparticles capped with gamma-aminopropyltrimethoxysilane were doped into polyelectrolyte (poly(allylamine hydrochloride), PAH, and poly(acrylic acid), PAA) multilayer films via spin-assisted layer-by-layer self-assembly. The resulting as-prepared multilayer films were heated at a proper temperature to generate cross-linked composite films with increased adhesion to substrates. The tribological behavior of the multilayer films was evaluated on a microtribometer. It was found that SiO(2)-doped composite films had better wear resistance than pure polyelectrolyte multilayers, possibly because doped SiO(2) nanoparticles were capable of enhancing load-carrying capacity and had "miniature ball bearings" effect. Moreover, heat-treatment had significant effect on the morphology of the composite films. Namely, heat-treated (SiO(2)/PAA)(9) film had a larger roughness than the as-prepared one, due to heat-treatment-induced agglomeration of SiO(2) nanoparticles and initiation of defects. However, heat-treated (PAH/PAA)(3)/(SiO(2)/PAA)(3)(PAH/PAA)(3) film had greatly reduced roughness than the as-prepared one, and it showed considerably improved wear resistance as well. This could be closely related to the "sandwich-like" structure of the composite multilayer film. Namely, the outermost strata of composite multilayer film were able to eliminate defects associated with the middle strata, allowing nanoparticles therein to maintain strength and robustness while keeping soft and fluid-like exposed surface. And the inner strata were well anchored to substrate and acted as an initial "bed" for SiO(2) nanoparticles to be inhabited, resulting in good antiwear ability.

  20. Preparation of ZnO film on p-Si and I-V characteristics of p-Si/n-ZnO

    OpenAIRE

    Mondal, Shampa; Kanta, Kalyani Prasad; Mitra, Partha

    2012-01-01

    Zinc oxide (ZnO) thin films were deposited on p-silicon (Si) substrate from ammonium zincate bath following a chemical dipping technique called SILAR. Films in the thickness range 0.5-4.5 µm could be prepared by varying the number of dipping for a fixed concentration (0.125 M) of zincate bath and fixed pH (11.00-11.10). Higher values of dipping produced nonadherent and poor quality films. Structural characterization by X-ray diffraction (XRD) indicates the formation of polycrystalline single ...

  1. Evaluation of the barrier capability of Zr-Si films with different substrate temperature for Cu metallization

    International Nuclear Information System (INIS)

    Wang Ying; Cao Fei; Ding Minghui; Shao Lei

    2009-01-01

    Barrier capability of Zr-Si diffusion barriers in Cu metallization has been investigated. Amorphous Zr-Si diffusion barriers were deposited on the Si substrates by RF reactive magnetron sputtering under various substrate temperatures. An increase in substrate temperature results in a slightly decreased deposition rate together with an increase in mass density. An increase in substrate temperature also results in grain growth as deduced from field emission scanning electron microscopy (FE-SEM) micrographs. X-ray diffraction (XRD) spectra and Auger electron spectroscopy (AES) depth profiles for Cu/Zr-Si(RT)/Si and Cu/Zr-Si(300 deg. C)/Si samples subjected to anneal at various temperatures show that the thermal stability was strongly correlated with the deposition temperature (consequently different density and chemical composition etc.) of the Zr-Si barrier layers. ZrSi(300 deg. C) with higher mass density make the Cu/Zr-Si(300 deg. C)/Si sample more stable. The appearance of Cu 3 Si in the Cu/Zr-Si/Si sample is attributed to the failure mechanism which may be associated with the diffusion of Cu and Si via the grain boundaries of the Zr-Si barriers.

  2. In-situ fabrication of MoSi2/SiC–Mo2C gradient anti-oxidation coating on Mo substrate and the crucial effect of Mo2C barrier layer at high temperature

    International Nuclear Information System (INIS)

    Liu, Jun; Gong, Qianming; Shao, Yang; Zhuang, Daming; Liang, Ji

    2014-01-01

    MoSi 2 /SiC–Mo 2 C gradient coating on molybdenum was in situ prepared with pack cementation process by two steps: (1) carburizing with graphite powder to obtain a Mo 2 C layer on Mo substrate, and (2) siliconizing with Si powder to get a composite MoSi 2 /SiC layer on the upper part of Mo 2 C layer. The microstructure and elemental distribution in the coating were investigated with scanning electron microscopy (SEM), backscattered electron (BSE), energy dispersive spectroscopy (EDS), electron probe microanalysis (EPMA) and X-ray diffraction (XRD). Cyclic oxidation tests (at 500 °C, 1200 °C, 1400 °C and 1600 °C) demonstrated excellent oxidation resistance for the gradient composite coating and the mass loss was only 0.23% in 60 min at 1600 °C. XRD, EPMA, thermal dynamic and phase diagram analyses indicated that the Mo 2 C barrier layer played the key role in slowing down the diffusion of C and Si toward inner Mo substrate at high temperature and principally this contributed to the excellent anti-oxidation for Mo besides the outer MoSi 2 /SiC composite layer.

  3. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  4. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  5. Cat-CVD-prepared oxygen-rich μc-Si:H for wide-bandgap material

    International Nuclear Information System (INIS)

    Matsumoto, Yasuhiro; Ortega, Mauricio; Peza, Juan-Manuel; Reyes, Mario-Alfredo; Escobosa, Arturo

    2005-01-01

    Microcrystalline phase-involved oxygen-rich a-Si:H (hydrogenated amorphous silicon) films have been obtained using catalytic chemical vapor deposition (Cat-CVD) process. Pure SiH 4 (silane), H 2 (hydrogen), and O 2 (oxygen) gases were introduced in the chamber by maintaining a pressure of 0.1 Torr. A tungsten catalyzer was fixed at temperatures of 1750 and 1950 deg. C for film deposition on glass and crystalline silicon substrates at 200 deg. C. As revealed from X-ray diffraction spectra, the microcrystalline phase appears for oxygen-rich a-Si:H samples deposited at a catalyzer temperature of 1950 deg. C. However, this microcrystalline phase tends to disappear for further oxygen incorporation. The oxygen content in the deposited films was corroborated by FTIR analysis revealing Si-O-Si bonds and typical Si-H bonding structures. The optical bandgap of the sample increases from 2.0 to 2.7 eV with oxygen gas flow and oxygen incorporation to the deposited films. In the present thin film deposition conditions, no strong tungsten filament degradation was observed after a number of sample preparations

  6. Molecular dynamics study on heat transport from single-walled carbon nanotubes to Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Ya; Zhu, Jie, E-mail: zhujie@iet.cn; Tang, Da-Wei

    2015-02-06

    In this paper, non-equilibrium molecular dynamics simulations were performed to investigate the heat transport between a vertically aligned single-walled carbon nanotube (SWNT) and Si substrate, to find out the influence of temperature and system sizes, including diameter and length of SWNT and measurements of substrate. Results revealed that high temperature hindered heat transport in SWNT itself but was a beneficial stimulus for heat transport at interface of SWNT and Si. Furthermore, the system sizes strongly affected the peaks in vibrational density of states of Si, which led to interfacial thermal conductance dependent on system sizes. - Highlights: • NEMD is performed to simulate the heat transport from SWNT to Si substrate. • We analyze both interfacial thermal conductance and thermal conductivity of SWNT. • High temperature is a beneficial stimulus for heat transport at the interface. • Interfacial thermal conductance strongly depends on the sizes of SWNT and substrate. • We calculate VDOS of C and Si atoms to analyze phonon couplings between them.

  7. Preparation and infrared absorption properties of buried SiC layers

    International Nuclear Information System (INIS)

    Yan Hui; Chen Guanghua; Wong, S.P.; Kwok, R.W.M.

    1997-01-01

    Buried SiC layers were formed by using a metal vapor vacuum arc (MEVVA) ion source, with C + ions implanted into Si substrates under different doses. In the present study, the extracted voltage was 50 kV and the ion dose was varied from 3.0 x 10 17 to 1.6 x 10 18 cm -2 . According to infrared absorption measurements, it was fount that the structure of the buried SiC layers depended on the ion dose. Moreover, the results also demonstrated that the buried SiC layers including cubic crystalline SiC could be synthesized at an averaged substrate temperature of lower than 400 degree C with the MEVVA ion source

  8. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  9. Preparation, properties, and application characteristics of metastable layers of the Ti-Si-C-N system

    International Nuclear Information System (INIS)

    Fella, R.

    1992-10-01

    In the Ti-Si-C and Ti-Si-C-N systems, metastable layers were precipitated by means of non-reactive magnetron sputtering of hot-pressed two-phase TiC/SiC and TiN/SiC targets with 20 mole% and 50 mole% SiC. The preparation parameters were varied as follows: ion bombardment during precipitation (bias sputtering), substrate temperature, and annealing times when annealing amorphous 50%:50% TiC/SiC and 50%:50% TiN/SiC layers. Sputtering of targets containing 20% SiC was found to result in monophase fcc layers (NaCl structure). This was documented on the basis of X-ray and electron diffraction patterns. Direct precipitation of targets with 50 mole% SiC resulted in amorphous layers. Increasing the ion bombardment during accretion, raising the substrate temperature, and annealing amorphous 50%:50% TiC/SiC and 50%:50% TiN/SiC (layers precipitated directly) resulted in the crystallization of TiC and TiN nanocrystallites, respectively, imbedded in an amorphous SiC matrix. These crystallites were detected both by X-ray and by electron diffractions and by XPS studies. The XPS measurements of crystalline TiC and amorphous SiC reference layers demonstrated the existence of new kinds of carbon interface phases ('pseudocarbide layers') around TiC and amorphous SiC regions, respectively, which have a positive impact on the mechanical properties of the layers. The hardness of the layers can be correlated with the degrees of crystallization and texture. Adhesion and toughness are worse in SiC-bearing layers than PVD TiC and TiN layers, respectively. The application characteristics of the layers were determined by model wear tests relative to 100Cr6 by means of a pin/disk tribometer. N-bearing layers were found to have clearly higher friction coefficients and greater wear than layers without N. (orig.)

  10. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    Science.gov (United States)

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  11. Preparation and Characterization of SiO2/SiCN Core-shell Ceramic Microspheres

    Directory of Open Access Journals (Sweden)

    ZHANG Hai-yuan

    2017-05-01

    Full Text Available The SiO2/PSN core-shell microspheres were prepared via an emulsion reaction combined with the polymer-derived ceramics (PDCs method using polysilazane (PSN in situ polymerization on the surface of SiO2 modified by silane coupling agents MPS, followed by pyrolysis process to obtain SiO2/SiCN core-shell ceramic microspheres. The effects of raw mass ratio, curing time and pyrolysis temperature on the formation and the morphology of core-shell microspheres were studied. The morphology, chemical composition and phase transformation were characterized by SEM, EDS, TEM, FT-IR and XRD. The results show that after reaction for 4h at 200℃, SiO2 completely coated PSN forms a core-shell microsphere with rough surface when the mass ratio of SiO2 and PSN is 1:4; when pyrolysis temperature is at 800-1200℃, amorphous SiO2/SiCN core-shell ceramic microspheres are prepared; at 1400℃, the amorphous phase partially crystallizes to produce SiO2, SiC and Si3N4 phase.

  12. Heteroepitaxial growth of SiC films by carbonization of polyimide Langmuir-Blodgett films on Si

    Directory of Open Access Journals (Sweden)

    Goloudina S.I.

    2017-01-01

    Full Text Available High quality single crystal SiC films were prepared by carbonization of polyimide Langmuir-Blodgett films on Si substrate. The films formed after annealing of the polyimide films at 1000°C, 1100°C, 1200°C were studied by Fourier transform-infrared (FTIR spectroscopy, X-ray diffraction (XRD, Raman spectroscopy, transmission electon microscopy (TEM, transmission electron diffraction (TED, and scanning electron microscopy (SEM. XRD study and HRTEM cross-section revealed that the crystalline SiC film begins to grow on Si (111 substrate at 1000°C. According to the HRTEM cross-section image five planes in 3C-SiC (111 film are aligned with four Si(111 planes at the SiC/Si interface. It was shown the SiC films (35 nm grown on Si(111 at 1200°C have mainly cubic 3C-SiC structure with a little presence of hexagonal polytypes. Only 3C-SiC films (30 nm were formed on Si (100 substrate at the same temperature. It was shown the SiC films (30-35 nm are able to cover the voids in Si substrate with size up to 10 μm.

  13. Extremely improved InP template and GaInAsP system growth on directly-bonded InP/SiO2-Si and InP/glass substrate

    International Nuclear Information System (INIS)

    Matsumoto, Keiichi; Makino, Tatsunori; Kimura, Katsuya; Shimomura, Kazuhiko

    2013-01-01

    We have developed an ultrathin InP template with low defect density on SiO 2 -Si and glass substrate by employing wet etching and wafer direct bonding technique. We have demonstrated epitaxial growth on these substrates and GaInAs/InP multiple quantum well layers were grown by low pressure metal-organic vapor-phase epitaxy. Photoluminescence measurements of the layers show that they are optically active and we have obtained almost the same intensity from these substrates compared to the InP substrate. These results may be attributed to improvement of InP template quality and should provide further improvements in device performance realized on SiO 2 -Si and glass substrate. And, these are promising results in terms of integration of InP-based several functional optical devices on SiO 2 -Si and glass substrate. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    International Nuclear Information System (INIS)

    Yan, Guoguo; Zhang, Feng; Niu, Yingxi; Yang, Fei; Liu, Xingfang; Wang, Lei; Zhao, Wanshun; Sun, Guosheng; Zeng, Yiping

    2015-01-01

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H_2 flow rate ranging from15 to 30 slm. • High H_2 flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H_2 flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H_2 flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H_2 flow rate. The growth rate and n-type doping are also dependent on H_2 flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H_2 flow rate are attributed to higher 3C-SiC film growth rate and H_2 etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H_2 flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  15. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Guoguo [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Zhang, Feng, E-mail: fzhang@semi.ac.cn [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Niu, Yingxi; Yang, Fei [Electrical Engineering New Materials and Microelectronics Department, State Grid Smart Grid Research Institute, Beijing 100192 (China); Liu, Xingfang; Wang, Lei; Zhao, Wanshun [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Sun, Guosheng [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Dongguan Tianyu Semiconductor, Inc., Dongguan 523000 (China); Zeng, Yiping [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2015-10-30

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H{sub 2} flow rate ranging from15 to 30 slm. • High H{sub 2} flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H{sub 2} flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H{sub 2} flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H{sub 2} flow rate. The growth rate and n-type doping are also dependent on H{sub 2} flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H{sub 2} flow rate are attributed to higher 3C-SiC film growth rate and H{sub 2} etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H{sub 2} flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  16. Annealing behavior of oxygen in-diffusion from SiO2 film to silicon substrate

    International Nuclear Information System (INIS)

    Abe, T.; Yamada-Kaneta, H.

    2004-01-01

    Diffusion behavior of oxygen at (near) the Si/SiO 2 interface was investigated. We first oxidized the floating-zone-grown silicon substrates, and then annealed the SiO 2 -covered substrates in an argon ambient. We examined two different conditions for oxidation: wet and dry oxidation. By the secondary-ion-mass spectrometry, we measured the depth profiles of the oxygen in-diffusion of these heat-treated silicon substrates: We found that the energy of dissolution (in-diffusion) of an oxygen atom that dominates the oxygen concentration at the Si/SiO 2 interface depends on the oxidation condition: 2.0 and 1.7 eV for wet and dry oxidation, respectively. We also found that the barrier heights for the oxygen diffusion in argon anneal were significantly different for different ambients adopted for the SiO 2 formation: 3.3 and 1.8 eV for wet and dry oxidation, respectively. These findings suggest that the microscopic behavior of the oxygen atoms at the Si/SiO 2 interface during the argon anneal depends on the ambient adopted for the SiO 2 formation

  17. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  18. Influence of substrate treatment on the growth of advanced core–shell alloys and compounds of FeSi@SiO2 and SiO2 nanowires

    CSIR Research Space (South Africa)

    Thabethe, S

    2014-12-01

    Full Text Available Advanced core–shell FeSi@SiO(subx) nanowires are observed when FeCl(sub3) vapour is made to flow over a SiO(sub2)/Si substrate at 1100 degress C. The thickness of the SiO(subx) sheath (d0) is found to depend inversely as the period of time of HF...

  19. Preparation and Characterization of Fluorescent SiO2 Microspheres

    Science.gov (United States)

    Xu, Cui; Zhang, Hao; Guan, Ruifang

    2018-01-01

    Fluorescent compound without typical fluorophores was synthesized with citric acid (CA) and aminopropyltriethoxysilane (APTS) firstly, and then it was grafted to the surface of the prepared SiO2 microspheres by chemical reaction. The fluorescent SiO2 microspheres with good fluorescent properties were obtained by optimizing the reaction conditions. And the morphology and structure of the fluorescent SiO2 microspheres have been characterized by scanning electron microscopy (SEM) and fourier transform infrared (FTIR) spectroscopy. The results showed that the preparation of fluorescent SiO2 microspheres have good monodispersity and narrow particle size distribution. Moreover, the fluorescent SiO2 microspheres can be applied to detect Fe3+ in aqueous solution, prepare fluorescent SiO2 rubber, and have potential to be applied in the fluorescent labeling and fingerprint appearing technique fields.

  20. Formation of thin DLC films on SiO{sub 2}/Si substrate using FCVAD technique

    Energy Technology Data Exchange (ETDEWEB)

    Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Aramwit, C.; Tippawan, U. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2013-07-15

    Diamond-like carbon (DLC) films deposited on SiO{sub 2}/Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO{sub 2}/Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I{sub D}/I{sub G} ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I{sub D}/I{sub G} ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp{sup 3} site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp{sup 3} fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO{sub 2} substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC

  1. Research Progress on Preparation for Biomass-based SiC Ceramic

    Directory of Open Access Journals (Sweden)

    CUI He-shuai

    2017-08-01

    Full Text Available Silicon carbide (SiC ceramics prepared by the conventional process has excellent properties and wide application prospects, but the increased cost of high-temperature preparation process restricts its further development. In contrast, the abundant porous structure of biomass makes itself to be ideal replacement of SiC ceramic prepared at low temperature. This paper reviewed the structure characteristics, preparation methods, pyrolysis mechanism and influence parameters of biomass-based SiC ceramic, and eventually explored the current problems and development trends of the pretreatment of carbon source and silicon source, the pyrolysis process and the application research on the preparation for biomass-based SiC ceramic.

  2. The fabrication and application of patterned Si(001) substrates with ordered pits via nanosphere lithography

    International Nuclear Information System (INIS)

    Chen Peixuan; Fan Yongliang; Zhong Zhenyang

    2009-01-01

    A new scalable approach has been developed for fabricating large-scale pit patterns with controllable periodicity on Si(001) substrates. The fabrication processes start with self-assembling a monolayer of polystyrene (PS) spheres on hydrogenated Si(001) substrates. A novel net-like mask in combination of the Au pattern thermally evaporated in between the PS spheres and the Au-catalyzed SiO 2 around them is naturally formed. After selective etching of Si by KOH solution, two-dimensionally ordered pits with a periodicity equal to the diameter of the PS spheres in the range from micrometers to less than 100 nm can be obtained. The shape of the pits can be modulated by controlling the chemical etching time. Such pit-patterned Si substrates facilitate the formation of ordered Si-based nanostructures, such as ordered self-assembled GeSi quantum dots, by deposition of Ge using molecular beam epitaxy.

  3. ZnO epitaxy on SiC(0001-bar) substrate: Comparison with ZnO/SiC(0 0 0 1) heterostructure

    International Nuclear Information System (INIS)

    Ashrafi, Almamun; Aminuzzaman, Mohammod

    2011-01-01

    ZnO thin layers deposited on 6H-SiC substrates showed six-fold crystal symmetry with an epitaxial relationship of (0 0 0 2) ZnO ||(0 0 0 6) SiC and [112-bar 0] ZnO ||[112-bar 0] SiC . Despite the different 6H-SiC substrate surface orientations for the ZnO epitaxy, the orientation relationship of ZnO/6H-SiC heterostructures is identical, as confirmed by X-ray diffraction studies. In these ZnO/6H-SiC(0 0 0 1) and ZnO/6H-SiC(0001-bar) heterostructures, the valence band offsets are measured to be 1.12 eV and 1.09 eV, leading to the conduction band offset values of 0.75 eV and 0.72 eV, respectively. These slightly different band-offset values in ZnO/6H-SiC heterojunctions are attributed to the variation of valence band maximums and the different interface charge compensation mechanisms.

  4. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  5. Interface thermal resistance of nanostructured FeCoCu film and Si substrate

    Science.gov (United States)

    Nikolaenko, Yuri M.; Medvedev, Yuri V.; Genenko, Yuri A.; Ghafari, Mohammad; Hahn, Horst

    2006-05-01

    Results of measurement of thermal resistance (RFS ) of film substrate interface of 10 nm (Fe1-x Cox )1-y Cuy film on Si substrate with 50 nm SiO2 sublayer are presented. The estimated magnitude is two orders greater then RFS of epitaxial manganite films on StTiO3 substrate with and without sublayer. The significant increase of RFS is explained by granular structure of film with average size of grain about 10 nm. In this case the additional thermal barier in the film-substrate interface is appeared. It provides the change of regime of phonons propagation from ballistic to diffusion one. The principle possibility of variation of RFS in wide range as a task of nanotechnology is discussed.

  6. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001 substrate

    Directory of Open Access Journals (Sweden)

    Han Ye

    2014-11-01

    Full Text Available Patterning pit on Si(001 substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in {105} pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facets for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.

  7. In-situ fabrication of MoSi{sub 2}/SiC–Mo{sub 2}C gradient anti-oxidation coating on Mo substrate and the crucial effect of Mo{sub 2}C barrier layer at high temperature

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jun [School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Key Laboratory for Advanced Materials Processing Technology, Ministry of Education, Beijing 100084 (China); State Key Laboratory of New Ceramics and Fine Processing, Beijing 100084 (China); Gong, Qianming, E-mail: gongqianming@mail.tsinghua.edu.cn [School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Key Laboratory for Advanced Materials Processing Technology, Ministry of Education, Beijing 100084 (China); State Key Laboratory of New Ceramics and Fine Processing, Beijing 100084 (China); Shao, Yang; Zhuang, Daming [School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Key Laboratory for Advanced Materials Processing Technology, Ministry of Education, Beijing 100084 (China); State Key Laboratory of New Ceramics and Fine Processing, Beijing 100084 (China); Liang, Ji [Key Laboratory for Advanced Materials Processing Technology, Ministry of Education, Beijing 100084 (China); Department of Mechanical Engineering, Tsinghua University, Beijing 100084 (China)

    2014-07-01

    MoSi{sub 2}/SiC–Mo{sub 2}C gradient coating on molybdenum was in situ prepared with pack cementation process by two steps: (1) carburizing with graphite powder to obtain a Mo{sub 2}C layer on Mo substrate, and (2) siliconizing with Si powder to get a composite MoSi{sub 2}/SiC layer on the upper part of Mo{sub 2}C layer. The microstructure and elemental distribution in the coating were investigated with scanning electron microscopy (SEM), backscattered electron (BSE), energy dispersive spectroscopy (EDS), electron probe microanalysis (EPMA) and X-ray diffraction (XRD). Cyclic oxidation tests (at 500 °C, 1200 °C, 1400 °C and 1600 °C) demonstrated excellent oxidation resistance for the gradient composite coating and the mass loss was only 0.23% in 60 min at 1600 °C. XRD, EPMA, thermal dynamic and phase diagram analyses indicated that the Mo{sub 2}C barrier layer played the key role in slowing down the diffusion of C and Si toward inner Mo substrate at high temperature and principally this contributed to the excellent anti-oxidation for Mo besides the outer MoSi{sub 2}/SiC composite layer.

  8. Transfer free graphene growth on SiO2 substrate at 250 °C

    Science.gov (United States)

    Vishwakarma, Riteshkumar; Rosmi, Mohamad Saufi; Takahashi, Kazunari; Wakamatsu, Yuji; Yaakob, Yazid; Araby, Mona Ibrahim; Kalita, Golap; Kitazawa, Masashi; Tanemura, Masaki

    2017-03-01

    Low-temperature growth, as well as the transfer free growth on substrates, is the major concern of graphene research for its practical applications. Here we propose a simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction. The key to this approach is the catalyst metal, which is not popular for graphene growth by chemical vapor deposition. A catalyst metal film of 500 nm thick was deposited onto an amorphous C (50 nm thick) coated SiO2/Si substrate. The sample was then annealed at 250 °C under vacuum condition. Raman spectra measured after the removal of the catalyst by chemical etching showed intense G and 2D peaks together with a small D and intense SiO2 related peaks, confirming the transfer free growth of multilayer graphene on SiO2/Si. The domain size of the graphene confirmed by optical microscope and atomic force microscope was about 5 μm in an average. Thus, this approach will open up a new route for transfer free graphene growth at low temperatures.

  9. Characterization and electrochemical properties of Ni(Si)/Ni5Si2 multiphase coatings prepared by HVOF spraying

    Science.gov (United States)

    Verdian, M. M.; Raeissi, K.; Salehi, M.

    2012-11-01

    Ni(Si)/Ni5Si2 powders were produced by mechanical alloying (MA) of Ni-25 at.% Si powder mixture. Then, the as-milled powders were sprayed onto copper substrate using high velocity oxy-fuel (HVOF) process. The phase composition and microstructure of the coatings were examined by X-ray diffractometry and scanning electron microscopy. Polarization tests and electrochemical impedance spectroscopy (EIS) measurements were also employed to study corrosion performance of the coatings in 3.5% NaCl solution. The results showed that although single phase Ni3Si was formed during annealing of Ni(Si)/Ni5Si2 powders, but, only Ni(Si) and Ni5Si2 are present in HVOF coatings and no new phase has been formed during spraying. The coatings had microhardness up to 746 HV0.05. Further investigations showed the corrosion performance of multiphase coatings in 3.5% NaCl solution was better than that of copper substrate. The phase transitions during MA, HVOF and annealing processes were discussed in association with Ni-Si phase diagram and nature of each process.

  10. Sol-gel prepared B2O3-SiO2 thin films for protection of copper substrates

    International Nuclear Information System (INIS)

    Gouda, M.; Ahmed, M.S.; Shahin, M.A.

    2000-01-01

    Full text.Borosilicate coating has potential for applications in the field of electronics, e.g., as passivation layers. One of the main difficulties for applying these films by the conventional melting process is the extensive volatilization of B 2 O 3 from the melt. In this work transparent borosilicate films of 2OB 2 O3.8OSiO 2 (in mole %). Prepared by the sole gel method, were applied onto copper substrates by dip-coating technique. The transparency of these films was very sensitive to the humidity of the atmosphere during the coating process. Transparent films were obtained below 20% relative humidity at 20 celsius degree. High temperature oxidation tests, at about 585 celsius degree stream of air, showed that the sol-gel prepared 2OB 2 O 3 .8OSiO 2 thin films are protective coating for copper substrates under fairly severe temperature gradient and oxidizing atmosphere. It was found that the protective action of these films depends on the film thickness

  11. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  12. Growth and properties of blue/green InGaN/GaN MQWs on Si(111) substrates

    International Nuclear Information System (INIS)

    Lee, Kang Jea; Oh, Tae Su; Kim, Tae Ki; Yang, Gye Mo; Lim, Kee Young

    2005-01-01

    InGaN/GaN multiple quantum wells (MQWs) were grown on highly tensile-strained GaN films on Si(111) substrate by metalorganic chemical vapor deposition. Due to the large difference of lattice constant and thermal expansion coefficient between GaN and Si, GaN growth on Si(111) substrate usually leads to an initially high dislocation density and cracks. We demonstrate low dislocation-density and crack-free GaN films grown on Si(111) substrate by introducing an AlN/GaN strain-compensation layer and Si x N y dislocation masking layer. Blue/green-emitting InGaN/GaN MQW heterostructures have been successfully grown on Si(111) substrates. Two sets of InGaN/GaN MQWs with different In solid composition and number of pairs grown between 820 .deg. C and 900 .deg. C were studied by high-resolution X-ray diffraction and photoluminescence spectroscopy. The emission wavelengths of InGaN MQW structures were significantly dependent on growth temperature.

  13. Stress impedance effect of FeCoSiB/Cu/FeCoSiB sandwich layers on flexible substrate

    International Nuclear Information System (INIS)

    Peng, B.; Zhang, W.L.; Liu, J.D.; Zhang, W.X.

    2011-01-01

    FeCoSiB/Cu/FeCoSiB sandwich layers were deposited on flexible substrate to develop flexible stress/strain sensors. The influence of stress on the impedance of the multilayers is reported. The results show that the variation of the impedance increases with the increase in deflection of the free end of the cantilever. A relative change in impedance of 6.4% is obtained in the FeCoSiB(1.5 μm)/Cu(0.25 μm)/FeCoSiB(1.5 μm) sandwich layers at 1 MHz with deflection of 2 mm. The stress impedance effects are sensitive to the frequency of the current and the thickness of both FeCoSiB and Cu layers. The stress impedance effect increases with the increase in the thickness of FeCoSiB or Cu layers. The stress impedance effect increases slightly with the increase in frequency and decreases with the further increase in frequency, which can be understood by the stress and frequency-dependent permeability of magnetic films. - Research highlights: → We deposited FeCoSiB/Cu/FeCoSiB multilayer on flexible substrate. → We studied the stress impedance effect of FeCoSiB/Cu/FeCoSiB multilayer. → Stress impedance effect increases with thickness of both FeCoSiB and Cu layer.→ Stress impedance effect is dependent on current frequency. → Results are understood using stress and frequency-dependent permeability.

  14. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    Science.gov (United States)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  15. Massive transfer of vertically aligned Si nanowire array onto alien substrates and their characteristics

    International Nuclear Information System (INIS)

    Shiu, Shu-Chia; Hung, Shih-Che; Chao, Jiun-Jie; Lin, Ching-Fuh

    2009-01-01

    Si nanowires (NWs) are promising materials for future electronic, photovoltaic, and sensor applications. So far the Si NWs are mainly formed on particular substrates or at high temperatures, greatly limiting their application flexibility. Here we report a low temperature process for forming and massively transferring vertically aligned Si NWs on alien substrates with a large density of about (3-5) x 10 7 NWs/mm 2 . The X-ray diffraction spectrum reveals that the transferred NWs exhibit almost the same crystal property as the bulk Si. Our investigation further shows that the transferred NWs have exceptional optical characteristics. The transferred Si NWs of 12.14 μm exhibit the transmittance as low as 0.3% in the near infrared region and 0.07% in the visible region. The extracted absorption coefficient of Si NWs in the near infrared region is about 3 x 10 3 cm -1 , over 30 times larger than that of the bulk Si. Because of the low temperature process, it enables a large variety of alien substrates such as glass and plastics to be used. In addition, the exceptional properties of the transferred NWs offer potential applications for photovoltaic, photo-detectors, sensors, and flexible electronics.

  16. Preparation and characterization of nanostructured ZrO2 coatings on dense and porous substrates

    International Nuclear Information System (INIS)

    Shi Jingyu; Verweij, Henk

    2008-01-01

    Nanostructured ZrO 2 coatings are prepared on both dense and porous substrates by wet-chemical deposition of non-agglomerated 5 nm precursor particle dispersions, followed by thermal processing. The precursor particle dispersions are made by modified emulsion precipitation and a purification treatment to remove reaction products and additives. The coatings are formed by depositing the precursor nanoparticle dispersion directly onto the substrate, followed by drying and heating at 600 deg. C. Scanning electron microscopy and cross-sectional transmission electron microscopy observations of the heat-treated coatings indicate that the ZrO 2 coating on dense Si wafer substrate has a homogeneous, dense particle packing structure with shallow meniscus-shaped depressions in the surface, and microcracks below the meniscus surface. On the other hand, coatings formed on a meso-porous γ-alumina membrane substrate are free of defects, but with a lower packing density. The mechanism of the substrate effect on the particle packing behavior and defect formation during coating deposition is discussed. It is expected that by using a thin porous substrate with reduced capillary force, a defect-free, homogenously dense-packed coating structure can be achieved

  17. ZnO epitaxy on SiC(0001-bar) substrate: Comparison with ZnO/SiC(0 0 0 1) heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Ashrafi, Almamun, E-mail: ash2phy@gmail.com [Department of Physics, University of Vermont, VT 05405 (United States); Aminuzzaman, Mohammod [Department of Chemical Science, Universiti Tunku Abdul Rahman, Perak (Malaysia)

    2011-05-01

    ZnO thin layers deposited on 6H-SiC substrates showed six-fold crystal symmetry with an epitaxial relationship of (0 0 0 2){sub ZnO}||(0 0 0 6){sub SiC} and [112-bar 0]{sub ZnO}||[112-bar 0]{sub SiC}. Despite the different 6H-SiC substrate surface orientations for the ZnO epitaxy, the orientation relationship of ZnO/6H-SiC heterostructures is identical, as confirmed by X-ray diffraction studies. In these ZnO/6H-SiC(0 0 0 1) and ZnO/6H-SiC(0001-bar) heterostructures, the valence band offsets are measured to be 1.12 eV and 1.09 eV, leading to the conduction band offset values of 0.75 eV and 0.72 eV, respectively. These slightly different band-offset values in ZnO/6H-SiC heterojunctions are attributed to the variation of valence band maximums and the different interface charge compensation mechanisms.

  18. Sintering Behavior of Spark Plasma Sintered SiC with Si-SiC Composite Nanoparticles Prepared by Thermal DC Plasma Process

    Science.gov (United States)

    Yu, Yeon-Tae; Naik, Gautam Kumar; Lim, Young-Bin; Yoon, Jeong-Mo

    2017-11-01

    The Si-coated SiC (Si-SiC) composite nanoparticle was prepared by non-transferred arc thermal plasma processing of solid-state synthesized SiC powder and was used as a sintering additive for SiC ceramic formation. Sintered SiC pellet was prepared by spark plasma sintering (SPS) process, and the effect of nano-sized Si-SiC composite particles on the sintering behavior of micron-sized SiC powder was investigated. The mixing ratio of Si-SiC composite nanoparticle to micron-sized SiC was optimized to 10 wt%. Vicker's hardness and relative density was increased with increasing sintering temperature and holding time. The relative density and Vicker's hardness was further increased by reaction bonding using additional activated carbon to the mixture of micron-sized SiC and nano-sized Si-SiC. The maximum relative density (97.1%) and Vicker's hardness (31.4 GPa) were recorded at 1800 °C sintering temperature for 1 min holding time, when 0.2 wt% additional activated carbon was added to the mixture of SiC/Si-SiC.

  19. Metastability and relaxation in tensile SiGe on Ge(001) virtual substrates

    International Nuclear Information System (INIS)

    Frigerio, Jacopo; Lodari, Mario; Chrastina, Daniel; Mondiali, Valeria; Isella, Giovanni; Bollani, Monica

    2014-01-01

    We systematically study the heteroepitaxy of SiGe alloys on Ge virtual substrates in order to understand strain relaxation processes and maximize the tensile strain in the SiGe layer. The degree of relaxation is measured by high-resolution x-ray diffraction, and surface morphology is characterized by atomic force microscopy. The results are analyzed in terms of a numerical model, which considers dislocation nucleation, multiplication, thermally activated glide, and strain-dependent blocking. Relaxation is found to be sensitive to growth rate and substrate temperature as well as epilayer misfit and thickness, and growth parameters are found which allow a SiGe film with over 4 GPa of tensile stress to be obtained.

  20. Excimer laser crystallization of InGaZnO4 on SiO2 substrate

    NARCIS (Netherlands)

    Chen, T.; Wu, M.Y.; Ishihara, R.; Nomura, K.; Kamiya, T.; Hosono, H.; Beenakker, C.I.M.

    2011-01-01

    In this paper, we were able to crystallize InGaZnO4 (IGZO) by excimer laser on SiO2 substrate. It was observed that uniform [0001] textured polycrystalline IGZO film has been obtained without any grain boundaries and oxygen vacancies on SiO2 substrate. This process is very promising in fabricating

  1. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  2. Size- and phase-dependent mechanical properties of ultrathin Si films on polyimide substrates

    International Nuclear Information System (INIS)

    Schlich, Franziska F.; Spolenak, Ralph

    2016-01-01

    Ultrathin Si films in the nanometer range are extensively used for electronic and optoelectronic devices. Their mechanical properties have a high impact on the durability of the devices during lifetime. Here, fragmentation and buckling of 8–103 nm thin amorphous and polycrystalline (poly-) Si films on polyimide substrates have been studied by in situ light microscopy, Raman spectroscopy and resistance measurements. Generally, a smaller film thickness and a compressive residual stress delays the fracture of the film. The fracture strength of poly-Si films is larger compared to that of amorphous Si films while the adhesion to the substrate is better for amorphous Si compared to poly-Si. The onset delamination as a function of film thickness differs for the two phases and is described by two different models. Thin-film models for fracture toughness (amorphous Si: K 1C  = 1.49 ± 0.22, poly-Si: K 1C  = 3.36 ± 1.37) are applied, discussed, and found to be consistent with literature values.

  3. Long-Wavelength InAs/GaAs Quantum-Dot Light Emitting Sources Monolithically Grown on Si Substrate

    Directory of Open Access Journals (Sweden)

    Siming Chen

    2015-06-01

    Full Text Available Direct integration of III–V light emitting sources on Si substrates has attracted significant interest for addressing the growing limitations for Si-based electronics and allowing the realization of complex optoelectronics circuits. However, the high density of threading dislocations introduced by large lattice mismatch and incompatible thermal expansion coefficient between III–V materials and Si substrates have fundamentally limited monolithic epitaxy of III–V devices on Si substrates. Here, by using the InAlAs/GaAs strained layer superlattices (SLSs as dislocation filter layers (DFLs to reduce the density of threading dislocations. We firstly demonstrate a Si-based 1.3 µm InAs/GaAs quantum dot (QD laser that lases up to 111 °C, with a low threshold current density of 200 A/cm2 and high output power over 100 mW at room temperature. We then demonstrate the operation of InAs/GaAs QD superluminescent light emitting diodes (SLDs monolithically grown on Si substrates. The fabricated two-section SLD exhibits a 3 dB linewidth of 114 nm, centered at ~1255 nm with a corresponding output power of 2.6 mW at room temperature. Our work complements hybrid integration using wafer bonding and represents a significant milestone for direct monolithic integration of III–V light emitters on Si substrates.

  4. Structural effects of field emission from GaN nanofilms on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng-Cheng; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn; Zhu, Man-Kang; Yan, Hui [College of Materials Science and Engineering, Beijing University of Technology, 100 Pingleyuan, Chaoyang District, Beijing 100124 (China); Liu, Peng [Department of Physics Tsinghua University, Tsinghua-Foxconn Nanotechnology Research Center, Beijing 100084 (China); Wang, Bi-Ben [College of Chemistry and Chemical Engineering, Chongqing University of Technology, Chongqing 400054 (China)

    2014-04-21

    GaN nanofilms (NFs) with different structures are grown on SiC substrates by pulsed laser deposition under different conditions. The synthesized GaN NFs are studied by X-ray diffraction, field-emission (FE) scanning electron microscopy, X-ray photoelectron spectroscopy, and atomic force microscopy. The GaN NFs are composed of diversified GaN nanoparticles with a diameter of 9–38 nm, thickness of 10–50 nm, and roughness of 0.22–13.03 nm. FE from the GaN NFs is structure dependent, which is explained by stress changing the band gap of the NFs. By structure modulation, the turn-on field of GaN NFs can be as low as 0.66 V/μm at a current density of 1 μA/cm{sup 2}, with a current density of up to 1.1 mA/cm{sup 2} at a field of 4.18 V/μm. Fowler-Nordheim curves of some samples contain multiple straight lines, which originate from the structural change and diversification of GaN nanoparticles under an applied field. Overall, our results suggest that GaN NFs with excellent FE properties can be prepared on SiC substrates, which provides a new route to fabricate high-efficiency FE nanodevices.

  5. A convenient way of manufacturing silicon nanotubes on a silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Changchang; Cheng, Heming; Liu, Xiang, E-mail: liuxiang@ahut.edu.cn

    2016-07-01

    A convenient approach of preparing silicon nanotubes (SiNTs) on a silicon substrate is described in this work in detail. Firstly, a porous silicon (PSi) slice is prepared by a galvanic displacement reaction. Then it is put into aqueous solutions of 20% (w%) ammonium fluoride and 2.5 mM cobalt nitrate for a predetermined time. The cobalt ions are reduced and the resulted cobalt particles are deposited on the PSi slice. After the cobalt particles are removed with 5 M nitric acid a plenty of SiNTs come out and exhibit disorderly on the silicon substrate, which are illustrated by scanning electron microscopy (SEM). The compositions of the SiNTs are examined by energy-dispersive X-ray spectroscopy. Based on the SEM images, a suggested mechanism is put forward to explain the generation of the SiNTs on the PSi substrate. - Highlights: • A facile approach of preparing silicon nano tubes was invented. • The experimental results demonstrated the strong reducibility of Si-H{sub x} species. • It provided a new way of manufacturing silicon-contained hybrids.

  6. Sintering Behavior of Spark Plasma Sintered SiC with Si-SiC Composite Nanoparticles Prepared by Thermal DC Plasma Process.

    Science.gov (United States)

    Yu, Yeon-Tae; Naik, Gautam Kumar; Lim, Young-Bin; Yoon, Jeong-Mo

    2017-11-25

    The Si-coated SiC (Si-SiC) composite nanoparticle was prepared by non-transferred arc thermal plasma processing of solid-state synthesized SiC powder and was used as a sintering additive for SiC ceramic formation. Sintered SiC pellet was prepared by spark plasma sintering (SPS) process, and the effect of nano-sized Si-SiC composite particles on the sintering behavior of micron-sized SiC powder was investigated. The mixing ratio of Si-SiC composite nanoparticle to micron-sized SiC was optimized to 10 wt%. Vicker's hardness and relative density was increased with increasing sintering temperature and holding time. The relative density and Vicker's hardness was further increased by reaction bonding using additional activated carbon to the mixture of micron-sized SiC and nano-sized Si-SiC. The maximum relative density (97.1%) and Vicker's hardness (31.4 GPa) were recorded at 1800 °C sintering temperature for 1 min holding time, when 0.2 wt% additional activated carbon was added to the mixture of SiC/Si-SiC.

  7. High-efficiency thin Si solar cells prepared at reduced temperatures. Final report; Herstellung von hocheffizienten, duennen Si-Solarzellen bei erniedrigten Prozesstemperaturen. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Kruehler, W.

    1999-07-01

    Thin crystalline Si wafer solar cells were processed at reduced temperatures. In addition multicrystalline thin-film solar cells were fabricated on graphite substrates. Large area (175 cm{sup 2}) wafer solar cells made from mono- as well as from tricrystalline Si material were processed with reduced thicknesses down to 100 {mu}m. Conversion efficiencies were obtained in the range between 11.5 and 12.5% without antireflection coating. The reduction of the process temperatures had no positive impact on the expected cost reduction because of the degradation of the electrical cell data during processing. Tricrystalline Si wafers have shown to be mechanically stronger than monocrystalline Si material. Consequently, tri-Si ingots can be sawn in thinner wafers with higher yield. The concept of backside-contacted solar cell was realized by the preparation of thin slit solar cells (150 {mu}m thin, 43 cm{sup 2} in area) made from tri-Si. A conversion efficiency of 14,3% was reached. Amorphous Si layer deposited on graphite substrates were recrystallized by the electron beam recrystallization method developed by the Technical University in Hamburg-Harburg. The recrystallized Si layers showed large grains and were suitible as seed layers for the following gas phase epitaxy (CVD). With the CVD method 20 to 40 {mu}m thin Si absorber layers were deposited on the seed layers with the same excellent crystallographic properties. In contrast, their electrical properties were not sufficient for the preparation of solar cells having more than 3% efficiency. The study of the different concepts has shown, that the development of thin wafer solar cells made from tri-Si has the highest potential with respect to a further cost reduction. (orig.) [German] Es wurden sowohl duenne, kristalline Si-Wafer-Solarzellen bei erniedrigten Prozesstemperaturen als auch multikristalline Si-Duennschicht-Solarzellen auf Graphitsubstraten entwickelt und untersucht. Es konnten grossflaechige (175 cm{sup 2

  8. Synthesis and characterization of erbium-doped SiO2-TiO2 thin films prepared by sol-gel and dip-coating techniques onto commercial glass substrates as a route for obtaining active GRadient-INdex materials

    International Nuclear Information System (INIS)

    Gómez-Varela, Ana I.; Castro, Yolanda; Durán, Alicia; De Beule, Pieter A.A.; Flores-Arias, María T.; Bao-Varela, Carmen

    2015-01-01

    In this work, SiO 2 -TiO 2 films doped with erbium were prepared by dip-coating sol-gel process onto commercial glass substrates. The surface morphology of the films was characterized using atomic force microscopy, while thickness, refractive index, extinction coefficient and porosity of the films were determined by ellipsometric measurements in a wavelength region of 400-1000 nm. Optical constants and porosity were found to vary with erbium concentration. The proof of principle presented in this paper is applicable to systems of different nature by tailoring the sol-gel precursors in such a way that active GRadient-INdex media described by a complex, parabolic-like refractive index distribution for beam shaping purposes is obtained. - Highlights: • Sol-gel route for preparation of active GRadient-INdex materials is proposed. • SiO 2 -TiO 2 films doped with erbium were prepared by dipping onto commercial glasses. • Morphological and optical characterization of the samples was performed. • Optical constants and porosity were found to vary with erbium concentration. • Refractive index diminishes with dopant content; the contrary occurs for porosity

  9. GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Neumann, Richard; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-15

    GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Preparation of ZnS microdisks using chemical bath deposition and ZnS/p-Si heterojunction solar cells

    Science.gov (United States)

    Hsiao, Y. J.; Meen, T. H.; Ji, L. W.; Tsai, J. K.; Wu, Y. S.; Huang, C. J.

    2013-10-01

    The synthesis and heterojunction solar cell properties of ZnS microdisks prepared by the chemical bath deposition method were investigated. The ZnS deposited on the p-Si blanket substrate exhibits good coverage. The lower reflectance spectra were found as the thickness of the ZnS film increased. The optical absorption spectra of the 80 °C ZnS microdisk exhibited a band-gap energy of 3.4 eV and the power conversion efficiency (PCE) of the AZO/ZnS/p-Si heterojunction solar cell with a 300 nm thick ZnS film was η=2.72%.

  11. Irradiation effects of Ar cluster ion beams on Si substrates

    International Nuclear Information System (INIS)

    Ishii, Masahiro; Sugahara, Gaku; Takaoka, G.H.; Yamada, Isao

    1993-01-01

    Gas-cluster ion beams can be applied to new surface modification techniques such as surface cleaning, low damage sputtering and shallow junction formation. The effects of energetic Ar cluster impacts on solid surface were studied for cluster energies of 10-30keV. Irradiation effects were studied by RBS. For Si(111) substrates, irradiated with Ar ≥500 clusters to a dose of 1x10 15 ion/cm 2 at acceleration voltage 15kV, 2x10 14 atoms/cm 2 implanted Ar atoms were detected. In this case, the energy per cluster atom was smaller than 30eV; at this energy, no significant implantation occurs in the case of monomer ions. Ar cluster implantation into Si substrates occurred due to the high energy density irradiation. (author)

  12. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  13. Wafer-Scale Gigahertz Graphene Field Effect Transistors on SiC Substrates

    Institute of Scientific and Technical Information of China (English)

    潘洪亮; 金智; 麻芃; 郭建楠; 刘新宇; 叶甜春; 李佳; 敦少博; 冯志红

    2011-01-01

    Wafer-scale graphene field-effect transistors are fabricated using benzocyclobutene and atomic layer deposition Al2O3 as the top-gate dielectric.The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate.The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found.For the intrinsic characteristic of this particular channel material,the devices cannot be switched off.The cut-off frequencies of these graphene field-effect transistors,which have a gate length of l μm,are larger than 800 MHz.The largest one can reach 1.24 GHz.There are greater than 95% active devices that can be successfully applied.We thus succeed in fabricating wafer-scale gigahertz graphene field-effect transistors,which paves the way for high-performance graphene devices and circuits.%Wafer-scale graphene Beld-effect transistors are fabricated using benzocyclobutene and atomic layer deposition AI2O3 as the top-gate dielectric. The epitaxial-graphene layer is formed by graphitization of a 2-inch-diameter Si-face semi-insulating 6H-SiC substrate. The graphene on the silicon carbide substrate is heavily n-doped and current saturation is not found. For the intrinsic characteristic of this particular channel material, the devices cannot be switched off. The cut-off frequencies of these graphene field-effect transistors, which have a gate length of l μm, are larger than 800MHz. The largest one can reach 1.24 GHz. There are greater than 95% active devices that can be successfully applied. We thus succeed in fabricating wafer-scale gigahertz graphene Geld-effect transistors, which paves the way for high-performance graphene devices and circuits.

  14. A Study of Thin Film Resistors Prepared Using Ni-Cr-Si-Al-Ta High Entropy Alloy

    Directory of Open Access Journals (Sweden)

    Ruei-Cheng Lin

    2015-01-01

    Full Text Available Ni-Cr-Si-Al-Ta resistive thin films were prepared on glass and Al2O3 substrates by DC magnetron cosputtering from targets of Ni0.35-Cr0.25-Si0.2-Al0.2 casting alloy and Ta metal. Electrical properties and microstructures of Ni-Cr-Si-Al-Ta films under different sputtering powers and annealing temperatures were investigated. The phase evolution, microstructure, and composition of Ni-Cr-Si-Al-Ta films were characterized by X-ray diffraction (XRD, transmission electron microscopy (TEM, and Auger electron spectroscopy (AES. When the annealing temperature was set to 300°C, the Ni-Cr-Si-Al-Ta films with an amorphous structure were observed. When the annealing temperature was at 500°C, the Ni-Cr-Si-Al-Ta films crystallized into Al0.9Ni4.22, Cr2Ta, and Ta5Si3 phases. The Ni-Cr-Si-Al-Ta films deposited at 100 W and annealed at 300°C which exhibited the higher resistivity 2215 μΩ-cm with −10 ppm/°C of temperature coefficient of resistance (TCR.

  15. Formation Mechanism of Ge Nanocrystals Embedded in SiO2 Studied by Fluorescence X-Ray Absorption Fine Structure

    International Nuclear Information System (INIS)

    Yan Wensheng; Li Zhongrui; Sun Zhihu; Wei Shiqiang; Kolobov, A. V.

    2007-01-01

    The formation mechanism of Ge nanocrystals for Ge (60 mol%) embedded in a SiO2 matrix grown on Si(001) and quartz-glass substrates was studied by fluorescence x-ray absorption fine structure (XAFS). It was found that the formation of Ge nanocrystals strongly depends on the properties of the substrate materials. In the as-prepared samples, Ge atoms exist in amorphous Ge and GeO2 phases. At the annealing temperature of 1073 K, on the quartz-glass substrate, Ge nanocrystals are only formed predominantly from the amorphous Ge phase in the as-prepared sample. However, on the Si(100) substrate the Ge nanocrystals are generated partly from amorphous Ge, and partly from GeO2 phases through the permutation reaction with Si substrate. Quantitative analysis revealed that about 10% of GeO2 in as-prepared sample permutated with Si in the wafer and formed Ge nanocrystals

  16. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Hazra, Purnima; Singh, Satyendra Kumar [Department of Electronics and Communication Engineering, Motilal Neheru National Institute of Technology, Allahabad 211004 (India); Jit, Satyabrata, E-mail: sjit.ece@itbhu.ac.in [Department of Electronics Engineering, Indian Institute of Technology (BHU), Varanasi 221005 (India)

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance (<3%) in the visible wavelength region compared to Si/ZnO heterojunctions (>20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the

  17. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  18. Preparation and Anodizing of SiCp/Al Composites with Relatively High Fraction of SiCp.

    Science.gov (United States)

    Wang, Bin; Qu, Shengguan; Li, Xiaoqiang

    2018-01-01

    By properly proportioned SiC particles with different sizes and using squeeze infiltration process, SiCp/Al composites with high volume fraction of SiC content (Vp = 60.0%, 61.2%, 63.5%, 67.4%, and 68.0%) were achieved for optical application. The flexural strength of the prepared SiC p /Al composites was higher than 483 MPa and the elastic modulus was increased from 174.2 to 206.2 GPa. With an increase in SiC volume fraction, the flexural strength and Poisson's ratio decreased with the increase in elastic modulus. After the anodic oxidation treatment, an oxidation film with porous structure was prepared on the surface of the composite and the oxidation film was uniformly distributed. The anodic oxide growth rate of composite decreased with SiC content increased and linearly increased with anodizing time.

  19. Preparation and Anodizing of SiCp/Al Composites with Relatively High Fraction of SiCp

    Directory of Open Access Journals (Sweden)

    Bin Wang

    2018-01-01

    Full Text Available By properly proportioned SiC particles with different sizes and using squeeze infiltration process, SiCp/Al composites with high volume fraction of SiC content (Vp = 60.0%, 61.2%, 63.5%, 67.4%, and 68.0% were achieved for optical application. The flexural strength of the prepared SiCp/Al composites was higher than 483 MPa and the elastic modulus was increased from 174.2 to 206.2 GPa. With an increase in SiC volume fraction, the flexural strength and Poisson’s ratio decreased with the increase in elastic modulus. After the anodic oxidation treatment, an oxidation film with porous structure was prepared on the surface of the composite and the oxidation film was uniformly distributed. The anodic oxide growth rate of composite decreased with SiC content increased and linearly increased with anodizing time.

  20. Preparation and Anodizing of SiCp/Al Composites with Relatively High Fraction of SiCp

    Science.gov (United States)

    2018-01-01

    By properly proportioned SiC particles with different sizes and using squeeze infiltration process, SiCp/Al composites with high volume fraction of SiC content (Vp = 60.0%, 61.2%, 63.5%, 67.4%, and 68.0%) were achieved for optical application. The flexural strength of the prepared SiCp/Al composites was higher than 483 MPa and the elastic modulus was increased from 174.2 to 206.2 GPa. With an increase in SiC volume fraction, the flexural strength and Poisson's ratio decreased with the increase in elastic modulus. After the anodic oxidation treatment, an oxidation film with porous structure was prepared on the surface of the composite and the oxidation film was uniformly distributed. The anodic oxide growth rate of composite decreased with SiC content increased and linearly increased with anodizing time. PMID:29682145

  1. XPS characterization of surface and interfacial structure of sputtered TiNi films on Si substrate

    International Nuclear Information System (INIS)

    Fu Yongqing; Du Hejun; Zhang, Sam; Huang Weimin

    2005-01-01

    TiNi films were prepared by co-sputtering TiNi and Ti targets. X-ray photoelectron spectroscopy (XPS) was employed to study surface chemistry of the films and interfacial structure of Si/TiNi system. Exposure of the TiNi film to the ambient atmosphere (23 deg. C and 80% relatively humidity) facilitated quick adsorption of oxygen and carbon on the surface. With time, carbon and oxygen content increased drastically at the surface, while oxygen diffused further into the layer. After a year, carbon content at the surface became as high as 65.57% and Ni dropped below the detection limit of XPS. Depth profiling revealed that significant inter-diffusion occurred between TiNi film and Si substrate with a layer of 90-100 nm. The detailed bond changes of different elements with depth were obtained using XPS and the formation of titanium silicides at the interface were identified

  2. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    International Nuclear Information System (INIS)

    Hazra, Purnima; Singh, Satyendra Kumar; Jit, Satyabrata

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance ( 20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the output current rating is about 130 times larger compared to their planar

  3. Preparation of monolayers of Mn{sub 6}Cr single-molecule-magnets on different substrates and characterization by means of nc-AFM

    Energy Technology Data Exchange (ETDEWEB)

    Gryzia, Aaron; Brechling, Armin; Predatsch, Hans; Heinzmann, Ulrich [Faculty of Physics, Bielefeld University, D-33615 Bielefeld (Germany); Glaser, Thorsten [Faculty of Chemistry, Bielefeld University, D-33615 Bielefeld (Germany)

    2011-07-01

    The preparation of a highly ordered monolayer of Single-Molecule-Magnets (SMM) is one of the main preconditions for a technical application of these molecules. The adsorption of these SMMs on surfaces is associated with difficulties due to the often low chemical stability of these molecules in the vicinity of a surface. The used Mn{sub 6}Cr-complex has a C{sub 3}-symmetry and a spin ground state of S{sub t}=21/2. This complex is a trication and needs therefore counter ions for electrical charge compensation. Tetraphenylborate, lactate and perchlorate came into consideration for this function. Mn{sub 6}Cr-SMMs were prepared on different substrates by a droplet technique in air at room temperature. The samples were characterized by means of an AFM operating in non-contact mode, using tips with cone radii of approx. 2 nm. An island-like growth was observed on SiO{sub 2}- and Si{sub 3}N{sub 4}-substrates, whereas on HOPG and mica the Mn{sub 6}Cr-SMM adsorbates preferred a layer growth. Also an influence of the used counter ions was observed on different substrates. The measured thicknesses of the layers are consistent with the Van der Waals radii of the Mn{sub 6}Cr-SMMs.

  4. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  5. InGaP solar cell on Ge-on-Si virtual substrate for novel solar power conversion

    Science.gov (United States)

    Kim, T. W.; Albert, B. R.; Kimerling, L. C.; Michel, J.

    2018-02-01

    InGaP single-junction solar cells are grown on lattice-matched Ge-on-Si virtual substrates using metal-organic chemical vapor deposition. Optoelectronic simulation results indicate that the optimal collection length for InGaP single-junction solar cells with a carrier lifetime range of 2-5 ns is wider than approximately 1 μm. Electron beam-induced current measurements reveal that the threading dislocation density (TDD) of InGaP solar cells fabricated on Ge and Ge-on-Si substrates is in the range of 104-3 × 107 cm-2. We demonstrate that the open circuit voltage (Voc) of InGaP solar cells is not significantly influenced by TDDs less than 2 × 106 cm-2. Fabricated InGaP solar cells grown on a Ge-on-Si virtual substrate and a Ge substrate exhibit Voc in the range of 0.96 to 1.43 V under an equivalent illumination in the range of ˜0.5 Sun. The estimated efficiency of the InGaP solar cell fabricated on the Ge-on-Si virtual substrate (Ge substrate) at room temperature for the limited incident spectrum spanning the photon energy range of 1.9-2.4 eV varies from 16.6% to 34.3%.

  6. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  7. Characterization of SiC in DLC/a-Si films prepared by pulsed filtered cathodic arc using Raman spectroscopy and XPS

    International Nuclear Information System (INIS)

    Srisang, C.; Asanithi, P.; Siangchaew, K.; Pokaipisit, A.; Limsuwan, P.

    2012-01-01

    DLC/a-Si films were deposited on germanium substrates. a-Si film was initially deposited as a seed layer on the substrate using DC magnetron sputtering. DLC film was then deposited on the a-Si layer via a pulsed filtered cathodic arc (PFCA) system. In situ ellipsometry was used to monitor the thicknesses of the growth films, allowing a precise control over the a-Si and DLC thicknesses of 6 and 9 nm, respectively. It was found that carbon atoms implanting on a-Si layer act not only as a carbon source for DLC formation, but also as a source for SiC formation. The Raman peak positions at 796 cm -1 and 972 cm -1 corresponded to the LO and TO phonon modes of SiC, respectively, were observed. The results were also confirmed using TEM, XPS binding energy and XPS depth profile analysis.

  8. a-Si:H crystallization from isothermal annealing and its dependence on the substrate used

    Energy Technology Data Exchange (ETDEWEB)

    Rojas-Lopez, M., E-mail: marlonrl@yahoo.com.mx [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Orduna-Diaz, A.; Delgado-Macuil, R.; Gayou, V.L.; Bibbins-Martinez, M. [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Torres-Jacome, A.; Trevino-Palacios, C.G. [INAOE, Tonantzintla, Puebla, Pue. 72000 (Mexico)

    2010-10-25

    We present hydrogenated amorphous silicon (a-Si:H) films which were deposited on two different substrates (glass and mono-crystalline silicon) after an isothermal annealing treatment at 250 deg. C for up to 14 h. The annealed amorphous films were analyzed using atomic force microscopy, Raman and FTIR spectroscopy. Films deposited on glass substrate experienced an amorphous-crystalline phase transition after annealing because of the metal-induced crystallization effect, reaching approximately 70% conversion after 14 h of annealing. An absorption frequency of the TO-phonon mode that varies systematically with the substoichiometry of the silicon oxide in the 1046-1170 cm{sup -1} region was observed, revealing the reactivity of the film with the annealing time. For similar annealing time, films deposited on mono-crystalline silicon substrate remained mainly amorphous with minimal Si-crystalline formation. Therefore, the crystalline formations and the shape of the films surfaces depends on the annealing time as well as on the substrate employed during the deposition process of the a-Si:H film.

  9. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  10. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  11. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    International Nuclear Information System (INIS)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V; Lai, Z; Henry, A; Janzen, E; Pippel, E; Woltersdorf, J

    2011-01-01

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T C ) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T C = 11.3 K and critical current density of about 2.5 MA cm -2 at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  12. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    Energy Technology Data Exchange (ETDEWEB)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V [Group for Advanced Receiver Development, Department of Earth and Space Sciences, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Lai, Z [Nanofabrication Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Henry, A; Janzen, E [Department of Physics, Chemistry and Biology, Linkoeping University, SE-581 83 Linkoeping (Sweden); Pippel, E; Woltersdorf, J, E-mail: dimitar.dochev@chalmers.se [Max-Planck-Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Germany)

    2011-03-15

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T{sub C}) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T{sub C} = 11.3 K and critical current density of about 2.5 MA cm{sup -2} at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  13. Residual stress in thick low-pressure chemical-vapor deposited polycrystalline SiC coatings on Si substrates

    Science.gov (United States)

    Choi, D.; Shinavski, R. J.; Steffier, W. S.; Spearing, S. M.

    2005-04-01

    Residual stress in thick coatings of polycrystalline chemical-vapor deposited SiC on Si substrates is a key variable that must be controlled if SiC is to be used in microelectromechanical systems. Studies have been conducted to characterize the residual stress level as a function of deposition temperature, Si wafer and SiC coating thickness, and the ratios of methyltrichlorosilane to hydrogen and hydrogen chloride. Wafer curvature was used to monitor residual stress in combination with a laminated plate analysis. Compressive intrinsic (growth) stresses were measured with magnitudes in the range of 200-300MPa; however, these can be balanced with the tensile stress due to the thermal-expansion mismatch to leave near-zero stress at room temperature. The magnitude of the compressive intrinsic stress is consistent with previously reported values of surface stress in combination with the competition between grain-boundary energy and elastic strain energy.

  14. Epitaxial growth and dielectric properties of Bi sub 2 VO sub 5 sub . sub 5 thin films on TiN/Si substrates with SrTiO sub 3 buffer layers

    CERN Document Server

    Lee, H Y; Choi, B C; Jeong, J H; Joseph, M; Tabata, H; Kawai, T

    2000-01-01

    Bi sub 2 VO sub 5 sub . sub 5 (BVO) thin films were epitaxially grown on SrTiO sub 3 /TiN/Si substrates by using pulsed laser ablation. A TiN thin film was prepared at 700 .deg. C as a bottom electrode. The TiN film exhibited a high alpha axis orientation and a very smooth morphology. Before the preparation of the BVO thin film, a crystallized SrTiO sub 3 thin film was deposited as a buffer layer on TiN/Si. The BVO thin film grown at a substrate temperature at 700 .deg. C and an oxygen pressure of 50 mTorr was found to be epitaxial along the c-axis. Also, BVO films were observed to have flat surfaces and the step-flow modes. The dielectric constant of the BVO film on STO/TiN/Si was constant at about 8 approx 4 in the applied frequency range between 10 sup 2 and 10 sup 6 Hz.

  15. Preparation of surface enhanced Raman substrate and its characterization

    Science.gov (United States)

    Liu, Y.; Wang, J. Y.; Wang, J. Q.

    2017-10-01

    Surface enhanced Raman spectroscopy (SERS) is a fast, convenient and highly sensitive detection technique, and preparing the good effect and repeatable substrate is the key to realize the trace amount and quantitative detection in the field of food safety detection. In this paper, a surface enhanced Raman substrate based on submicrometer silver particles structure was prepared by chemical deposition method, and characterized its structure and optical properties.

  16. Hydrogenated amorphous silicon solar cells fabricated at low substrate temperature 110°C on flexible PET substrate

    Science.gov (United States)

    Ramakrishna, M.; Kumari, Juhi; Venkanna, K.; Agarwal, Pratima

    2018-05-01

    In this paper, we report a-Si:H solar cells fabricated on flexible Polyethylene terephthalate (PET) and corning glass. The a-Si:H thin films were prepared at low substrate temperature (110oC) on corning 1737 glass with different rf powers. The influence of rf power on structural and optoelectronic properties of i-a-Si:H were studied. The films deposited at rf power 50W show less broadening of peak. This indicates these films are more ordered. With this optimized parameter for i-layer, solar cells fabricated on flexible PET substrate show best efficiency of 3.3% whereas on corning glass 3.82%.

  17. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  18. P-type poly-Si prepared by low-temperature aluminum-induced crystallization and doping for solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Matsumoto, Yasuhiro; Yu, Zhenrui; Morales-Acevedo, Arturo [CINVESTAV-IPN, Mexico, D.F. (Mexico)

    2000-07-01

    P-type poly-Si thin films prepared by low temperature aluminum-induced crystallization and doping are reported. The starting material was boron-doped a-Si:H prepared by PECVD on glass substrates. Aluminum layers with different thickness were evaporated on a-Si:H surface and conventional thermal annealing was performed at temperatures ranging from 300 to 550 Celsius degrees. XRD, SIMS, and Hall effect measurements were carried out to characterize the annealed Al could be crystallized at temperature as low as 300 Celsius degrees in 60 minutes. This material has high carrier concentration as well as high Hall mobility and can be used as a p-layer of seed layer for thin film poly-Si solar cells. The technique reported here is compatible with PECVD process. [Spanish] Se informa sobre la preparacion de peliculas delgadas tipo P y Poli-Si mediante la cristalizacion inducida de aluminio a baja temperatura y el dopado. El material inicial era de boro dopado y a-Si:H preparado PECVD sobre substratos de vidrio. Se evaporaron capas de aluminio de diferente espesor sobre una superficie de a-Si:H y se llevo a cabo un destemplado termico convencional a temperaturas que varian entre 300 y 500 grados Celsius. Se llevaron a cabo mediciones de XRB, SIMS y del efecto Hall para caracterizar el aluminio destemplado para que pudiera ser cristalizado a temperaturas tan bajas como 300 grados Celsius en 60 minutos. Este material tiene una alta concentracion portadora asi como una alta movilidad Hall y puede usarse como una capa de semilla para celdas solares de pelicula delgada Poli-Si. La tecnica reportada aqui es compatible con el proceso PECVD.

  19. 3C-SiC nanocrystal growth on 10° miscut Si(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Deokar, Geetanjali, E-mail: gitudeo@gmail.com [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); D' Angelo, Marie; Demaille, Dominique [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Cavellin, Catherine Deville [INSP, UPMC, CNRS UMR 7588, 4 place Jussieu, Paris F-75005 (France); Faculté des Sciences et Technologie UPEC, 61 av. De Gaulle, Créteil F-94010 (France)

    2014-04-01

    The growth of 3C-SiC nano-crystal (NC) on 10° miscut Si(001) substrate by CO{sub 2} thermal treatment is investigated by scanning and high resolution transmission electron microscopies. The vicinal Si(001) surface was thermally oxidized prior to the annealing at 1100 °C under CO{sub 2} atmosphere. The influence of the atomic steps at the vicinal SiO{sub 2}/Si interface on the SiC NC growth is studied by comparison with the results obtained for fundamental Si(001) substrates in the same conditions. For Si miscut substrate, a substantial enhancement in the density of the SiC NCs and a tendency of preferential alignment of them along the atomic step edges is observed. The SiC/Si interface is abrupt, without any steps and epitaxial growth with full relaxation of 3C-SiC occurs by domain matching epitaxy. The CO{sub 2} pressure and annealing time effect on NC growth is analyzed. The as-prepared SiC NCs can be engineered further for potential application in optoelectronic devices and/or as a seed for homoepitaxial SiC or heteroepitaxial GaN film growth. - Highlights: • Synthesis of 3C-SiC nanocrystals epitaxied on miscut-Si using a simple technique • Evidence of domain matching epitaxy at the SiC/Si interface • SiC growth proceeds along the (001) plane of host Si. • Substantial enhancement of the SiC nanocrystal density due to the miscut • Effect of the process parameters (CO{sub 2} pressure and annealing duration)

  20. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  2. Photonic metasurface made of array of lens-like SiGe Mie resonators formed on (100) Si substrate via dewetting

    Science.gov (United States)

    Poborchii, Vladimir; Shklyaev, Alexander; Bolotov, Leonid; Uchida, Noriyuki; Tada, Tetsuya; Utegulov, Zhandos N.

    2017-12-01

    Metasurfaces consisting of arrays of high-index Mie resonators concentrating/redirecting light are important for integrated optics, photodetectors, and solar cells. Herein, we report the optical properties of low-Ge-content SiGe lens-like Mie resonator island arrays fabricated via dewetting during Ge deposition on a Si(100) surface at approximately 900 °C. We observe enhancement of the Si interaction with light owing to the efficient island-induced light concentration in the submicron-depth Si layer, which is mediated by both near-field Mie resonance leaking into the substrate and far-field light focusing. Such metasurfaces can improve the Si photodetector and solar-cell performance.

  3. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  4. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    International Nuclear Information System (INIS)

    Zhao, W.; Steidl, M.; Paszuk, A.; Brückner, S.; Dobrich, A.; Supplie, O.; Kleinschmidt, P.; Hannappel, T.

    2017-01-01

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H_2. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H_2-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H_2 ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  5. Study on the preparation of the SiCp/Al-20Si-3Cu functionally graded material using spray deposition

    International Nuclear Information System (INIS)

    Su, B.; Yan, H.G.; Chen, G.; Shi, J.L.; Chen, J.H.; Zeng, P.L.

    2010-01-01

    Research highlights: → The SiCp/Al-20Si-3Cu functionally gradient material (FGM) was successfully prepared via the spray deposition technique. → The SiCp/Al-20Si-3Cu functionally gradient material (FGM) was successfully prepared via the spray deposition technique. → In the experimental setup, the novel devices play an important role in adjusting the output of SiCp to prepare the FGM. → The experiment results reveal that the SiCp weight fraction of the as-deposited preform from the top to the bottom ranges almost continuously from 0% to 30%. → The fraction of SiC particles has no obvious influence on the phase constitutions of the SiCp/Al-20Si-3Cu FGM. - Abstract: The SiCp/Al-20Si-3Cu functionally gradient material (FGMs) was successfully prepared via the spray deposition technique accompanied with an automatic control system. The results reveal that the SiCp weight fraction of the as-deposited preform from the top to the bottom ranges almost continuously from 0% to 30%. The part with the higher SiCp weight fraction exhibits a relatively smaller density than that with the lower SiCp weight fraction. However, the microhardness and the porosity increase with the increasing SiCp weight fraction in the as-deposited preform. The X-ray diffraction results exhibit that the secondary phases in the regions with the different amount of SiC particles are the same such as Al 2 Cu and AlCuMg. The spray deposition technology is promising to produce a wide range of other FGMs.

  6. Nano-/micro metallic wire synthesis on Si substrate and their characterization

    International Nuclear Information System (INIS)

    Kaur, Jaskiran; Kaur, Harmanmeet; Singh, Surinder; Kanjilal, Dinakar; Chakarvarti, Shiv Kumar

    2014-01-01

    Nano-/micro wires of copper are grown on semiconducting Si substrate using the template method. It involves the irradiation of 8 um thick polymeric layer coated on Si with150 MeV Ni ion beam at a fluence of 2E8. Later, by using the simple technique of electrodeposition, copper nano-/micro wires were grown via template synthesis. Synthesized wires were morphologically characterized using SEM and electrical characterization was carried out by finding I-V plot

  7. Enhanced lateral heat dissipation packaging structure for GaN HEMTs on Si substrate

    International Nuclear Information System (INIS)

    Cheng, Stone; Chou, Po-Chien; Chieng, Wei-Hua; Chang, E.Y.

    2013-01-01

    This work presents a technology for packaging AlGaN/GaN high electron mobility transistors (HEMTs) on a Si substrate. The GaN HEMTs are attached to a V-groove copper base and mounted on a TO-3P leadframe. The various thermal paths from the GaN gate junction to the case are carried out for heat dissipation by spreading to protective coating; transferring through the bond wires; spreading in the lateral device structure through the adhesive layer, and vertical heat spreading of silicon chip bottom. Thermal characterization showed a thermal resistance of 13.72 °C/W from the device to the TO-3P package. Experimental tests of a 30 mm gate-periphery single chip packaged in a 5 × 3 mm V-groove Cu base with a 100 V drain bias showed power dissipation of 22 W. -- Highlights: ► An enhanced packaging structure designed for AlGaN/GaN HEMTs on an Si substrate. ► The V-groove copper base is designed on the device periphery surface heat conduction for enhancing Si substrate thermal dissipation. ► The proposed device shows a lower thermal resistance and upgrade in thermal conductivity capability. ► This work provides useful thermal IR imagery information to aid in designing high efficiency package for GaN HEMTs on Si

  8. Biomorphous SiC ceramics prepared from cork oak as precursor

    Science.gov (United States)

    Yukhymchuk, V. O.; Kiselov, V. S.; Valakh, M. Ya.; Tryus, M. P.; Skoryk, M. A.; Rozhin, A. G.; Kulinich, S. A.; Belyaev, A. E.

    2016-04-01

    Porous ceramic materials of SiC were synthesized from carbon matrices obtained via pyrolysis of natural cork as precursor. We propose a method for the fabrication of complex-shaped porous ceramic hardware consisting of separate parts prepared from natural cork. It is demonstrated that the thickness of the carbon-matrix walls can be increased through their impregnation with Bakelite phenolic glue solution followed by pyrolysis. This decreases the material's porosity and can be used as a way to modify its mechanical and thermal characteristics. Both the carbon matrices (resulted from the pyrolysis step) and the resultant SiC ceramics are shown to be pseudomorphous to the structure of initial cork. Depending on the synthesis temperature, 3C-SiC, 6H-SiC, or a mixture of these polytypes, could be obtained. By varying the mass ratio of initial carbon and silicon components, stoichiometric SiC or SiC:C:Si, SiC:C, and SiC:Si ceramics could be produced. The structure, as well as chemical and phase composition of the prepared materials were studied by means of Raman spectroscopy and scanning electron microscopy.

  9. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  10. Optimizing The Organic/Inorganic Barrier Structure For Flexible Plastic Substrate Encapsulation

    Directory of Open Access Journals (Sweden)

    Yi-Chiuan Lin

    2012-07-01

    Full Text Available A multilayered barrier structure stacked with organosilicon and silicon oxide (SiOx films consecutively prepared using plasma-enhanced chemical vapor deposition (PECVD was developed to encapsulate flexible plastic substrate. The evolution on the residual internal stress, structural quality of the organosilicon/SiOx multilayered structure as well as its adhesion to the substrate were found to correlate closely with the thickness of the inset organosilicon layer. Due to the significant discrepancy in the thermal expansion coefficient between the substrate and SiOx film, the thickness of the organosilicon layer deposited onto the substrate and SiOx film thus was crucial to optimize the barrier property of the organosilicon/SiOx structure. The organosilicon/SiOx barrier structure possessed a lowest residual compressive stress and quality adhesion to the substrate was achieved from engineering the organosilicon layer thickness in the multilayered structure. The relaxation of the residual internal stress in the barrier structure led to a dense SiOx film as a consequence of the enhancement in the Si-O-Si networks and thereby resulted in the reduction of the water vapor permeation. Accordingly, a water vapor transmission rate (WVTR below 1 × 10-2 g/m2 /day being potential for the application on the flexible optoelectronic device packaging was achievable from the 3-pairs organosilicon/SiOx multilayered structure deposited onto the polyethylene terephthalate (PET substrate.

  11. Synthesis and characterization of erbium-doped SiO{sub 2}-TiO{sub 2} thin films prepared by sol-gel and dip-coating techniques onto commercial glass substrates as a route for obtaining active GRadient-INdex materials

    Energy Technology Data Exchange (ETDEWEB)

    Gómez-Varela, Ana I. [Microoptics and GRIN Optics Group, Department of Applied Physics, Faculty of Optics and Optometry and Faculty of Physics, Universidade de Santiago de Compostela, Campus Vida s/n, Santiago de Compostela E-15782 (Spain); Castro, Yolanda, E-mail: castro@icv.csic.es [Instituto de Cerámica y Vidrio (CSIC), Kelsen 5, Campus de Cantoblanco, Madrid 28049 (Spain); Durán, Alicia [Instituto de Cerámica y Vidrio (CSIC), Kelsen 5, Campus de Cantoblanco, Madrid 28049 (Spain); De Beule, Pieter A.A. [Applied Nano-Optics Laboratory, International Iberian Nanotechnology Laboratory, Braga 4715-330 (Portugal); Flores-Arias, María T. [Microoptics and GRIN Optics Group, Department of Applied Physics, Faculty of Optics and Optometry and Faculty of Physics, Universidade de Santiago de Compostela, Campus Vida s/n, Santiago de Compostela E-15782 (Spain); Bao-Varela, Carmen, E-mail: carmen.bao@usc.es [Microoptics and GRIN Optics Group, Department of Applied Physics, Faculty of Optics and Optometry and Faculty of Physics, Universidade de Santiago de Compostela, Campus Vida s/n, Santiago de Compostela E-15782 (Spain)

    2015-05-29

    In this work, SiO{sub 2}-TiO{sub 2} films doped with erbium were prepared by dip-coating sol-gel process onto commercial glass substrates. The surface morphology of the films was characterized using atomic force microscopy, while thickness, refractive index, extinction coefficient and porosity of the films were determined by ellipsometric measurements in a wavelength region of 400-1000 nm. Optical constants and porosity were found to vary with erbium concentration. The proof of principle presented in this paper is applicable to systems of different nature by tailoring the sol-gel precursors in such a way that active GRadient-INdex media described by a complex, parabolic-like refractive index distribution for beam shaping purposes is obtained. - Highlights: • Sol-gel route for preparation of active GRadient-INdex materials is proposed. • SiO{sub 2}-TiO{sub 2} films doped with erbium were prepared by dipping onto commercial glasses. • Morphological and optical characterization of the samples was performed. • Optical constants and porosity were found to vary with erbium concentration. • Refractive index diminishes with dopant content; the contrary occurs for porosity.

  12. Effect of nano Cu coating on porous Si prepared by acid etching Al-Si alloy powder

    International Nuclear Information System (INIS)

    Li, Chunli; Zhang, Ping; Jiang, Zhiyu

    2015-01-01

    As a promising anode material for lithium ion battery, nano-Cu coated porous Si powder was fabricated through two stages: first, preparation of porous nano Si fibers by acid-etching Al-Si alloy powder; second, modified by nano-Cu particles using an electroless plating method. The nano-Cu particles on the surface of nano-Si fibers, not only increase the conductivity of material, but also inhibit the fuse process between nano Si fibers during charge/discharge cycling process, resulting in increased cycling stability of the material. In 1 M LiPF 6 /EC: DMC (1:1) + 1.5 wt% VC solution at current density of 200 mA g −1 , the 150th discharge capacity of nano-Cu coated porous Si electrode was 1651 mAh g −1 with coulombic efficiency of 99%. As anode material for lithium ion battery, nano-Cu coated porous Si nano fiber material is easier to prepare, costs less, and produces higher performance, representing a promising approach for high energy lithium ion battery application

  13. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  14. Temperature dependent IDS–VGS characteristics of an N-channel Si tunneling field-effect transistor with a germanium source on Si(110) substrate

    International Nuclear Information System (INIS)

    Liu Yan; Yan Jing; Wang Hongjuan; Han Genquan

    2014-01-01

    We fabricated n-type Si-based TFETs with a Ge source on Si(110) substrate. The temperature dependent I DS –V GS characteristics of a TFET formed on Si(110) are investigated in the temperature range of 210 to 300 K. A study of the temperature dependence of I Leakage indicates that I Leakage is mainly dominated by the Shockley-Read-Hall (SRH) generation—recombination current of the n + drain—Si substrate junction. I ON increases monotonically with temperature, which is attributed to a reduction of the bandgap at the tunneling junction and an enhancement of band-to-band tunneling rate. The subthreshold swing S for trap assisted tunneling (TAT) current and band-to-band tunneling (BTBT) current shows the different temperature dependence. The subthreshold swing S for the TAT current degrades with temperature, while the S for BTBT current is temperature independent. (semiconductor devices)

  15. Preparation and characterization of the electrodeposited Cr-Al{sub 2}O{sub 3}/SiC composite coating

    Energy Technology Data Exchange (ETDEWEB)

    Gao Jifeng, E-mail: readlot@tom.com [State Key Laboratory of Mould Technology, Institute of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Suo Jinping, E-mail: jpsuo@yahoo.com.cn [State Key Laboratory of Mould Technology, Institute of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2011-09-01

    To increase the SiC content in Cr-based coatings, Cr-Al{sub 2}O{sub 3}/SiC composite coatings were plated in Cr(VI) baths which contained Al{sub 2}O{sub 3}-coated SiC powders. The Al{sub 2}O{sub 3}-coated SiC composite particles were synthesized by calcining the precursor prepared by heterogeneous deposition method. The transmission electron microscopy analysis of the particles showed that the nano-SiC particle was packaged by alumina. The zeta potential of the particles collected from the bath was up to +23 mV, a favorable condition for the co-deposition of the particles and chromium. Pulse current was used during the electrodeposition. Scanning Electron Microscopy (SEM) indicated that the coating was compact and combined well with the substrate. Energy dispersive X-ray analysis of Cr-Al{sub 2}O{sub 3}/SiC coatings demonstrated that the concentration of SiC in the coating reached about 2.5 wt.%. The corrosion behavior of the composite coating was studied by potentiodynamic polarization and electrochemical impedance spectroscopy techniques. The data obtained suggested that the Al{sub 2}O{sub 3}/SiC particles significantly enhanced the corrosion resistance of the composite coating in 0.05 M HCl solution.

  16. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  17. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  18. Investigation of nanocrystalline Epi-Si/γ-Al2O3 heterostructure deposited on Si substrate by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Khatun, Mosammat Halima; Shahjahan, Mohammad; Ito, Ryoki; Sawada, Kazuaki; Ishida, Makoto

    2006-01-01

    In this work, micro-structural and interfacial studies of the epi-Si/γ-Al 2 O 3 heterostructure were undertaken by spectroscopic ellipsometry, and compared with the results of atomic force microscopy and X-ray photoelectron spectroscopy. The experimental ellipsometric data were fitted with the theoretical calculations using effective medium approximation for each layer of the structure. It was observed that the epitaxial silicon layer consists of a fraction of amorphous Si and crystalline Si. The percentage of amorphous silicon increases with the decrease of deposition temperature and with the increase of the deposition rate. The γ-Al 2 O 3 layer produces a hydrostatic pressure on the Si substrate and the amount of hydrostatic pressure was measured to be 8 x 10 9 dyn/cm 2

  19. Magnetic properties and crystal texture of Co alloy thin films prepared on double bias Cr

    Science.gov (United States)

    Deng, Y.; Lambeth, D. N.; Lee, L.-L.; Laughlin, D. E.

    1993-05-01

    A double layer Cr film structure has been prepared by sputter depositing Cr on single crystal Si substrates first without substrate bias and then with various substrate bias voltages. Without substrate bias, Cr{200} texture grows on Si at room temperature; thus the first Cr layer acts like a seed Cr layer with the {200} texture, and the second Cr layer, prepared with substrate bias, tends to replicate the {200} texture epitaxially. CoCrTa and CoNiCr films prepared on these double Cr underlayers, therefore, tend to have a {112¯0} texture with their c-axes oriented in the plane of the film. At the same time, the bias sputtering of the second Cr layer increases the coercivity of the subsequently deposited magnetic films significantly. Comparison studies of δM curves show that the use of the double Cr underlayers reduces the intergranular exchange interactions. The films prepared on the Si substrates have been compared with the films prepared on canasite and glass substrates. It has also been found that the magnetic properties are similar for films on canasite and on glass.

  20. Gas-source molecular beam epitaxy of Si(111) on Si(110) substrates by insertion of 3C-SiC(111) interlayer for hybrid orientation technology

    Energy Technology Data Exchange (ETDEWEB)

    Bantaculo, Rolando, E-mail: rolandobantaculo@yahoo.com; Saitoh, Eiji; Miyamoto, Yu; Handa, Hiroyuki; Suemitsu, Maki

    2011-11-01

    A method to realize a novel hybrid orientations of Si surfaces, Si(111) on Si(110), has been developed by use of a Si(111)/3C-SiC(111)/Si(110) trilayer structure. This technology allows us to use the Si(111) portion for the n-type and the Si(110) portion for the p-type channels, providing a solution to the current drive imbalance between the two channels confronted in Si(100)-based complementary metal oxide semiconductor (CMOS) technology. The central idea is to use a rotated heteroepitaxy of 3C-SiC(111) on Si(110) substrate, which occurs when a 3C-SiC film is grown under certain growth conditions. Monomethylsilane (SiH{sub 3}-CH{sub 3}) gas-source molecular beam epitaxy (GSMBE) is used for this 3C-SiC interlayer formation while disilane (Si{sub 2}H{sub 6}) is used for the top Si(111) layer formation. Though the film quality of the Si epilayer leaves a lot of room for betterment, the present results may suffice to prove its potential as a new technology to be used in the next generation CMOS devices.

  1. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, W.; Steidl, M.; Paszuk, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Brückner, S. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Dobrich, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Supplie, O. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Kleinschmidt, P. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Hannappel, T., E-mail: thomas.hannappel@tu-ilmenau.de [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany)

    2017-01-15

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H{sub 2}. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H{sub 2}-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H{sub 2} ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  2. Periodically structured Si pillars for high-performing heterojunction photodetectors

    Science.gov (United States)

    Melvin David Kumar, M.; Yun, Ju-Hyung; Kim, Joondong

    2015-03-01

    A periodical array of silicon (Si) micro pillar structures was fabricated on Si substrates using PR etching process. Indium tin oxide (ITO) layer of 80 nm thickness was deposited over patterned Si substrates so as to make ITO/n-Si heterojunction devices. The influences of width and period of pillars on the optical and electrical properties of prepared devices were investigated. The surface morphology of the Si substrates revealed the uniform array of pillar structures. The 5/10 (width/period) Si pillar pattern reduced the optical reflectance to 6.5% from 17% which is of 5/7 pillar pattern. The current rectifying ratio was found higher for the device in which the pillars are situated in optimum periods. At both visible (600 nm) and near infrared (900 nm) range of wavelengths, the 5/7 and 5/10 pillar patterned device exhibited the better photoresponses which are suitable for making advanced photodetectors. This highly transmittance and photoresponsive pillar patterned Si substrates with an ITO layer would be a promising device for various photoelectric applications.

  3. Improving off-state leakage characteristics for high voltage AlGaN/GaN-HFETs on Si substrates

    Science.gov (United States)

    Moon, Sung-Woon; Twynam, John; Lee, Jongsub; Seo, Deokwon; Jung, Sungdal; Choi, Hong Goo; Shim, Heejae; Yim, Jeong Soon; Roh, Sungwon D.

    2014-06-01

    We present a reliable process and design technique for realizing high voltage AlGaN/GaN hetero-junction field effect transistors (HFETs) on Si substrates with very low and stable off-state leakage current characteristics. In this work, we have investigated the effects of the surface passivation layer, prepared by low pressure chemical vapor deposition (LPCVD) of silicon nitride (SiNx), and gate bus isolation design on the off-state leakage characteristics of metal-oxide-semiconductor (MOS) gate structure-based GaN HFETs. The surface passivated devices with gate bus isolation fully surrounding the source and drain regions showed extremely low off-state leakage currents of less than 20 nA/mm at 600 V, with very small variation. These techniques were successfully applied to high-current devices with 80-mm gate width, yielding excellent off-state leakage characteristics within a drain voltage range 0-700 V.

  4. Metal Nanoparticles Deposited on Porous Silicon Templates as Novel Substrates for SERS

    Directory of Open Access Journals (Sweden)

    Lara Mikac

    2015-12-01

    Full Text Available In this paper, results on preparation of stable and uniform SERS solid substrates using macroporous silicon (pSi with deposited silver and gold are presented. Macroporous silicon is produced by anodisation of p-type silicon in hydrofluoric acid. The as prepared pSi is then used as a template for Ag and Au depositions. The noble metals were deposited in three different ways: by immersion in silver nitrate solution, by drop-casting silver colloidal solution and by pulsed laser ablation (PLA. Substrates obtained by different deposition processes were evaluated for SERS efficiency using methylene blue (MB and rhodamine 6G (R6G at 514.5, 633 and 785 nm. Using 514.5 nm excitation and R6G the limits of detection (LOD for macroporous Si samples with noble metal nanostructures obtained by immersion of pSi sample in silver nitrate solution and by applying silver colloidal solution to pSi template were 10–9 M and 10–8 M respectively. Using 633 nm laser and MB the most noticeable SERS activity gave pSi samples ablated with 30000 and 45000 laser pulses where the LODs of 10–10 M were obtained. The detection limit of 10–10 M was also reached for 4 mA cm–2-15 min pSi sample, silver ablated with 30000 pulses. Macroporous silicon proved to be a good base for the preparation of SERS substrates.

  5. Electrical characteristics of SiGe-base bipolar transistors on thin-film SOI substrates

    International Nuclear Information System (INIS)

    Liao, Shu-Hui; Chang, Shu-Tong

    2010-01-01

    This paper, based on two-dimensional simulations, provides a comprehensive analysis of the electrical characteristics of the Silicon germanium (SiGe)-base bipolar transistors on thin-film siliconon-insulator (SOI) substrates. The impact of the buried oxide thickness (T OX ), the emitter width (W E ), and the lateral distance between the edge of the intrinsic base and the reach-through region (L col ) on both the AC and DC device characteristics was analyzed in detail. Regarding the DC characteristics, the simulation results suggest that a thicker T OX gives a larger base-collector breakdown voltage (BV CEO ), whereas reducing the T OX leads to an enhanced maximum electric field at the B-C junction. As for the AC characteristics, cut-off frequency (f T ) increases slightly with increasing buried oxide thickness and finally saturates to a constant value when the buried oxide thickness is about 0.15 μm. The collector-substrate capacitance (C CS ) decreases with increasing buried oxide thickness while the maximum oscillation frequency (f max ) increases with increasing buried oxide thickness. Furthermore, the impact of self-heating effects in the device was analyzed in various areas. The thermal resistance as a function of the buried oxide thickness indicates that the thermal resistance of the SiGe-base bipolar transistor on a SOI substrate is slightly higher than that of a bulk SiGe-base bipolar transistor. The thermal resistance is reduced by ∼37.89% when the emitter width is increased by a factor of 5 for a fixed buried oxide thickness of 0.1 μm. All the results can be used to design and optimize SiGe-base bipolar transistors on SOI substrates with minimum thermal resistance to enhance device performance.

  6. Fabrication of Si-based planar type patch clamp biosensor using silicon on insulator substrate

    International Nuclear Information System (INIS)

    Zhang, Z.L.; Asano, T.; Uno, H.; Tero, R.; Suzui, M.; Nakao, S.; Kaito, T.; Shibasaki, K.; Tominaga, M.; Utsumi, Y.; Gao, Y.L.; Urisu, T.

    2008-01-01

    The aim of this paper is to fabricate the planar type patch clamp ion-channel biosensor, which is suitable for the high throughput screening, using silicon-on-insulator (SOI) substrate. The micropore with 1.2 μm diameter is formed through the top Si layer and the SiO 2 box layer of the SOI substrate by focused ion beam (FIB). Then the substrate is assembled into the microfluidic circuit. The human embryonic kidney 293 (HEK-293) cell transfected with transient receptor potential vanilloid type 1 (TRPV1) is positioned on the micropore and the whole-cell configuration is formed by the suction. Capsaicin is added to the extracellular solution as a ligand molecule, and the channel current showing the desensitization unique to TRPV1 is measured successfully

  7. Fabrication of Si-based planar type patch clamp biosensor using silicon on insulator substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Z.L.; Asano, T. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Uno, H. [Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Tero, R. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Suzui, M.; Nakao, S. [Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Kaito, T. [SII NanoTechnology Inc., 36-1, Takenoshita, Oyama-cho, Sunto-gun, Shizuoka, 410-1393 (Japan); Shibasaki, K.; Tominaga, M. [Okazaki Institute for Integrative Bioscience, 5-1, Higashiyama, Myodaiji, Okazaki, 444-8787 (Japan); Utsumi, Y. [Laboratory of Advanced Science and Technology for Industry, University of Hyogo, 3-1-2, Koto, Kamigori, Ako-gun, Hyogo, 678-1205 (Japan); Gao, Y.L. [Department of Physics and Astronomy, Rochester University, Rochester, New York 14627 (United States); Urisu, T. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan)], E-mail: urisu@ims.ac.jp

    2008-03-03

    The aim of this paper is to fabricate the planar type patch clamp ion-channel biosensor, which is suitable for the high throughput screening, using silicon-on-insulator (SOI) substrate. The micropore with 1.2 {mu}m diameter is formed through the top Si layer and the SiO{sub 2} box layer of the SOI substrate by focused ion beam (FIB). Then the substrate is assembled into the microfluidic circuit. The human embryonic kidney 293 (HEK-293) cell transfected with transient receptor potential vanilloid type 1 (TRPV1) is positioned on the micropore and the whole-cell configuration is formed by the suction. Capsaicin is added to the extracellular solution as a ligand molecule, and the channel current showing the desensitization unique to TRPV1 is measured successfully.

  8. Epitaxial growth and properties of AlGaN-based UV-LEDs on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Saengkaew, Phannee

    2010-07-08

    An increasing demand for bright and efficient ultraviolet light emitting diodes (UVLEDs) is generated by numerous applications such as biochemical sensors, purification and sterilization, and solid-state white lighting. Al{sub x}Ga{sub 1-x}N is a promising material to develop UVLEDs due to the direct wide-bandgap material for emission wavelengths in the UV range and the capability of n- and p-type doping. To develop UV-LEDs on Si substrates is very interesting for low-cost UV-light sources since the Si substrate is available at low cost, in large-diameter size enabling the integration with well-known Si electronics. This work presents the first crack-free AlGaN-based UV-LEDs on Si(111) substrates by MOVPE growth. This AlGaN-based UV-LED on Si(111) substrate consists of Al{sub 0.1}Ga{sub 0.9}N:Si layers on LT-AlN/HT-AlN SL buffer layers and an active layer of GaN/Al{sub 0.1}Ga{sub 0.9}N MQWs followed by Mg-doped (GaN/Al{sub 0.1}Ga{sub 0.9}N) superlattices and GaN:Mg cap layers. It yields a {proportional_to}350 nm UV electroluminescence at room temperature and a turn-on voltage in a range of 2.6-3.1 V by current-voltage (I-V) measurements. The novel LT-AlN/HT-AlN superlattice buffer layers efficiently improve the crystalline quality of Al{sub x}Ga{sub 1-x}N layers and compensate a thermal tensile strain in Al{sub x}Ga{sub 1-x}N layers after cooling as observed by in-situ curvature measurements. The dislocation density could be reduced from 8.4 x 10{sup 10} cm{sup -2} in the AlN-based SLs to 1.8 x 10{sup 10} cm{sup -2} in the Al{sub 0.1}Ga{sub 0.9}N layers as determined by cross-sectional transmission electron microscopy (TEM) measurements. Crack-free Al{sub x}Ga{sub 1-x}N layers grown on these LT-AlN/HT-AlN superlattices with 0.05{<=}x{<=} 0.65 are achieved on Si substrates with good crystalline, optical, and electrical properties. The best crystalline quality of Al{sub 0.1}Ga{sub 0.9}N is obtained with {omega}-FWHMs of the (0002) and (10-10) reflections of

  9. Preparation of Ag@mSiO{sub 2} and Pt@mSiO{sub 2}nano composites using trioctylmethyl ammonium hydrogen phthalate (TOMAHP) ionic liquid as reaction medium

    Energy Technology Data Exchange (ETDEWEB)

    Biswas, Sujoy, E-mail: sujoyb@barc.gov.in [Chemical Engineering Group, Bhabha Atomic Research Centre, Mumbai 400085 (India); Dasgupta, Kinshuk [Materials Group, Bhabha Atomic Research Centre, Mumbai 400085 (India); Bahadur, Jitendra [Solid State Physics Division, Bhabha Atomic Research Centre, Mumbai 400085 (India); Tewari, Raghavendra [Materials Group, Bhabha Atomic Research Centre, Mumbai 400085 (India); Mazumder, Subhasish [Solid State Physics Division, Bhabha Atomic Research Centre, Mumbai 400085 (India)

    2016-09-15

    A novel one step green chemistry approach utilizing trioctylmethyl ammonium hydrogen phthalate (TOMAHP), task specific ionic liquid has been attempted for synthesis of Ag and Pt nanoparticles supported on silica (Ag@mSiO{sub 2} and Pt@mSiO{sub 2}). Structure, size distribution and morphology of these nano-composite particles were evaluated using X-ray diffraction (XRD), transmission electron microscopy (TEM), small angle neutron scattering (SANS) as well as small angle X-ray scattering (SAXS) techniques. The XRD results show that Ag/Pt metal nanoparticles deposited on to SiO{sub 2} surface are face center cubic (fcc) in nature. The TEM and SAXS/SANS results show the morphology and size distributions of Ag and Pt nanoparticles loaded on to the surface of SiO{sub 2}. It has been found that Ag nanoparticles are well dispersed on to the SiO{sub 2} surface and are quite monodisperse in size, whereas Pt nanoparticles are quite polydisperse in size and forms aggregate or chain like structure on SiO{sub 2} surface containing primary nanoparticles of typical size range 3–7 nm. The stability of nanoparticles, which controls its dispersion on SiO{sub 2} substrate, has been discussed. - Graphical abstract: Mechanism for Ag@mSiO{sub 2} and Pt@mSiO{sub 2} nano composites in TOMAHP ionic liquid medium. - Highlights: • Novel methods for preparation of Pt@SiO{sub 2} and Ag@SiO{sub 2} nano composite in functionalized ionic liquid. • Pt@SiO{sub 2} and Ag@SiO{sub 2} nano composite are characterized using XRD, TEM as well as small angle x-ray scattering techniques. • The sizes of nano composite is <10 nm in size. • The method is simple one step, green chemical reduction method to prepare SiO{sub 2} support nano catalyst.

  10. Growth Al{sub x}Ga{sub 1−x}N films on Si substrates by magnetron sputtering and high ammoniated two-step method

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xuewen, E-mail: wangxuew@nwu.edu.cn [School of Information Science and Technology, Northwest University, Xi' an 710127 (China); Su, Xingxing; Hu, Feng; He, Lin; He, Lewan; Zhang, Zhiyong; Zhao, Wu [School of Information Science and Technology, Northwest University, Xi' an 710127 (China); Wang, Kai-Ge; Wang, Shuang [Institute of Photonics & Photo-Technology, International Joint Research Centre of Photoelectric Technology & Nano-functional Materials and Application, Northwest University, Xi' an 710069 (China)

    2016-05-15

    In this paper, Al{sub x}Ga{sub 1−x}N films on Si substrates were synthesized with adjusting process parameters by magnetron sputtering and high ammoniated two-step method innovatively, while gallium oxide was used as gallium target, and aluminum was used as aluminum target, ammonia gas and nitrogen were used as nitrogen source. The influence of process parameters on the quality of Al{sub x}Ga{sub 1−x}N films was researched with X-ray diffraction (XRD), scanning electron microscope (SEM), and Energy Diffraction Spectrum (EDS) for the prepared samples. The results showed that Al{sub x}Ga{sub 1−x}N film can be grown on the Si substrate by magnetron sputtering and high ammoniated two-step method, and substrate temperature, sputtering power, nitrogen concentration also have a great impact on the quality of Al{sub x}Ga{sub 1−x}N film. The sample was developed along (002) peak preferred with high orientation at 200 °C. High-quality film could be grown when the x is 0.32 in Al{sub x}Ga{sub 1−x}N films grown in 300 °C substrate temperature, 150 W sputtering power and 50% nitrogen concentration conditions, which is used for gas sensitive sensor. And compared stress by the measurement of Raman with an excitation wavelength λ = 532 nm. The samples were tested by photoluminescence (PL), which indicated two light-emitting peaks at 405 nm and 645 nm when the excitation wavelength is 325 nm. The measure in Hall Effect Measurement System showed that the carrier concentration and mobility were changed with different Al components. - Highlights: • Grow Al{sub 0.32}Ga{sub 0.68}N films on Si by RF sputtering and high ammoniated two-step method. • The sample was developed along (002) peak preferred with high orientation at 200 °C. • The growth technics of the film was employed for the preparation of gas sensors. • Carrier concentration and mobility were changed with different Al components.

  11. Selective growth of vertically aligned Fe-filled carbon nanotubes on oxidized silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Moench, I; Kozhuharova-Koseva, R; Ruemmeli, M; Elefant, D; Gemming, T; Kaltofen, R; Leonhardt, A; Schaefer, T; Buechner, B [Leibniz Institute of Solid State and Materials Research Dresden (IFW Dresden), Helmholtzstr. 20, D-01069 Dresden (Germany)

    2007-04-15

    Vertically aligned Fe-filled multi-wall carbon nanotubes (MWNTs) have been grown selectively on the SiO{sub 2} surfaces of patterned amorphous carbon (a-C)/SiO{sub 2}/Si substrates. Their morphology, structure and magnetic properties have been studied. The a-C patterns were prepared using conventional lithography processes combined with a sputter-deposition of a-C (thickness of 100 nm). The aligned Fe-filled MWNTs were produced by pyrolysis of ferrocene in a CVD reactor with a two zone furnace system and have high filling yield. The encapsulated Fe nanowires grown on the SiO{sub 2} structures of the patterned a-C/SiO{sub 2}/Si substrates have diameters of 10-20 nm and can reach a few micrometers in length. The described method enables the preparation of complex architectures of Fe-filled MWNTs and may be used for future applications based on filled nanotubes.

  12. Strong piezoelectricity in single-layer graphene deposited on SiO2 grating substrates.

    Science.gov (United States)

    da Cunha Rodrigues, Gonçalo; Zelenovskiy, Pavel; Romanyuk, Konstantin; Luchkin, Sergey; Kopelevich, Yakov; Kholkin, Andrei

    2015-06-25

    Electromechanical response of materials is a key property for various applications ranging from actuators to sophisticated nanoelectromechanical systems. Here electromechanical properties of the single-layer graphene transferred onto SiO2 calibration grating substrates is studied via piezoresponse force microscopy and confocal Raman spectroscopy. The correlation of mechanical strains in graphene layer with the substrate morphology is established via Raman mapping. Apparent vertical piezoresponse from the single-layer graphene supported by underlying SiO2 structure is observed by piezoresponse force microscopy. The calculated vertical piezocoefficient is about 1.4 nm V(-1), that is, much higher than that of the conventional piezoelectric materials such as lead zirconate titanate and comparable to that of relaxor single crystals. The observed piezoresponse and achieved strain in graphene are associated with the chemical interaction of graphene's carbon atoms with the oxygen from underlying SiO2. The results provide a basis for future applications of graphene layers for sensing, actuating and energy harvesting.

  13. In-situ GISAXS study on the oxidation behavior of liquid Ga on Ni(Cu)/Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Weidong [College of Materials Science and Engineering, Qiqihar University, Qiqihar 161006 (China); Liu, Mingling [Department of Mechanical and Electrical Engineering, Qinhuangdao Institute of Technology, Qinhuangdao 066100 (China); Wu, Zhaojun [Department of Practice Teaching and Equipment Management, Qiqihar University, Qiqihar 161006 (China); Xing, Xueqing; Mo, Guang; Wu, Zhonghua [Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100049 (China); Liu, Hong, E-mail: lhong68@sina.com.cn [School of Biomedical Engineering, Capital Medical University, Beijing 100069 (China)

    2015-11-01

    Liquid Ga could be used as a flexible heat-transfer medium or contact medium in the synchrotron-radiation-based instruments. The chemical stability of liquid Ga on other metal surface determines the serviceability of liquid Ga. In this paper, the oxidation evolutions of liquid Ga on Ni and Cu substrates have been investigated by in-situ grazing incidence small angle X-ray scattering (GISAXS) as a function of substrate temperature. The liquid Ga on Ni and Cu substrates shows different oxidation behaviors. A successive and slower oxidation from oxide clusters to oxide layer takes place with temperature increasing from 25 to 190 °C on the surface of the Ga/Ni/Si specimen, but a quick oxidation occurs on the entire surface of the Ga/Cu/Si specimen at the initial 25 °C. The subsequent heating increases the surface roughness of both liquid Ga, but increases simultaneously the surface curvature of the Ga/Cu/Si specimen. The understanding of the substrate-dependent oxidation behavior of liquid Ga is beneficial to its application as a heat-transfer medium.

  14. Substrate temperature effects on reactively sputtered Cr2O3/n-Si heterojunctions

    International Nuclear Information System (INIS)

    Ocak, Yusuf Selim; Genisel, Mustafa Fatih; Issa, Ali Ahmed; Tombak, Ahmet; Kilicoglu, Tahsin

    2016-01-01

    To see the effects of substrate temperature on Cr 2 O 3 /n-Si heterojunctions, Cr 2 O 3 thin films were formed on n-Si and glass substrates at 40, 150 and 250 °C by radio frequency (RF) reactive sputtering technique. High purity Cr was used as target and oxygen was used as reactive gas. Optical properties of Cr 2 O 3 /n-Si thin films were analyzed using UV-vis data. The band gaps of the films were compared. The electrical properties of Cr 2 O 3 /n-Si heterojunction were tested by their current voltage ( I-V ) measurements in dark. It was observed that the heterojunction which was fabricated by forming Cr 2 O 3 thin film at 250 °C gave better rectification. The characteristic electrical parameters such as barrier height, ideality factor and series resistance were calculated by using its I-V data. The influence of light intensity on photovoltaic effect behavior of the device was also calculated, finally the barrier height value of the structure obtained from capacitance-voltage ( C-V ) data were compared with the one calculated from I-V measurements. (paper)

  15. Effect of surface passivation by SiN/SiO2 of AlGaN/GaN high-electron mobility transistors on Si substrate by deep level transient spectroscopy method

    International Nuclear Information System (INIS)

    Gassoumi, Malek; Mosbahi, Hana; Zaidi, Mohamed Ali; Gaquiere, Christophe; Maaref, Hassen

    2013-01-01

    Device performance and defects in AlGaN/GaN high-electron mobility transistors have been correlated. The effect of SiN/SiO 2 passivation of the surface of AlGaN/GaN high-electron mobility transistors on Si substrates is reported on DC characteristics. Deep level transient spectroscopy (DLTS) measurements were performed on the device after the passivation by a (50/100 nm) SiN/SiO 2 film. The DLTS spectra from these measurements showed the existence of the same electron trap on the surface of the device

  16. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    Science.gov (United States)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  17. Fabrication of Si3N4 thin films on phynox alloy substrates for electronic applications

    Science.gov (United States)

    Shankernath, V.; Naidu, K. Lakshun; Krishna, M. Ghanashyam; Padmanabhan, K. A.

    2018-04-01

    Thin films of Si3N4 are deposited on Phynox alloy substrates using radio frequency magnetron sputtering. The thickness of the films was varied between 80-150 nm by increasing the duration of deposition from 1 to 3 h at a fixed power density and working pressure. X-ray diffraction patterns reveal that the Si3N4 films had crystallized inspite of the substrates not being heated during deposition. This was confirmed using selected area electron diffraction and high resolution transmission electron microscopy also. It is postulated that a low lattice misfit between Si3N4 and Phynox provides energetically favourable conditions for ambient temperature crystallization. The hardness of the films is of the order of 6 to 9 GPa.

  18. Effect of a SiO2 buffer layer on the characteristics of In2O3-ZnO-SnO2 films deposited on PET substrates

    International Nuclear Information System (INIS)

    Woo, B.-J.; Hong, J.-S.; Kim, S.-T.; Kim, H.-M.; Park, S.-H.; Kim, J.-J.; Ahn, J.-S.

    2006-01-01

    Transparent and conducting In 2 O 3 -ZnO-SnO 2 (IZTO) thin films were prepared on flexible PET substrates at room temperature by using an ion-gun-assisted sputtering technique. We mainly investigated the effect of a SiO 2 buffer layer, deposited in-between the film and the PET substrate, on the electrical stability of the film under various external stresses caused by moist-heat or violent temperature variations. The insertion of the SiO 2 layer improves structural, optical and electrical properties of the films: The IZTO/SiO 2 /PET film with a buffer shows a change (∼4 %) in the sheet resistance much smaller than that of the IZTO/PET film without a buffer (∼22 %), against a severe thermal stress of the repeated processes between quenching at -25 .deg. C and annealing at 100 .deg. C for 5 min at each process. Under a moist-heat stress at 90 % relative humidity at 80 .deg. C, the IZTO/SiO 2 /PET film responds with only a slight change (∼8.5 %) in the sheet resistance from 30.2 to 33.0 Ω/□ after being exposed for 240 h. The enhanced stability is understood to be the result of the buffer layers acting as a blocking barrier to water vapor or organic solvents diffusing from the PET substrate during deposition or annealing.

  19. Mg{sub 2}Sn heterostructures on Si(111) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Dózsa, L., E-mail: dozsa@mfa.kfki.hu [Institute of Technical Physics and Materials Science, Centre for Energy Research, Hungarian Academy of Sciences, 1525 Budapest Pf, 49 (Hungary); Galkin, N.G. [Institute of Automation and Control Processes of FEB RAS, 5 Radio St., Vladivostok 690041 (Russian Federation); Far Eastern Federal University, 8 Sukhanova St., Vladivostok 690950 (Russian Federation); Pécz, B.; Osváth, Z.; Zolnai, Zs. [Institute of Technical Physics and Materials Science, Centre for Energy Research, Hungarian Academy of Sciences, 1525 Budapest Pf, 49 (Hungary); Németh, A. [Wigner Research Centre for Physics, Institute for Particle and Nuclear Physics, 1525 Budapest, P.O.B. 49 (Hungary); Galkin, K.N.; Chernev, I.M. [Institute of Automation and Control Processes of FEB RAS, 5 Radio St., Vladivostok 690041 (Russian Federation); Dotsenko, S.A. [Institute of Automation and Control Processes of FEB RAS, 5 Radio St., Vladivostok 690041 (Russian Federation); Far Eastern Federal University, 8 Sukhanova St., Vladivostok 690950 (Russian Federation)

    2017-05-31

    Highlights: • Investigations show that the nanostructures have significant changes during the applied regular experimental investigations. • It is especially true for transmittance electron microscopy, where the investigated layers have to be thinned near to the nanostructure size. • The time order of the applied experimental investigation has a dominant effect on the experimetal results. - Abstract: Thin un-doped and Al doped polycrystalline Mg-stannide films consisting mainly of Mg{sub 2}Sn semiconductor phase have been grown by deposition of Sn-Mg multilayers on Si(111) p-type wafers at room temperature and annealing at 150 °C. Rutherford backscattering measurement spectroscopy (RBS) were used to determine the amount of Mg and Sn in the structures. Raman spectroscopy has shown the layers contain Mg{sub 2}Sn phase. Cross sectional transmission electron microscopy (XTEM) measurements have identified Mg{sub 2}Sn nanocrystallites in hexagonal and cubic phases without epitaxial orientation with respect to the Si(111) substrate. Significant oxygen concentration was found in the layer both by RBS and TEM. The electrical measurements have shown laterally homogeneous conductivity in the grown layer. The undoped Mg{sub 2}Sn layers show increasing resistivity with increasing temperature indicating the scattering process dominates the resistance of the layers, i.e. large concentration of point defects was generated in the layer during the growth process. The Al doped layer shows increase of the resistance at low temperature caused by freeze out of free carriers in the Al doped Mg{sub 2}Sn layer. The measurements indicate the necessity of protective layer grown over the Mg{sub 2}Sn layers, and a short time delay between sample preparation and cross sectionalTEM analysis, since the unprotected layer is degraded by the interaction with the ambient.

  20. Raman spectroscopy of graphene on different substrates and ...

    Indian Academy of Sciences (India)

    We show the evolution of Raman spectra with a number of graphene layers on different substrates, SiO2/Si and conducting indium tin oxide (ITO) plate. The mode peak position and the intensity ratio of and 2 bands depend on the preparation of sample for the same number of graphene layers. The 2 Raman band ...

  1. GaN thin films on SiC substrates studied using variable energy positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Hu, Y.F.; Shan, Y.Y.; Beling, C.D.; Fung, S.; Xie, M.H.; Cheung, S.H.; Tu, J.; Tong, D.S.Y.

    2001-01-01

    A variety of GaN epilayers, grown on 6H-SiC substrates using different growth conditions, have been studied using variable energy positron annihilation spectroscopy. In the S-E plots, a peak structure in the S-parameter is seen which is related to the GaN/substrate heterojunction. The position of the peak is found to be much closer to the sample surface than expected from simple mean implantation depth arguments. This anomaly is attributed to the fact that there is a rectifying potential step that prevents diffusing positrons in the GaN from entering the SiC substrate. This effect has been successfully mimicked by inserting an artificial electric field into the thin interfacial region in the VEPFIT analysis. (orig.)

  2. Preparation and Oxidation Resistance of Mo-Si-B Coating on Nb-Si Based Alloy Surface

    Directory of Open Access Journals (Sweden)

    PANG Jie

    2018-02-01

    Full Text Available Mo-Si-B coating was prepared on Nb-Si alloys to improve the high-temperature oxidation. The influence of the halide activators (NaF and AlF3 on Si-B co-depositing to obtain Mo-Si-B coating on Nb-Si alloys was analyzed by thermochemical calculations. The results show that NaF proves to be more suitable than AlF3 to co-deposit Si and B. Then Mo-Si-B can be coated on Nb-Si based alloys using detonation gun spraying of Mo followed by Si and B co-deposition. The fabricated coatings consist of outer MoSi2 layer with fine boride phase and inner unreacted Mo layer. The mass gain of the Mo-Si-B coating is 1.52mg/cm2 after oxidation at 1250℃ for 100h. The good oxidation resistance results in a protective borosilicate scale formed on the coating.

  3. Low Thermal Budget Fabrication of III-V Quantum Nanostructures on Si Substrates

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Sanguinetti, S; Koguchi, N; Isella, G; Chrastina, D; Fedorov, A

    2010-01-01

    We show the possibility to integrate high quality III-V quantum nanostructures tunable in shape and emission energy on Si-Ge Virtual Substrate. Strong photoemission is observed, also at room temperature, from two different kind of GaAs quantum nanostructures fabricated on Silicon substrate. Due to the low thermal budget of the procedure used for the fabrication of the active layer, Droplet Epitaxy is to be considered an excellent candidate for implementation of optoelectronic devices on CMOS circuits.

  4. Research on SiC Whisker Prepared by H-PSO

    Directory of Open Access Journals (Sweden)

    WANG Yao

    2017-10-01

    Full Text Available SiC whiskers were prepared on the matrix of graphite by using high hydrogenous silicone oil(PSO as raw material. The effect of surface conditions of graphite and heating temperature on the growth of SiC whisker was mainly studied in this paper. The main factor which affects the nucleation and growth of SiC whisker is the heating temperature, with the heating temperature rising, the production of SiC whisker increases. The surface condition of graphite matrix also influences the growth of SiC whisker. With the nucleation points provided by graphite matrix defects increasing, the production of SiC whisker incleases and SiC whisker starts to overlap with each other. The formation process of SiC whisker includes two steps:nucleation and growth. SiC whisker nucleates at low temperature and grows at high temperature, which follows the VLS (vapor-liquid-solid growth mechanism.

  5. Heteroepitaxial Growth of Vacuum-Evaporated Si-Ge Films on Nano structured Silicon Substrates

    International Nuclear Information System (INIS)

    Ayu Wazira Azhari; Ayu Wazira Azhari; Kamaruzzaman Sopian; Saleem Hussain Zaidi

    2015-01-01

    In this study, a low-cost vacuum-evaporated technique is used in the heteroepitaxial growth of Si-Ge films. Three different surface variations are employed: for example polished Si, Si micro pyramids and Si nano pillars profiles. A simple metal-assisted chemical etching method is used to fabricate the Si nano pillars, with Ag acting as a catalyst. Following deposition, substrates are subjected to post-deposition thermal annealing at 1000 degree Celsius to improve the crystallinity of the Ge layer. Optical and morphological studies of surface area are conducted using field emission scanning electron microscopy (FE-SEM), Energy Dispersive X-ray (EDX), Raman spectroscopy and infrared spectroscopy. From the infrared spectroscopy analysis, the energy bandgap for Si-Ge films is estimated to be around 0.94 eV. This high-quality Si-Ge film is most favourable for optics, optoelectronics and high-efficiency solar cell applications. (author)

  6. Growth and Device Performance of AlGaN/GaN Heterostructure with AlSiC Precoverage on Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Jae-Hoon Lee

    2014-01-01

    Full Text Available A crack-free AlGaN/GaN heterostructure was grown on 4-inch Si (111 substrate with initial dot-like AlSiC precoverage layer. It is believed that introducing the AlSiC layer between AlN wetting layer and Si substrate is more effective in obtaining a compressively stressed film growth than conventional Al precoverage on Si surface. The metal semiconductor field effect transistor (MESFET, fabricated on the AlGaN/GaN heterostructure grown with the AlSiC layer, exhibited normally on characteristics, such as threshold voltage of −2.3 V, maximum drain current of 370 mA/mm, and transconductance of 124 mS/mm.

  7. Site-specific forest-assembly of single-wall carbon nanotubes on electron-beam patterned SiOx/Si substrates

    International Nuclear Information System (INIS)

    Wei Haoyan; Kim, Sang Nyon; Kim, Sejong; Huey, Bryan D.; Papadimitrakopoulos, Fotios; Marcus, Harris L.

    2008-01-01

    Based on electron-beam direct writing on the SiO x /Si substrates, favorable absorption sites for ferric cations (Fe 3+ ions) were created on the surface oxide layer. This allowed Fe 3+ -assisted self-assembled arrays of single-wall carbon nanotube (SWNT) probes to be produced. Auger investigation indicated that the incident energetic electrons depleted oxygen, creating more dangling bonds around Si atoms at the surface of the SiO x layer. This resulted in a distinct difference in the friction forces from unexposed regions as measured by lateral force microscopy (LFM). Atomic force microscopy (AFM) affirmed that the irradiated domains absorbed considerably more Fe 3+ ions upon immersion into pH 2.2 aqueous FeCl 3 solution. This rendered a greater yield of FeO(OH)/FeOCl precipitates, primarily FeO(OH), upon subsequent washing with lightly basic dimethylformamide (DMF) solution. Such selective metal-functionalization established the basis for the subsequent patterned forest-assembly of SWNTs as demonstrated by resonance Raman spectroscopy

  8. Electrical resistivity and thermal conductivity of SiC/Si ecoceramics prepared from sapele wood biocarbon

    Science.gov (United States)

    Parfen'eva, L. S.; Orlova, T. S.; Smirnov, B. I.; Smirnov, I. A.; Misiorek, H.; Mucha, J.; Jezowski, A.; Gutierrez-Pardo, A.; Ramirez-Rico, J.

    2012-10-01

    Samples of β-SiC/Si ecoceramics with a silicon concentration of ˜21 vol % have been prepared using a series of consecutive procedures (carbonization of sapele wood biocarbon, synthesis of high-porosity biocarbon with channel-type pores, infiltration of molten silicon into empty channels of the biocarbon, formation of β-SiC, and retention of residual silicon in channels of β-SiC). The electrical resistivity ρ and thermal conductivity κ of the β-SiC/Si ecoceramic samples have been measured in the temperature range 5-300 K. The values of ρ{Si/chan}( T) and κ{Si/chan}( T) have been determined for silicon Sichan located in β-SiC channels of the synthesized β-SiC/Si ecoceramics. Based on the performed analysis of the obtained results, the concentration of charge carriers (holes) in Sichan has been estimated as p ˜ 1019 cm-3. The factors that can be responsible for such a high value of p have been discussed. The prospects for practical application of β-SiC/Si ecoceramics have been considered.

  9. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576 (Singapore); Soh, Chew Beng [Singapore Institute of Technology, 10 Dover Drive, Singapore 138683 (Singapore); Liu, Hongfei [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore)

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holes resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.

  10. Microstructure of buried CoSi2 layers formed by high-dose Co implantation into (100) and (111) Si substrates

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Van Ommen, A.H.; Vandenhoudt, D.E.W.; Ottenheim, J.J.M.; de Jong, A.F.

    1991-01-01

    Heteroepitaxial Si/CoSi 2 /Si structures have been synthesized by implanting 170-keV Co + with doses in the range 1--3x10 17 Co + ions/cm 2 into (100) and (111) Si substrates and subsequent annealing. The microstructure of both the as-implanted and annealed structures is investigated in great detail by transmission electron microscopy, high-resolution electron microscopy, and x-ray diffraction. In the as-implanted samples, the Co is present as CoSi 2 precipitates, occurring both in aligned (A-type) and twinned (B-type) orientation. For the highest dose, a continuous layer of stoichiometric CoSi 2 is already formed during implantation. It is found that the formation of a connected layer, already during implantation, is crucial for the formation of a buried CoSi 2 layer upon subsequent annealing. Particular attention is given to the coordination of the interfacial Co atoms at the Si/CoSi 2 (111) interfaces of both types of precipitates. We find that the interfacial Co atoms at the A-type interfaces are fully sevenfold coordinated, whereas at the B-type interfaces they appear to be eightfold coordinated

  11. Ion-implanted Si-nanostructures buried in a SiO{sub 2} substrate studied with soft-x-ray spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Williams, R.; Rubensson, J.E.; Eisebitt, S. [Forschungszentrum Juelich (Germany)] [and others

    1997-04-01

    In recent years silicon nanostructures have gained great interest because of their optical luminescence, which immediately suggests several applications, e.g., in optoelectronic devices. Nanostructures are also investigated because of the fundamental physics involved in the underlying luminescence mechanism, especially attention has been drawn to the influence of the reduced dimensions on the electronic structure. The forming of stable and well-defined nanostructured materials is one goal of cluster physics. For silicon nanostructures this goal has so far not been reached, but various indirect methods have been established, all having the problem of producing less well defined and/or unstable nanostructures. Ion implantation and subsequent annealing is a promising new technique to overcome some of these difficulties. In this experiment the authors investigate the electronic structure of ion-implanted silicon nanoparticles buried in a stabilizing SiO{sub 2} substrate. Soft X-ray emission (SXE) spectroscopy features the appropriate information depth to investigate such buried structures. SXE spectra to a good approximation map the local partial density of occupied states (LPDOS) in broad band materials like Si. The use of monochromatized synchrotron radiation (MSR) allows for selective excitation of silicon atoms in different chemical environments. Thus, the emission from Si atom sites in the buried structure can be separated from contributions from the SiO{sub 2} substrate. In this preliminary study strong size dependent effects are found, and the electronic structure of the ion-implanted nanoparticles is shown to be qualitatively different from porous silicon. The results can be interpreted in terms of quantum confinement and chemical shifts due to neighboring oxygen atoms at the interface to SiO{sub 2}.

  12. Annealing Heat Treatment of ZnO Nanoparticles Grown on Porous Si Substrate Using Spin-Coating Method

    Directory of Open Access Journals (Sweden)

    K. A. Eswar

    2014-01-01

    Full Text Available ZnO nanoparticles were successfully deposited on porous silicon (PSi substrate using spin-coating method. In order to prepare PSi, electrochemical etching was employed to modify the Si surface. Zinc acetate dihydrate was used as a starting material in ZnO sol-gel solution preparation. The postannealing treatments were investigated on morphologies and photoluminescence (PL properties of the ZnO thin films. Field emission scanning electron microscopy (FESEM results indicate that the thin films composed by ZnO nanoparticles were distributed uniformly on PSi. The average sizes of ZnO nanoparticle increase with increasing annealing temperature. Atomic force microscopic (AFM analysis reveals that ZnO thin films annealed at 500°C had the smoothest surface. PL spectra show two peaks that completely correspond to nanostructured ZnO and PSi. These findings indicate that the ZnO nanostructures grown on PSi are promising for application as light emitting devices.

  13. Preparation of anti-oxidative SiC/SiO2 coating on carbon fibers from vinyltriethoxysilane by sol–gel method

    International Nuclear Information System (INIS)

    Xia Kedong; Lu Chunxiang; Yang Yu

    2013-01-01

    Highlights: ► The SiC/SiO 2 coating was prepared on carbon fibers by the sol–gel method. ► Nano-crystallites with an average diameter of 130 nm were aligned along the fiber axis uniformly. ► The oxidation resistant property of coated carbon fiber was increased with the increase of sol concentration and the heat treatment temperature. ► The oxidation activation energy of the coated carbon fiber was increased by 23% in comparison with uncoated carbon fiber. - Abstract: The anti-oxidative SiC/SiO 2 coating was prepared on carbon fibers by a sol–gel process using vinyltriethoxysilane (VTES) as the single source precursor. The derived coating was characterized by scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES). The oxidation resistant properties of the carbon fiber with and without coating were studied by isothermal oxidation. The results indicated that the carbothermal reduction reaction led to the decrease of SiO 2 phase and the increase of SiC phase at 1500 °C. The uniform SiC/SiO 2 coating prepared from a sol concentration of 4 wt% and heat treated at 1500 °C showed the optimal oxidation resistant property. The oxidation resistance of the carbon fiber was improved by the SiC/SiO 2 coating, and the oxidation activation energy was increased by about 23% as compared with uncoated carbon fiber.

  14. Direct evidence of strain transfer for InAs island growth on compliant Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Marçal, L. A. B.; Magalhães-Paniago, R.; Malachias, Angelo, E-mail: angeloms@fisica.ufmg.br [Universidade Federal de Minas Gerais, Av. Antonio Carlos 6627, CEP 31270-901, Belo Horizonte (Brazil); Richard, M.-I. [European Synchrotron (ESRF), ID01 beamline, CS 40220, 38043 Grenoble Cedex 9 (France); Aix-Marseille University, IM2NP-CNRS, Faculté des Sciences de St Jérôme, 13397 Marseille (France); Cavallo, F. [Center for High Technology Materials, University of New Mexico, 1313 Goddard St., Albuquerque, New Mexico 87106 (United States); University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Lagally, M. G. [University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Schmidt, O. G. [Institute for Integrative Nanosciences, IFW-Dresden, D-01171 Dresden (Germany); Schülli, T. Ü. [European Synchrotron (ESRF), ID01 beamline, CS 40220, 38043 Grenoble Cedex 9 (France); Deneke, Ch. [Laboratório Nacional de Nanotecnologia (LNNano/CNPEM), C.P. 6192, CEP 13083-970, Campinas (Brazil)

    2015-04-13

    Semiconductor heteroepitaxy on top of thin compliant layers has been explored as a path to make inorganic electronics mechanically flexible as well as to integrate materials that cannot be grown directly on rigid substrates. Here, we show direct evidences of strain transfer for InAs islands on freestanding Si thin films (7 nm). Synchrotron X-ray diffraction measurements using a beam size of 300 × 700 nm{sup 2} can directly probe the strain status of the compliant substrate underneath deposited islands. Using a recently developed diffraction mapping technique, three-dimensional reciprocal space maps were reconstructed around the Si (004) peak for specific illuminated positions of the sample. The strain retrieved was analyzed using continuous elasticity theory via Finite-element simulations. The comparison of experiment and simulations yields the amount of strain from the InAs islands, which is transferred to the compliant Si thin film.

  15. Comparative study of SOI/Si hybrid substrates fabricated using high-dose and low-dose oxygen implantation

    International Nuclear Information System (INIS)

    Dong Yemin; Chen Meng; Chen Jing; Wang Xiang; Wang Xi

    2004-01-01

    Hybrid substrates comprising both silicon-on-insulator (SOI) and bulk Si regions have been fabricated using the technique of patterned separation by implantation of oxygen (SIMOX) with high-dose (1.5 x 10 18 cm -2 ) and low-dose ((1.5-3.5) x 10 17 cm -2 ) oxygen ions, respectively. Cross-sectional transmission electron microscopy (XTEM) was employed to examine the microstructures of the resulting materials. Experimental results indicate that the SOI/Si hybrid substrate fabricated using high-dose SIMOX is of inferior quality with very large surface height step and heavily damaged transitions between the SOI and bulk regions. However, the quality of the SOI/Si hybrid substrate is enhanced dramatically by reducing the implant dose. The defect density in transitions is reduced considerably. Moreover, the expected surface height difference does not exist and the surface is exceptionally flat. The possible mechanisms responsible for the improvements in quality are discussed

  16. Quantification of Valleys of Randomly Textured Substrates as a Function of Opening Angle: Correlation to the Defect Density in Intrinsic nc-Si:H.

    Science.gov (United States)

    Kim, Do Yun; Hänni, Simon; Schüttauf, Jan-Willem; van Swaaij, René A C M M; Zeman, Miro

    2016-08-17

    Optical and electrical properties of hydrogenated nanocrystalline silicon (nc-Si:H) solar cells are strongly influenced by the morphology of underlying substrates. By texturing the substrates, the photogenerated current of nc-Si:H solar cells can increase due to enhanced light scattering. These textured substrates are, however, often incompatible with defect-less nc-Si:H growth resulting in lower Voc and FF. In this study we investigate the correlation between the substrate morphology, the nc-Si:H solar-cell performance, and the defect density in the intrinsic layer of the solar cells (i-nc-Si:H). Statistical surface parameters representing the substrate morphology do not show a strong correlation with the solar-cell parameters. Thus, we first quantify the line density of potentially defective valleys of randomly textured ZnO substrates where the opening angle is smaller than 130° (ρdrops. It is also observed that ρdefect increases following a power law dependence of ρ<130. This result is attributed to more frequently formed defective regions for substrates having higher ρ<130.

  17. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  18. Graphene on insulating crystalline substrates

    International Nuclear Information System (INIS)

    Akcoeltekin, S; El Kharrazi, M; Koehler, B; Lorke, A; Schleberger, M

    2009-01-01

    We show that it is possible to prepare and identify ultra-thin sheets of graphene on crystalline substrates such as SrTiO 3 , TiO 2 , Al 2 O 3 and CaF 2 by standard techniques (mechanical exfoliation, optical and atomic force microscopy). On the substrates under consideration we find a similar distribution of single layer, bilayer and few-layer graphene and graphite flakes as with conventional SiO 2 substrates. The optical contrast C of a single graphene layer on any of those substrates is determined by calculating the optical properties of a two-dimensional metallic sheet on the surface of a dielectric, which yields values between C = -1.5% (G/TiO 2 ) and C = -8.8% (G/CaF 2 ). This contrast is in reasonable agreement with experimental data and is sufficient to make identification by an optical microscope possible. The graphene layers cover the crystalline substrate in a carpet-like mode and the height of single layer graphene on any of the crystalline substrates as determined by atomic force microscopy is d SLG = 0.34 nm and thus much smaller than on SiO 2 .

  19. Raman Spectroscopy of DLC/a-Si Bilayer Film Prepared by Pulsed Filtered Cathodic Arc

    Directory of Open Access Journals (Sweden)

    C. Srisang

    2012-01-01

    Full Text Available DLC/a-Si bilayer film was deposited on germanium substrate. The a-Si layer, a seed layer, was firstly deposited on the substrate using DC magnetron sputtering and DLC layer was then deposited on the a-Si layer using pulsed filtered cathodic arc method. The bilayer films were deposited with different DLC/a-Si thickness ratios, including 2/2, 2/6, 4/4, 6/2, and 9/6. The effect of DLC/a-Si thickness ratios on the sp3 content of DLC was analyzed by Raman spectroscopy. The results show that a-Si layer has no effect on the structure of DLC film. Furthermore, the upper shift in G wavenumber and the decrease in ID/IG inform that sp3 content of the film is directly proportional to DLC thickness. The plot modified from the three-stage model informed that the structural characteristics of DLC/a-Si bilayer films are located close to the tetrahedral amorphous carbon. This information may be important for analyzing and developing bilayer protective films for future hard disk drive.

  20. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Isa, F.; Isella, G.; Salvalaglio, M.; Miglio, L.; Wewior, L.; Fuster, D.; Alén, B.; Richter, M.; Uccelli, E.; Niedermann, P.; Neels, A.; Dommann, A.; Mancarella, F.

    2014-01-01

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images

  1. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.; Känel, H. von [Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Isa, F.; Isella, G. [L-NESS, Department of Physics, Politecnico di Milano, via Anzani 42, I-22100 Como (Italy); Salvalaglio, M.; Miglio, L. [L-NESS, Department of Materials Science, Università di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Wewior, L.; Fuster, D.; Alén, B. [IMM, Instituto de Microelectrónica de Madrid (CNM, CSIC), C/Isaac Newton 8, E-28760 Tres Cantos, Madrid (Spain); Richter, M.; Uccelli, E. [Functional Materials Group, IBM Research-Zürich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Niedermann, P.; Neels, A.; Dommann, A. [Centre Suisse d' Electronique et Microtechnique, Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland); Mancarella, F. [CNR-IMM of Bologna, Via Gobetti 101, I-40129 Bologna (Italy)

    2014-01-13

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images.

  2. Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate

    OpenAIRE

    Xu, Weijia; Yin, Huaxiang; Ma, Xiaolong; Hong, Peizhen; Xu, Miao; Meng, Lingkuan

    2015-01-01

    In this study, novel p-type scallop-shaped fin field-effect transistors (S-FinFETs) are fabricated using an all-last high-k/metal gate (HKMG) process on bulk-silicon (Si) substrates for the first time. In combination with the structure advantage of conventional Si nanowires, the proposed S-FinFETs provide better electrostatic integrity in the channels than normal bulk-Si FinFETs or tri-gate devices with rectangular or trapezoidal fins. It is due to formation of quasi-surrounding gate electrod...

  3. High quality GaAs single photon emitters on Si substrate

    International Nuclear Information System (INIS)

    Bietti, S.; Sanguinetti, S.; Cavigli, L.; Accanto, N.; Vinattieri, A.; Minari, S.; Abbarchi, M.; Isella, G.; Frigeri, C.; Gurioli, M.

    2013-01-01

    We describe a method for the direct epitaxial growth of a single photon emitter, based on GaAs quantum dots fabricated by droplet epitaxy, working at liquid nitrogen temperatures on Si substrates. The achievement of quantum photon statistics up to T=80 K is directly proved by antibunching in the second order correlation function as measured with a H anbury Brown and Twiss interferometer

  4. SiC Conversion Coating Prepared from Silica-Graphite Reaction

    Directory of Open Access Journals (Sweden)

    Back-Sub Sung

    2017-01-01

    Full Text Available The β-SiC conversion coatings were successfully synthesized by the SiO(v-graphite(s reaction between silica powder and graphite specimen. This paper is to describe the effects on the characteristics of the SiC conversion coatings, fabricated according to two different reaction conditions. FE-SEM, FE-TEM microstructural morphologies, XRD patterns, pore size distribution, and oxidation behavior of the SiC-coated graphite were investigated. In the XRD pattern and SAD pattern, the coating layers showed cubic SiC peak as well as hexagonal SiC peak. The SiC coatings showed somewhat different characteristics with the reaction conditions according to the position arrangement of the graphite samples. The SiC coating on graphite, prepared in reaction zone (2, shows higher intensity of beta-SiC main peak (111 in XRD pattern as well as rather lower porosity and smaller main pore size peak under 1 μm.

  5. Study on the substrate-induced crystallisation of amorphous SiC-precursor ceramics. TIB/A; Untersuchungen zur substratinduzierten Kristallisation amorpher SiC-Precursorkeramiken

    Energy Technology Data Exchange (ETDEWEB)

    Rau, C.

    2000-12-01

    In the present thesis the crystallization behaviour of amorphous silicon-carbon materials (SiC{sub x}) was studied. The main topic of the experimental studies formed thereby the epitactical crystallization of thin silicon carbide layers on monocrystalline substrates of silicon carbides or silicon. Furthermore by thermolysis of the polymer amorphous SiC{sub x}-powder was obtained.

  6. Preparation of SiC thin films by ion beam technology and PECVD

    International Nuclear Information System (INIS)

    Chen Changqing; Ren Congxin; Yang Lixin; Yan Jinlong; Zheng Zhihong; Zhou Zuyao; Chen Ping; Liu Xianghuai; Chen Xueliang

    1998-01-01

    The formation of β-SiC buried layers in p-type Si by ion beam methods is reported and a comparison of the results obtained under different experimental conditions is made. The preparation of amorphous SiC thin films by IBED is presented and the enhanced deposition of Xe + is found superior to that of Ar + . The work of synthesizing hydrogenated amorphous SiC films by RIBS and RIBAD is described with a discussion on the dependence of some physical parameters on the partial pressure ratio pCH 4 /pAr. Finally given is a brief introduction to a high quality α-SiC:H film which is prepared by PECVD and can exhibit green luminescence at room temperature

  7. Modulation of surface wettability of superhydrophobic substrates using Si nanowire arrays and capillary-force-induced nanocohesion

    NARCIS (Netherlands)

    Dawood, M.K.; Zheng, H.; Kurniawan, N.A.; Leong, K.C.; Foo, Y.L.; Rajagopalan, Raj; Khan, S.A.; Choi, W.K.

    2012-01-01

    We describe a new scalable method to fabricate large-area hybrid superhydrophobic surfaces with selective adhesion properties on silicon (Si) nanowire array substrates by exploiting liquid-medium-dependent capillary-force-induced nanocohesion. Gold (Au) nanoparticles were deposited on Si by glancing

  8. Preparation of MgO Films as Buffer Layers by Laser-ablation at Various Substrate Temperatures

    Institute of Scientific and Technical Information of China (English)

    LI Ling; WANG Chuanbin; WANG Fang; SHEN Qiang; ZHANG Lianmeng

    2011-01-01

    MgO thin films were deposited on Si(100) substrates by laser ablation under various substrate temperatures (Tsub),expecting to provide a candidate buffer layer for the textured growth of functional perovskite oxide films on Si substrates.The effect of Tsub on the preferred orientation,crystallinity and surface morphology of the films was investigated.MgO films in single-phase were obtained at 473-973 K.With increasing Tsub,the preferred orientation of the films changed from (200) to (111).The crystallinity and surface morphology was different too,depending on Tsub·At Tsub=673 K,the MgO film became uniform and smooth,exhibiting high crystallinity and a dense texture.

  9. Influences of ultra-thin Ti seed layers on the dewetting phenomenon of Au films deposited on Si oxide substrates

    Science.gov (United States)

    Kamiko, Masao; Kim, So-Mang; Jeong, Young-Seok; Ha, Jae-Ho; Koo, Sang-Mo; Ha, Jae-Geun

    2018-05-01

    The influences of a Ti seed layer (1 nm) on the dewetting phenomenon of Au films (5 nm) grown onto amorphous SiO2 substrates have been studied and compared. Atomic force microscopy results indicated that the introduction of Ti between the substrate and Au promoted the dewetting phenomenon. X-ray diffraction measurements suggested that the initial deposition of Ti promoted crystallinity of Au. A series of Auger electron spectroscopy and X-ray photoelectron spectroscopy results revealed that Ti transformed to a Ti oxide layer by reduction of the amorphous SiO2 substrate surface, and that the Ti seed layer remained on the substrate, without going through the dewetting process during annealing. We concluded that the enhancement of Au dewetting and the improvement in crystallinity of Au by the insertion of Ti could be attributed to the fact that Au location was changed from the surface of the amorphous SiO2 substrate to that of the Ti oxide layer.

  10. Transfer of Graphene Layers Grown on SiC Wafers to Other Substrates and Their Integration into Field Effect Transistors

    Science.gov (United States)

    Unarunotai, Sakulsuk; Murata, Yuya; Chialvo, Cesar; Kim, Hoon-Sik; MacLaren, Scott; Mason, Nadya; Petrov, Ivan; Rogers, John

    2010-03-01

    An approach to produce graphene films by epitaxial growth on silicon carbide substrate is promising, but its current implementation requires the use of SiC as the device substrate. We present a simple method for transferring epitaxial sheets of graphene on SiC to other substrates. The graphene was grown on the (0001) face of 6H-SiC by thermal annealing in a hydrogen atmosphere. Transfer was accomplished using a peeling process with a bilayer film of Gold/polyimide, to yield graphene with square millimeters of coverage on the target substrate. Back gated field-effect transistors fabricated on oxidized silicon substrates with Cr/Au as source-drain electrodes exhibited ambipolar characteristics with hole mobilities of ˜100 cm^2/V-s, and negligible influence of resistance at the contacts. This work was supported by the U.S. DOE, under Award No. DE-FG02-07ER46471, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  11. A study on the change in the phase transition temperature of TiSi sub 2 by adding the Zr element on different Si substrates

    CERN Document Server

    Yoon, S H

    1999-01-01

    The stabilization of C49 TiSi sub 2 at high temperature was investigated by adding Zr element to Ti-silicide both on single crystalline Si(100) and amorphous Si substrates. This stabilization of the C49 TiSi sub 2 phase, which exhibits lower surface and interface energies than those of the C54 TiSi sub 2 phase, was expected to suppress the problems of Ti-silicide, such as the phase transition and the agglomeration. Ti and Zr films of 40 nm were co-deposited on Si substrates in a dual e-beam evaporation system equipped with an ion pump and at a base pressure of approx 5x10 sup - sup 9 Torr. The amounts of Zr contents added to the Ti-silicide were 5, 10 and 20 atomic %, and the thicknesses were monitored by in-situ quartz-crystal thickness monitors. After the deposition, films were annealed by using an ex-situ vacuum furnace at temperatures between 600 .deg. C and 900 .deg. C in 100 .deg. C increments. The phase identification and the chemical compositions were investigated by X-ray diffraction (XRD) and Auger ...

  12. Benchmarking surface signals when growing GaP on Si in CVD ambients

    Energy Technology Data Exchange (ETDEWEB)

    Doescher, Henning

    2010-10-26

    The present work investigates the formation of GaP films prepared on Si(100) surfaces and their anti-phase disorder in metalorganic vapor phase epitaxy (MOVPE) ambients. GaP films grown on Si(100) substrates served as a lattice matched model system for the crucial III-V/Si(100) interface to form silicon-based quasi substrates. A variety of surface-sensitive methods was required to establish suitable silicon substrate preparation and subsequent GaP growth free of anti-phase domains (APDs) by analyzing the substrate surface, the interface and the epitaxial films resulting from the heteroepitaxial nucleation process. Thorough investigations in the MOVPE ambients and an appropriate improvement of the equipment and of the VPE preparation process of the substrates led to clean Si(100) surfaces free of oxygen and other contaminants, as was evidenced by Xray photoelectron spectroscopy. Predominantly double-layer stepped Si(100) surfaces, as a prerequisite for subsequent III-V integration, were obtained for 0.1 , 2 and 6 misorientation in [011] direction. In contrast to standard preparation in ultra-high vacuum (UHV), the double-layer steps on 0.1 and 2 samples featured dimers oriented perpendicular to the step edges, contradicting well-established results with and without hydrogen coverage obtained in UHV. This striking difference was attributed to the presence of hydrogen as a process gas in the MOVPE environment leading to a silicon surface covered by monohydrides after substrate preparation, as was determined by Fourier-transform infrared spectroscopy (FTIR), while reflectance anisotropy spectroscopy (RAS) showed the absence of hydrogen termination at higher temperatures. On these substrates, optical in situ spectroscopy was established as a method for the quantitative evaluation of the APD content in GaP heteroepitaxy. The analysis required a detailed understanding of the GaP(100) surface reconstructions, which have been described theoretically in the literature and

  13. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    Science.gov (United States)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  14. Low energy electron irradiation induced carbon etching: Triggering carbon film reacting with oxygen from SiO{sub 2} substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China); Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education and Guangdong Province, College of Optoelectronic Engineering, Shenzhen University, Shenzhen 518060 (China); Wang, Chao, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn; Diao, Dongfeng, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China)

    2016-08-01

    We report low-energy (50–200 eV) electron irradiation induced etching of thin carbon films on a SiO{sub 2} substrate. The etching mechanism was interpreted that electron irradiation stimulated the dissociation of the carbon film and SiO{sub 2}, and then triggered the carbon film reacting with oxygen from the SiO{sub 2} substrate. A requirement for triggering the etching of the carbon film is that the incident electron penetrates through the whole carbon film, which is related to both irradiation energy and film thickness. This study provides a convenient electron-assisted etching with the precursor substrate, which sheds light on an efficient pathway to the fabrication of nanodevices and nanosurfaces.

  15. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    Science.gov (United States)

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  16. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si3N4/DLC substrate

    International Nuclear Information System (INIS)

    Roman, W S; Riascos, H; Caicedo, J C; Ospina, R; Tirado-MejIa, L

    2009-01-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si 3 N 4 substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm -2 , 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm -1 for B - N bonding and bands around 1700 cm -1 associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), α-Si 3 N 4 (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si 3 N 4 /DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  17. Lowered operation voltage in Pt/SBi2Ta2O9/HfO2/Si ferroelectric-gate field-effect transistors by oxynitriding Si

    International Nuclear Information System (INIS)

    Horiuchi, Takeshi; Takahashi, Mitsue; Li, Qiu-Hong; Wang, Shouyu; Sakai, Shigeki

    2010-01-01

    Oxynitrided Si (SiON) surfaces show smaller subthreshold swings than do directly nitrided Si (SiN) surfaces when used in ferroelectric-gate field-effect transistors (FeFETs) having the following stacked-gate structure: Pt/SrBi 2 Ta 2 O 9 (SBT)/HfO 2 /Si. SiON/Si substrates for FeFETs were prepared by rapid thermal oxidation (RTO) in O 2 at 1000 °C and subsequent rapid thermal nitridation (RTN) in NH 3 at various temperatures in the range 950–1150 °C. The electrical properties of the Pt/SBT/HfO 2 /SiON/Si FeFET were compared with those of reference FETs, i.e. Pt/SBT/HfO 2 gate stacks formed on Si substrates subjected to various treatments: SiN x /Si formed by RTN, SiO 2 /Si formed by RTO and untreated Si. The Pt/SBT/HfO 2 /SiON/Si FeFET had a larger memory window than all the other reference FeFETs, particularly at low operation voltages when the RTN temperature was 1050 °C

  18. Transparent SiON/Ag/SiON multilayer passivation grown on a flexible polyethersulfone substrate using a continuous roll-to-roll sputtering system

    Science.gov (United States)

    2012-01-01

    We have investigated the characteristics of a silicon oxynitride/silver/silicon oxynitride [SiON/Ag/SiON] multilayer passivation grown using a specially designed roll-to-roll [R2R] sputtering system on a flexible polyethersulfone substrate. Optical, structural, and surface properties of the R2R grown SiON/Ag/SiON multilayer were investigated as a function of the SiON thickness at a constant Ag thickness of 12 nm. The flexible SiON/Ag/SiON multilayer has a high optical transmittance of 87.7% at optimized conditions due to the antireflection and surface plasmon effects in the oxide-metal-oxide structure. The water vapor transmission rate of the SiON/Ag/SiON multilayer is 0.031 g/m2 day at an optimized SiON thickness of 110 nm. This indicates that R2R grown SiON/Ag/SiON is a promising thin-film passivation for flexible organic light-emitting diodes and flexible organic photovoltaics due to its simple and low-temperature process. PMID:22221400

  19. Optimization of substrate preparation for oyster mushroom (Pleurotus ostreatus) cultivation by studying different raw materials and substrate preparation conditions (composting: phases I and II).

    Science.gov (United States)

    Vieira, Fabrício Rocha; de Andrade, Meire Cristina Nogueira

    2016-11-01

    In recent years, oyster mushroom (Pleurotus ostreatus) has become one of the most cultivated mushrooms in the world, mainly in Brazil. Among many factors involved in a mushroom production, substrate preparation is the most critical step, which can be influenced by composting management techniques. Looking forward to optimizing the substrate preparation process, were tested different composting conditions (7 and 14 days of composting with or without conditioning), potential raw materials (decumbens grass, brizantha grass and sugarcane straw) and nitrogen supplementation (with or without wheat bran) on oyster mushroom yield and biological efficiency (BE). The substrate composted for 7 days with conditioning showed higher yield and biological efficiency of mushroom (24.04 and 100.54 %, respectively). Substrates without conditioning (7 and 14 days of composting) showed smaller mushroom yield and biological efficiency. Among the raw materials tested, brizantha grass showed higher mushroom yield followed by decumbens grass, sugarcane straw and wheat straw (28.5, 24.32, 23.5 and 19.27 %, respectively). Brizantha grass also showed higher biological efficiency followed by sugarcane straw, decumbens grass and wheat straw (123.95, 103.70, 96.90 and 86.44 %, respectively). Supplementation with wheat bran improved yield and biological efficiency in all substrate formulations tested; thus, oyster mushroom yield and biological efficiency were influenced by substrate formulation (raw materials), supplementation and composting conditions.

  20. Nitridation effects of Si(1 1 1) substrate surface on InN nanorods grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Shan [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tan, Jin, E-mail: jintan_cug@163.com [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Engineering Research Center of Nano-Geomaterials of Ministry of Education, China University of Geosciences, Wuhan 430074 (China); Li, Bin; Song, Hao; Wu, Zhengbo; Chen, Xin [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China)

    2015-02-05

    Graphical abstract: The morphology evolution of InN nanorods in samples (g)–(i). The alignment of InN nanorods is improved and the deviation angle distribution narrows down with increase in nitriding time. It suggests that extending the nitriding time can enhance the vertical orientation of InN nanorods. - Highlights: • InN nanorods were grown on surface nitrided Si(1 1 1) substrate using PAMBE system. • Nitridation of substrate surface has a strong effect on morphology of InN nanorods. • InN nanorods cannot be formed with 1 min nitridation of Si(1 1 1) substrate. • Increasing nitriding time will increase optimum growth temperature of InN nanorods. • Increasing nitriding time can enhance vertical orientation of InN nanorods. - Abstract: The InN nanorods were grown on Si(1 1 1) substrate by plasma-assisted molecular beam epitaxy (PAMBE) system, with a substrate nitridation process. The effect of nitriding time of Si(1 1 1) substrate on morphology, orientation and growth temperature of InN nanorods was characterized via scanning electron microscopy (SEM) and X-ray diffraction (XRD). The deviation angle of InN nanorods was measured to evaluate the alignment of arrays. The results showed that InN nanorods could not be formed with 1 min nitridation of Si(1 1 1) substrate, but they could be obtained again when the nitriding time was increased to more than 10 min. In order to get aligned InN nanorods, the growth temperature needed to increase with longer nitriding time. The vertical orientation of InN nanorods could be enhanced with increase in nitriding time. The influence of the substrate nitridation on the photoluminescence (PL) spectra of InN nanorods has been investigated.

  1. Structural and Electromagnetic Properties of Ni-Mn-Ga Thin Films Deposited on Si Substrates

    Directory of Open Access Journals (Sweden)

    Pereira M. J.

    2014-07-01

    Full Text Available Ni2MnGa thin films raise great interest due to their properties, which provide them with strong potential for technological applications. Ni2MnGa thin films were prepared by r.f. sputtering deposition on Si substrates at low temperature (400 ºC. Film thicknesses in the range 10-120 nm were obtained. A study of the structural, magnetic and electrical properties of the films is presented. We find that the deposited films show some degree of crystallinity, with coexisting cubic and tetragonal structural phases, the first one being preponderant over the latter, particularly in the thinner films. The films possess soft magnetic properties and their coercivity is thickness dependent in the range 15-200 Oe at 300K. Electrical resistivity measurements signal the structural transition and suggest the occurrence of avalanche and return-point memory effects, in temperature cycling through the magnetic/structural transition range.

  2. Enhancement in (BHmax of PLD-made isotropic Nd-Fe-B thick film magnets deposited on Si substrates

    Directory of Open Access Journals (Sweden)

    M. Nakano

    2017-05-01

    Full Text Available Increase in Nd contents of a PLD-made isotropic Nd-Fe-B thick-film magnet enabled us to enhance the thickness of the film magnet deposited on a Si substrate because the linear expansion coefficient of Nd is an intermediate value between Nd2Fe14B and Si. The large amount of Nd, however, degraded the residual magnetic polarization and (BHmax. In the study, we reduced the Nd contents of each Nd-Fe-B film by inserting a Nd or a Nd-rich Nd-Fe-B buffer layer between a Nd-Fe-B film and a Si substrate in order to suppress the mechanical destruction together with the improvement in magnetic properties. It was found that the mechanical property of a Nd-Fe-B film comprising the Nd-Fe-B buffer layer in the thickness range from 10 to 60 μm was superior than that of a sample with the Nd buffer layer. Resultantly, an average (BHmax value of Nd-Fe-B films with each Nd-Fe-B buffer layer deposited on Si substrates could be enhanced by approximately 15 kJ/m3 compared to that of non-buffer-layered films.

  3. High-performance SERS substrate based on hybrid structure of graphene oxide/AgNPs/Cu film@pyramid Si

    Science.gov (United States)

    Li, Zhe; Xu, Shi Cai; Zhang, Chao; Liu, Xiao Yun; Gao, Sai Sai; Hu, Li Tao; Guo, Jia; Ma, Yong; Jiang, Shou Zhen; Si, Hai Peng

    2016-12-01

    We present a novel surface-enhanced Raman scattering (SERS) substrate based on graphene oxide/silver nanoparticles/copper film covered silicon pyramid arrays (GO/AgNPs/PCu@Si) by a low-cost and simple method. The GO/AgNPs/PCu@Si substrate presents high sensitivity, good homogeneity and well stability with R6G molecules as a probe. The detected concentration of Rhodamine 6 G (R6G) is as low as 10-15 M. These sensitive SERS behaviors are also confirmed in theory via a commercial COMSOL software, the electric field enhancement is not only formed between the AgNPs, but also formed between the AgNPs and Cu film. And the GO/AgNPs/PCu@Si substrates also present good property on practical application for the detection of methylene blue (MB) and crystal violet (CV). This work may offer a novel and practical method to facilitate the SERS applications in areas of medicine, food safety and biotechnology.

  4. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  5. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  6. Effects of SiO2 encapsulation and laser processing on single CdTe/ZnTe quantum dots grown on Si (001) substrates

    International Nuclear Information System (INIS)

    Lee, Hong Seok; Rastelli, Armando; Schmidt, Oliver G.; Kim, Tae Whan; Lee, In Won

    2011-01-01

    Micro-photoluminescence (μ-PL) measurements are carried out to investigate the effects of SiO 2 encapsulation and laser processing of single CdTe/ZnTe quantum dots (QDs) grown on Si (001) substrates by using molecular beam epitaxy and atomic layer epitaxy. After laser processing, the μ-PL peak shift for the 200-nm SiO 2 capped single QD is larger than that of the as-grown sample. The large μ-PL peak shift in the 200-nm SiO 2 capped sample is related to the compressive stress induced by the ZnTe cap layer during laser processing. These results indicate that SiO 2 encapsulation and laser processing represent effective methods for achieving local wavelength tuning in single QDs.

  7. Gelcasting of SiC/Si for preparation of silicon nitride bonded silicon carbide

    International Nuclear Information System (INIS)

    Xie, Z.P.; Tsinghua University, Beijing,; Cheng, Y.B.; Lu, J.W.; Huang, Y.

    2000-01-01

    In the present paper, gelcasting of aqueous slurry with coarse silicon carbide(1mm) and fine silicon particles was investigated to fabricate silicon nitride bonded silicon carbide materials. Through the examination of influence of different polyelectrolytes on the Zeta potential and viscosity of silicon and silicon carbide suspensions, a stable SiC/Si suspension with 60 vol% solid loading could be prepared by using polyelectrolyte of D3005 and sodium alginate. Gelation of this suspension can complete in 10-30 min at 60-80 deg C after cast into mold. After demolded, the wet green body can be dried directly in furnace and the green strength will develop during drying. Complex shape parts with near net size were prepared by the process. Effects of the debindering process on nitridation and density of silicon nitride bonded silicon carbide were also examined. Copyright (2000) The Australian Ceramic Society

  8. The effect of dielectric constants on noble metal/semiconductor SERS enhancement: FDTD simulation and experiment validation of Ag/Ge and Ag/Si substrates.

    Science.gov (United States)

    Wang, Tao; Zhang, Zhaoshun; Liao, Fan; Cai, Qian; Li, Yanqing; Lee, Shuit-Tong; Shao, Mingwang

    2014-02-11

    The finite-difference time-domain (FDTD) method was employed to simulate the electric field distribution for noble metal (Au or Ag)/semiconductor (Ge or Si) substrates. The simulation showed that noble metal/Ge had stronger SERS enhancement than noble metal/Si, which was mainly attributed to the different dielectric constants of semiconductors. In order to verify the simulation, Ag nanoparticles with the diameter of ca. 40 nm were grown on Ge or Si wafer (Ag/Ge or Ag/Si) and employed as surface-enhanced Raman scattering substrates to detect analytes in solution. The experiment demonstrated that both the two substrates exhibited excellent performance in the low concentration detection of Rhodamine 6G. Besides, the enhancement factor (1.3 × 10(9)) and relative standard deviation values (less than 11%) of Ag/Ge substrate were both better than those of Ag/Si (2.9 × 10(7) and less than 15%, respectively), which was consistent with the FDTD simulation. Moreover, Ag nanoparticles were grown in-situ on Ge substrate, which kept the nanoparticles from aggregation in the detection. To data, Ag/Ge substrates showed the best performance for their sensitivity and uniformity among the noble metal/semiconductor ones.

  9. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  10. Onset temperature for Si nanostructure growth on Si substrate during high vacuum electron beam annealing.

    Science.gov (United States)

    Fang, F; Markwitz, A

    2009-05-01

    Silicon nanostructures, called Si nanowhiskers, are successfully synthesized on Si(100) substrate by high vacuum electron beam annealing. The onset temperature and duration needed for the Si nanowhiskers to grow was investigated. It was found that the onset and growth morphology of Si nanowhiskers strongly depend on the annealing temperature and duration applied in the annealing cycle. The onset temperature for nanowhisker growth was determined as 680 degrees C using an annealing duration of 90 min and temperature ramps of +5 degrees C s(-1) for heating and -100 degrees C s(-1) for cooling. Decreasing the annealing time at peak temperature to 5 min required an increase in peak temperature to 800 degrees C to initiate the nanowhisker growth. At 900 degrees C the duration for annealing at peak temperature can be set to 0 s to grow silicon nanowhiskers. A correlation was found between the variation in annealing temperature and duration and the nanowhisker height and density. Annealing at 900 degrees C for 0 s, only 2-3 nanowhiskers (average height 2.4 nm) grow on a surface area of 5 x 5 microm, whereas more than 500 nanowhiskers with an important average height of 4.6 nm for field emission applications grow on the same surface area for a sample annealed at 970 degrees C for 0 s. Selected results are presented showing the possibility of controlling the density and height of Si nanowhisker growth for field emission applications by applying different annealing temperature and duration.

  11. Photoluminescence studies of cubic phase GaN grown by molecular beam epitaxy on (001) silicon covered with SiC layer

    International Nuclear Information System (INIS)

    Godlewski, M.; Ivanov, V.Yu.; Bergman, J.P.; Monemar, B.; Barski, A.; Langer, R.

    1997-01-01

    In this work we evaluate optical properties of cubic phase GaN epilayers grown on top of (001) silicon substrate prepared by new process. Prior to the growth Si substrate was annealed at 1300-1400 o C in propane. The so-prepared substrate is covered within a thin (∼ 4 nm) SiC wafer, which allowed a successful growth of good morphological quality cubic phase GaN epilayers. The present results confirm recent suggestion on smaller ionization energies of acceptors in cubic phase GaN epilayers. (author)

  12. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    Science.gov (United States)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  13. Ultralow-density SiO2 aerogels prepared by a two-step sol-gel process

    International Nuclear Information System (INIS)

    Wang Jue; Li Qing; Shen Jun; Zhou Bin; Chen Lingyan; Jiang; Weiyang

    1996-01-01

    Low density SiO 2 gels are prepared by a two-step sol-gel process from TEOS. The influence of various solution ratios on the gelation process is investigated. The comparative characterization of gels using different solvent, such as ethanol, acetone and methyl cyanide, is also given. The ultralow-density SiO 2 aerogels with density less than 10 kg/m 3 are prepared by CO 2 supercritical drying technique. The structure difference between SiO 2 aerogels prepared by conventional single-step process and the two-step process is also presented

  14. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  15. Synthesis and characterization in AuCu–Si nanostructures

    International Nuclear Information System (INIS)

    Novelo, T.E.; Amézaga-Madrid, P.; Maldonado, R.D.; Oliva, A.I.; Alonzo-Medina, G.M.

    2015-01-01

    Au/Cu bilayers with different Au:Cu concentrations (25:75, 50:50 and 75:25 at.%) were deposited on Si(100) substrates by thermal evaporation. The thicknesses of all Au/Cu bilayers were 150 nm. The alloys were prepared by thermal diffusion into a vacuum oven with argon atmosphere at 690 K during 1 h. X-ray diffraction analysis revealed different phases of AuCu and CuSi alloys in the samples after annealing process. CuSi alloys were mainly obtained for 25:75 at.% samples, meanwhile the AuCuII phase dominates for samples prepared with 50:50 at.%. Additionally, the Au:Cu alloys with 75:25 at.%, produce Au 2 Cu 3 and Au 3 Cu phases. The formed alloys were characterized by scanning electron microscopy (SEM), atomic force microscopy (AFM), transmission electron microscopy (TEM) and energy dispersive spectroscopy (EDS) to study the morphology and the elemental concentration of the formed alloys. - Highlights: • AuCu/Si alloy thin films were prepared by thermal diffusion. • Alloys prepared with 50 at.% of Au produce the AuCuII phase. • Alloys prepared with 75 at.% of Au produce Au 3 Cu and Au 2 Cu 3 phases. • All alloys present diffusion of Si and Cu through the CuSi alloy formation

  16. Wear of tin coating and Al-Si alloy substrate against carburized steel under mixed lubrication

    Science.gov (United States)

    Wang, Q.; Cheng, H. S.; Fine, M. E.

    1994-04-01

    Tin coatings on Al-Si alloys are widely used in the automotive industries. The soft tin coating and the harder substrate alloy form a tribological system with the advantages of low friction and reasonably high load-bearing capacity. Wear tests of tin coated Al-Si Z332 alloy in conformal contact against carburized 1016 steel have been carried out under mixed lubrications with SAE 10W30 oil to study the wear mechanisms. Two major wear mechanisms, uniform wear of the tin coating due to micro-plowing and spall pitting related to the substrate are found to contribute to the bearing material loss when the fluid lubrication film is relatively thick (Lambda about 1.6). Under conditions of thinner films (Lambda approximately = 0.8), some local coating debonding occurs. The pitting and local coating debounding are closely related to fracture in the substrate. The bonding between silicon and tin seems to be weaker than between aluminum and tin. During wear, oxidation occurs.

  17. Influence of GaN/AlGaN/GaN (0001) and Si (100) substrates on structural properties of extremely thin MoS{sub 2} films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chromik, Š. [Institute of Electrical Engineering, SAS, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Sojková, M., E-mail: michaela.sojkova@savba.sk [Institute of Electrical Engineering, SAS, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Vretenár, V. [STU Centre for Nanodiagnostics, Vazovova 5, 812 43 Bratislava (Slovakia); Rosová, A.; Dobročka, E.; Hulman, M. [Institute of Electrical Engineering, SAS, Dúbravská cesta 9, 841 04 Bratislava (Slovakia)

    2017-02-15

    Highlights: • Observation of the epitaxial growth of the MoS{sub 2} film on the GaN/AlGaN/GaN (0001) substrate and c-axis oriented nanocrystalline MoS{sub 2} film on the Si (100) substrate. • Dependence of the Raman peak position on the crystal structure of MoS{sub 2} film. • Non-linear thickness dependence on the number of laser pulses using PLD from the stoichiometric target. - Abstract: Very thin MoS{sub 2} films were prepared on hexagonal GaN/AlGaN/GaN (0001) and Si (100) substrates from a stoichiometric target by a pulsed laser deposition. Combined results from Raman and X-ray reflectivity measurements have shown that the thinnest samples are 2–2.5 nm thick. The thickness increases with the number of laser pulses applied albeit no simple direct proportion between the two quantities has been observed. Concerning the stoichiometry, the distribution of Mo and S elements within as-deposited films is rather complex. The stoichiometric MoS{sub 2} is making-up only a part of the film. In spite of this, selected area electron diffraction studies have clearly confirmed that the films deposited on Si (100) are nanocrystalline and oriented perpendicularly to the substrate surface while an epitaxial growth of MoS{sub 2} films was observed on GaN/AlGaN/GaN (0001) substrates.

  18. Fabrication of GaAs quantum dots by droplet epitaxy on Si/Ge virtual substrate

    International Nuclear Information System (INIS)

    Bietti, S; Sanguinetti, S; Somaschini, C; Koguchi, N; Isella, G; Chrastina, D; Fedorov, A

    2009-01-01

    We present here the fabrication, via droplet epitaxy, of GaAs/AlGaAs quantum dots with high optical efficiency on Si. The growth substrate lattice parameter was adapted to that of (Al)GaAs via Ge virtual substrates (GeVS). The samples clearly show the presence of quantum dot self-assembly, with the designed shape and density. Photoluminescence measurements, performed at low temperature, show an intense emission band from the quantum dots.

  19. Origin of the n -type and p -type conductivity of MoS 2 monolayers on a SiO 2 substrate

    KAUST Repository

    Dolui, Kapildeb

    2013-04-02

    Ab initio density functional theory calculations are performed to study the electronic properties of a MoS2 monolayer deposited over a SiO 2 substrate in the presence of interface impurities and defects. When MoS2 is placed on a defect-free substrate, the oxide plays an insignificant role since the conduction band top and the valence band minimum of MoS2 are located approximately in the middle of the SiO2 band gap. However, if Na impurities and O dangling bonds are introduced at the SiO2 surface, these lead to localized states, which modulate the conductivity of the MoS2 monolayer from n- to p-type. Our results show that the conductive properties of MoS2 deposited on SiO 2 are mainly determined by the detailed structure of the MoS 2/SiO2 interface, and suggest that doping the substrate can represent a viable strategy for engineering MoS2-based devices. © 2013 American Physical Society.

  20. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  1. Synthesis and characterization of porous crystalline SiC thin films prepared by radio frequency reactive magnetron sputtering technique

    Energy Technology Data Exchange (ETDEWEB)

    Qamar, Afzaal, E-mail: afzaalqamar@gmail.com [Department of Physics and Applied Mathematics, PIEAS, Nilore, Islamabad, Punjab 42600 (Pakistan); Mahmood, Arshad [National Institute of Laser and Optronics, Nilore, Islamabad (Pakistan); Sarwar, Tuba; Ahmed, Nadeem [Department of Physics and Applied Mathematics, PIEAS, Nilore, Islamabad, Punjab 42600 (Pakistan)

    2011-05-15

    Hexagonal SiC thin films have been deposited using radio frequency reactive magnetron sputtering technique by varying the substrate temperature and other deposition conditions. Prior to deposition surface modification of the substrate Si(1 0 0) played an important role in deposition of the hexagonal SiC structure. The effect of substrate temperature during deposition on structure, composition and surface morphology of the SiC films has been analyzed using atomic force microscopy, Fourier transform infrared spectroscopy and spectroscopic ellipsometry. X-ray diffraction in conventional {theta}-2{theta} mode and omega scan mode revealed that the deposited films were crystalline having 8H-SiC structure and crystallinity improved with increase of deposition temperature. The bonding order and Si-C composition within the films showed improvement with the increase of deposition temperature. The surface of thin films grew in the shape of globes and columns depending upon deposition temperature. The optical properties also showed improvement with increase of deposition temperature and the results obtained by ellipsometry reinforced the results of other techniques.

  2. The adhesion of SiNx thin layers on silica-acrylate coated polymer substrates

    NARCIS (Netherlands)

    Abdallah, Amir; Lu, K.; Ovchinnikov, C.D.; Bulle-Lieuwma, C.W.T.; Bouten, P.C.P.; With, de G.

    2009-01-01

    Plasma Enhanced Chemical Vapor Deposition (PECVD) was used to grow 200, 300 and 400 nm thick silicon nitride layers (SiN x ) on a high temperature aromatic polyester substrate spin coated with a silica-acrylate hybrid coating (hard coat). Layers deposited without oxygen plasma treatment remained

  3. Quality improvement of ZnO thin layers overgrown on Si(100 substrates at room temperature by nitridation pretreatment

    Directory of Open Access Journals (Sweden)

    Peng Wang

    2012-06-01

    Full Text Available To improve the quality of ZnO thin film overgrown on Si(100 substrate at RT (room temperature, the Si(100 surface was pretreated with different methods. The influence of interface on the overgrown ZnO layers was investigated by atomic force microscopy, photoluminescence and X-ray diffraction. We found that the nitridation pretreatment could significantly improve the quality of RT ZnO thin film through two-fold effects: one was to buffer the big lattice mismatch and ease the stress resulted from heterojunction growth; the other was to balance the interface charge, block the symmetric inheritance from the cubic Si (100 substrate and thus restrain the formation of zincblende phase.

  4. Realization of hexagonal barium ferrite thick films on Si substrates using a screen printing technique

    International Nuclear Information System (INIS)

    Chen Yajie; Smith, Ian; Geiler, Anton L; Vittoria, Carmine; Harris, Vincent G; Zagorodnii, Volodymyr; Celinski, Zbigniew

    2008-01-01

    Hexagonal barium ferrite thick films (50-200 μm) have been deposited on Si and Al 2 O 3 /Si substrates using a screen printing technique. X-ray diffractometry, scanning electron microscopy and magnetometry were used to characterize and correlate the ferrite films' microstructure and magnetic properties. The experiments indicated that an Al 2 O 3 underlayer was effective in preventing silicon diffusion into the barium ferrite films during a final sintering treatment at temperatures above 1100 deg. C. A two-stage sintering process allowed a reasonable tradeoff between mechanical and magnetic properties. This work reveals the feasibility of fabrication of thick ferrite films on large substrates (up to 25 mm in diameter) for future planar microwave devices compatible with semiconductor integrated circuits processing

  5. PbSe quantum well mid-infrared vertical external cavity surface emitting laser on Si-substrates

    Science.gov (United States)

    Fill, M.; Khiar, A.; Rahim, M.; Felder, F.; Zogg, H.

    2011-05-01

    Mid-infrared vertical external cavity surface emitting lasers based on PbSe/PbSrSe multi-quantum-well structures on Si-substrates are realized. A modular design allows growing the active region and the bottom Bragg mirror on two different Si-substrates, thus facilitating comparison between different structures. Lasing is observed from 3.3 to 5.1 μm wavelength and up to 52 °C heat sink temperature with 1.55 μm optical pumping. Simulations show that threshold powers are limited by Shockley-Read recombination with lifetimes as short as 0.1 ns. At higher temperatures, an additional threshold power increase occurs probably due to limited carrier diffusion length and carrier leakage, caused by an unfavorable band alignment.

  6. Positron annihilation studies of the AlOx/SiO2/Si interface in solar cell structures

    International Nuclear Information System (INIS)

    Edwardson, C. J.; Coleman, P. G.; Li, T.-T. A.; Cuevas, A.; Ruffell, S.

    2012-01-01

    Film and film/substrate interface characteristics of 30 and 60 nm-thick AlO x films grown on Si substrates by thermal atomic layer deposition (ALD), and 30 nm-thick AlO x films by sputtering, have been probed using variable-energy positron annihilation spectroscopy (VEPAS) and Doppler-broadened spectra ratio curves. All samples were found to have an interface which traps positrons, with annealing increasing this trapping response, regardless of growth method. Thermal ALD creates an AlO x /SiO x /Si interface with positron trapping and annihilation occurring in the Si side of the SiO x /Si boundary. An induced positive charge in the Si next to the interface reduces diffusion into the oxides and increases annihilation in the Si. In this region there is a divacancy-type response (20 ± 2%) before annealing which is increased to 47 ± 2% after annealing. Sputtering seems to not produce samples with this same electrostatic shielding; instead, positron trapping occurs directly in the SiO x interface in the as-deposited sample, and the positron response to it increases after annealing as an SiO 2 layer is formed. Annealing the film has the effect of lowering the film oxygen response in all film types. Compared to other structural characterization techniques, VEPAS shows larger sensitivity to differences in film preparation method and between as-deposited and annealed samples.

  7. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    Science.gov (United States)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  8. STM studies of GeSi thin layers epitaxially grown on Si(111)

    Science.gov (United States)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  9. Bonding temperature dependence of GaInAsP/InP laser diode grown on hydrophilically directly bonded InP/Si substrate

    Science.gov (United States)

    Aikawa, Masaki; Onuki, Yuya; Hayasaka, Natsuki; Nishiyama, Tetsuo; Kamada, Naoki; Han, Xu; Kallarasan Periyanayagam, Gandhi; Uchida, Kazuki; Sugiyama, Hirokazu; Shimomura, Kazuhiko

    2018-02-01

    The bonding-temperature-dependent lasing characteristics of 1.5 a µm GaInAsP laser diode (LD) grown on a directly bonded InP/Si substrate were successfully obtained. We have fabricated the InP/Si substrate using a direct hydrophilic wafer bonding technique at bonding temperatures of 350, 400, and 450 °C, and deposited GaInAsP/InP double heterostructure layers on this InP/Si substrate. The surface conditions, X-ray diffraction (XRD) analysis, photoluminescence (PL) spectra, and electrical characteristics after the growth were compared at these bonding temperatures. No significant differences were confirmed in X-ray diffraction analysis and PL spectra at these bonding temperatures. We realized the room-temperature lasing of the GaInAsP LD on the InP/Si substrate bonded at 350 and 400 °C. The threshold current densities were 4.65 kA/cm2 at 350 °C and 4.38 kA/cm2 at 400 °C. The electrical resistance was found to increase with annealing temperature.

  10. Impact of the substrate misorientation and its preliminary etching on the structural and optical properties of integrated GaAs/Si MOCVD heterostructures

    Science.gov (United States)

    Seredin, P. V.; Lenshin, A. S.; Zolotukhin, D. S.; Arsentyev, I. N.; Zhabotinskiy, A. V.; Nikolaev, D. N.

    2018-03-01

    This is the first attempt to make a report regarding the control of the structural and optical functional characteristics of integrated GaAs/Si heterostructures owing to the employment of preliminary etched misoriented Si substrates. The epitaxial GaAs layer on silicon substrates with no formation of the antiphase domains can be grown using substrates deviating less than 4°-6° from the singular (100) plane or without the use of a transition layer of GaAs nano-stakes. Preliminary etching of the Si substrate made it easier to acquire an epitaxial GaAs film in a single-crystalline state with a significantly less relaxation factor MOCVD, which positively influences on the structural performance of the film. These data agree with the results of Infrared reflection spectroscopy as well as Photoluminescence and UV-Vis spectroscopy. The optical properties of the integrated GaAs/Si (100) heterostructures in the IR and UV spectral regions were also identified by means of the relaxation coefficients.

  11. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  12. Nanogranular Au films deposited on carbon covered Si substrates for enhanced optical reflectivity and Raman scattering

    International Nuclear Information System (INIS)

    Bhuvana, T; Kumar, G V Pavan; Narayana, Chandrabhas; Kulkarni, G U

    2007-01-01

    Electroless deposition of gold has been carried out on Si(100) surfaces precoated with laser ablated carbon layers of different thicknesses, and the resulting substrates have been characterized by a host of techniques. We first established the porous nature of the amorphous carbon layer by Raman and profilometric measurements. The Au uptake from the plating solution was optimal at a carbon layer thickness of 90 nm, where we observed nanogranules of ∼60-70 nm, well separated from each other in the carbon matrix (mean interparticle spacing ∼7 nm). We believe that the observed nanostructure is a result of Au 3+ electroless reduction on the Si surface through porous channels present in the amorphous carbon matrix. Importantly, this nanostructured substrate exhibited high reflectivity in the near IR region besides being effective as a substrate for surface enhanced Raman scattering (SERS) measurements with enhancement factors up to 10 7

  13. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  14. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si{sub 3}N{sub 4}/DLC substrate

    Energy Technology Data Exchange (ETDEWEB)

    Roman, W S; Riascos, H [Grupo Plasma, Laser y Aplicaciones, Universidad Tecnologica de Pereira (Colombia); Caicedo, J C [Grupo de PelIculas Delgadas, Universidad del Valle, Cali (Colombia); Ospina, R [Laboratorio de Plasma, Universidad Nacional de Colombia, sede Manizales (Colombia); Tirado-MejIa, L, E-mail: hriascos@utp.edu.c [Laboratorio de Optoelectronica, Universidad del Quindio (Colombia)

    2009-05-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si{sub 3}N{sub 4} substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm{sup -2}, 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm{sup -1} for B - N bonding and bands around 1700 cm{sup -1} associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), alpha-Si{sub 3}N{sub 4} (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si{sub 3}N{sub 4}/DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  15. Effect of boron-doping on the luminescent and electrical properties of a CdS/Si heterostructure based on Si nanoporous pillar array

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Ling Ling [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China); College of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China); Wang, Xiao Bo [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China); College of Physics and Electrical Engineering, Anyang Normal University, Anyang 455000 (China); Cai, Xiao Jun [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China); Li, Xin Jian, E-mail: lixj@zzu.edu.cn [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China)

    2015-05-25

    Highlights: • B-doped CdS/Si-NPA heterostructure was prepared by a CBD method. • B-doping does not affect the crystal structure and surface morphology of CdS/Si-NPA. • The optical/electrical properties of CdS/Si-NPA could be tuned by changing [B]/[Cd] ratio. • CdS/Si-NPA with optimal physical properties could be prepared with [B]/[Cd] = 0.01. • The method may find applications in preparing CdS/Si-NPA devices with high device performances. - Abstract: Using silicon nanoporous pillar array (Si-NPA) as substrates and boric acid as dopant source, a series of CdS/Si nanoheterostructures were prepared by growing B-doped CdS thin films on Si-NPA via a chemical bath deposition (CBD) method. The structural, optical and electrical properties of CdS/Si-NPA were studied as a function of the [B]/[Cd] ratio of the initial CBD solutions. Our results disclosed that B concentration could be tuned effectively through changing the ratio of [B]/[Cd], which would bring large variation on the optical and electrical properties of CdS/Si-NPA without affecting its crystal structure and surface morphology. The samples with optimal optical and electrical properties were prepared with [B]/[Cd] = 0.01, in which the physical properties of relatively strong light absorption, small electrical resistivity, low turn-on voltage, small leakage current density and high breakdown voltage could be obtained. These results indicated that B-doping might be an effective path for promoting the performance of the optoelectronic devices based on CdS/Si-NPA.

  16. CVD growth of (001) and (111)3C-SiC epilayers and their interface reactivity with praseodymium oxide dielectric layers

    International Nuclear Information System (INIS)

    Sohal, R.

    2006-01-01

    In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100 C and 1150 C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO 2 /SiC interface. Clean, graphite-free SiO 2 has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr 2 O 3 on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr 2 O 3 and SiC, and simultaneously provide higher band offsets. (orig.)

  17. CVD growth of (001) and (111)3C-SiC epilayers and their interface reactivity with pradeodymium oxide dielectric layers

    Energy Technology Data Exchange (ETDEWEB)

    Sohal, R.

    2006-07-24

    In this work, growth and characterisation of 3C-SiC thin films, investigation of oxidation of thus prepared layers and Pr-silicate and AlON based interface with SiC have been studied. Chemical vapor deposition of 3C-SiC thin films on Si(001) and Si(111) substrates has been investigated. Prior to the actual SiC growth, preparation of initial buffer layers of SiC was done. Using such a buffer layer, epitaxial growth of 3C-SiC has been achieved on Si(111) and Si(001) substrates. The temperature of 1100 C and 1150 C has been determined to be the optimal temperature for 3C-SiC growth on Si (111) and Si(001) substrates respectively. The oxidation studies on SiC revealed that a slow oxidation process at moderate temperatures in steps was useful in reducing and suppressing the g-C at the SiO{sub 2}/SiC interface. Clean, graphite-free SiO{sub 2} has been successfully grown on 3C-SiC by silicon evaporation and UHV anneal. For the application of high-k Pr{sub 2}O{sub 3} on silicon carbide, plausible interlayer, Pr-Silicate and AlON, have been investigated. Praseodymium silicate has been prepared successfully completely consuming the SiO2 and simultaneously suppressing the graphitic carbon formation. A comparatively more stable interlayer using AlON has been achieved. This interlayer mainly consists of stable phases of AlN along with some amount of Pr-aluminates and CN. Such layers act as a reaction barrier between Pr{sub 2}O{sub 3} and SiC, and simultaneously provide higher band offsets. (orig.)

  18. Propagation of misfit dislocations from buffer/Si interface into Si

    Science.gov (United States)

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  19. Structural and optical features of InGaAs quantum dots grown on Si(001) substrates

    CERN Document Server

    Vdovin, V I; Rzaev, M M; Burbaev, T M

    2002-01-01

    A multilayer GaAs/SiGe/Si heterostructure with InGaAs quantum dots (QDs) embedded in a GaAs layer was grown by molecular beam epitaxy (MBE) on a Si(001) substrate. A step-graded Si sub 1 sub - sub x Ge sub x (0 <= x <= 1) buffer layer and a GaAs layer with In sub y Ga sub 1 sub sub - sub y As (y approx 0.5) QDs were deposited consecutively in two different MBE systems. The heterostructure exhibits intense photoluminescence in the region of 1.3 mu m at room temperature. Perfect crystal InGaAs islands with height less than 10 nm are the sources of this radiation.

  20. Crack-free AlGaN-based UV LED on Si(111) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saengkaew, P.; Dadgar, A.; Blaesing, J.; Witte, H.; Mueller, M.; Guenther, K.M.; Fey, T.; Bastek, B.; Bertram, F.; Kurnatowski, M. von; Wieneke, M.; Hempel, T.; Veit, P.; Clos, R.; Christen, J.; Krost, A. [FNW/IEP/AHE Otto-von-Guericke-Universitaet Magdeburg (Germany)

    2010-07-01

    To achieve low-cost UV LEDs on large-diameter substrates it is a very interesting approach to grow AlGaN on low-cost Si substrates. Here, AlGaN layers and AlGaN LED structures grown on Si(111) were additionally monitored by in-situ curvature measurements. They show that with the insertion of AlN-based SL buffer layers and LT-AlN interlayers, the AlGaN layers are under compressive stress during growth enabling to compensate tensile stress after cooling. To characterize the crystalline quality, HR-XRD measurements were performed. Cross-sectional TEM to investigate dislocation propagation and annihilation. n- and p- conductivities were achieved by Si and Mg doping of the layers, respectively. By C-V and Hall-effect measurements, the maximum free-electron concentration of 2.6{sup +18} cm{sup -3} and free-hole concentration of 2.4{sup +17} cm{sup -3} by using a structure of Mg-doped GaN/Al{sub 0.1}Ga{sub 0.9}N multilayers for the latter were determined. A GaN/Al{sub 0.1}Ga{sub 0.9}N MQW structure showed near UV-luminescence around 350-360 nm. The optical and electrical properties of AlGaN-based LED samples were further characterized by I-V, EL, PL and CL measurements. The I-V measurements show forward-diode characteristics with turn-on voltage about 2.6-3.1 V.

  1. Magneto-transport properties of oriented Mn{sub 2}CoAl films sputtered on thermally oxidized Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Xu, G. Z.; Du, Y.; Zhang, X. M.; Liu, E. K.; Wang, W. H., E-mail: wenhong.wang@iphy.ac.cn; Wu, G. H. [State Key Laboratory for Magnetism, Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Zhang, H. G. [College of Materials Science and Engineering, Beijing University of Technology, Beijing 100124 (China)

    2014-06-16

    Spin gapless semiconductors are interesting family of materials by embracing both magnetism and semiconducting due to their unique band structure. Its potential application in future spintronics requires realization in thin film form. In this Letter, we report fabrication and transport properties of spin gapless Mn{sub 2}CoAl films prepared on thermally oxidized Si substrates by magnetron sputtering deposition. The films deposited at 673 K are well oriented to (001) direction and display a uniform-crystalline surface. Magnetotransport measurements on the oriented films reveal a semiconducting-like resistivity, small anomalous Hall conductivity, and linear magnetoresistance representative of the transport signatures of spin gapless semiconductors. The magnetic properties of the films have also been investigated and compared to that of bulk Mn{sub 2}CoAl, showing small discrepancy induced by the composition deviation.

  2. SiC-BASED HYDROGEN SELECTIVE MEMBRANES FOR WATER-GAS-SHIFT REACTION; F

    International Nuclear Information System (INIS)

    Paul K.T. Liu

    2001-01-01

    This technical report summarizes our activities conducted in Yr II. In Yr I we successfully demonstrated the feasibility of preparing the hydrogen selective SiC membrane with a chemical vapor deposition (CVD) technique. In addition, a SiC macroporous membrane was fabricated as a substrate candidate for the proposed SiC membrane. In Yr II we have focused on the development of a microporous SiC membrane as an intermediate layer between the substrate and the final membrane layer prepared from CVD. Powders and supported thin silicon carbide films (membranes) were prepared by a sol-gel technique using silica sol precursors as the source of silicon, and phenolic resin as the source of carbon. The powders and films were prepared by the carbothermal reduction reaction between the silica and the carbon source. The XRD analysis indicates that the powders and films consist of SiC, while the surface area measurement indicates that they contain micropores. SEM and AFM studies of the same films also validate this observation. The powders and membranes were also stable under different corrosive and harsh environments. The effects of these different treatments on the internal surface area, pore size distribution, and transport properties, were studied for both the powders and the membranes using the aforementioned techniques and XPS. Finally the SiC membrane materials are shown to have satisfactory hydrothermal stability for the proposed application. In Yr III, we will focus on the demonstration of the potential benefit using the SiC membrane developed from Yr I and II for the water-gas-shift (WGS) reaction

  3. Heteroepitaxial Growth of Ferromagnetic MnSb(0001) Films on Ge/Si(111) Virtual Substrates.

    Science.gov (United States)

    Burrows, Christopher W; Dobbie, Andrew; Myronov, Maksym; Hase, Thomas P A; Wilkins, Stuart B; Walker, Marc; Mudd, James J; Maskery, Ian; Lees, Martin R; McConville, Christopher F; Leadley, David R; Bell, Gavin R

    2013-11-06

    Molecular beam epitaxial growth of ferromagnetic MnSb(0001) has been achieved on high quality, fully relaxed Ge(111)/Si(111) virtual substrates grown by reduced pressure chemical vapor deposition. The epilayers were characterized using reflection high energy electron diffraction, synchrotron hard X-ray diffraction, X-ray photoemission spectroscopy, and magnetometry. The surface reconstructions, magnetic properties, crystalline quality, and strain relaxation behavior of the MnSb films are similar to those of MnSb grown on GaAs(111). In contrast to GaAs substrates, segregation of substrate atoms through the MnSb film does not occur, and alternative polymorphs of MnSb are absent.

  4. Effect of Nanosilica Filled Polyurethane Composite Coating on Polypropylene Substrate

    Directory of Open Access Journals (Sweden)

    Yern Chee Ching

    2013-01-01

    Full Text Available Acrylic based polyurethane (PU coatings with various amounts of nanosilica contents were prepared using solution casting method. The nanosilica (SiO2 particles used are around 16 nm in diameter. The friction and wear test was conducted using the reciprocating wear testing machine. The tests were performed at rotary speed of 100 rpm and 200 rpm with load of 0.1 kg to 0.4 kg under 1 N interval. The effect of the PU/nano-SiO2 composite coating on friction and wear behavior of polypropylene substrate was investigated and compared. The worn surface of coating film layer after testing was investigated by using an optical microscope. The introduction of PU/nanosilica composite coating containing 3 wt% of nano-SiO2 content gives the lowest friction coefficient and wear rate to PP substrate. Both the friction and wear rate of PP substrate coated with >3 wt% of nano-SiO2 filled PU coating would increase with the increasing of applied load and sliding time.

  5. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO2/Si substrates

    International Nuclear Information System (INIS)

    Valladares, L. de los Santos; Dominguez, A. Bustamante; Llandro, J.; Holmes, S.; Quispe, O. Avalos; Langford, R.; Aguiar, J. Albino; Barnes, C.H.W.

    2014-01-01

    Highlights: • Annealing promotes outgassing of SiO 2 /Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO 2 islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO 2 /Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10 8 , respectively. Over an area of 22 × 10 −3 cm 2 the density of bubbles obtained at slow annealing (9 × 10 3 cm −2 ) is smaller than that at rapid annealing (6.4 × 10 4 cm −2 ), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as 900 °C, leads to surface migration of the

  6. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  7. Effect of 3C-SiC intermediate layer in GaN—based light emitting diodes grown on Si(111) substrate

    Science.gov (United States)

    Zhu, Youhua; Wang, Meiyu; Li, Yi; Tan, Shuxin; Deng, Honghai; Guo, Xinglong; Yin, Haihong; Egawa, Takashi

    2017-03-01

    GaN-based light emitting diodes (LEDs) have been grown by metalorganic chemical vapor deposition on Si(111) substrate with and without 3C-SiC intermediate layer (IL). Structural property has been characterized by means of atomic force microscope, X-ray diffraction, and transmission electron microscope measurements. It has been revealed that a significant improvement in crystalline quality of GaN and superlattice epitaxial layers can be achieved by using 3C-SiC as IL. Regarding of electrical and optical characteristics, it is clearly observed that the LEDs with its IL have a smaller leakage current and higher light output power comparing with the LEDs without IL. The better performance of LEDs using 3C-SiC IL can be contributed to both of the improvements in epitaxial layers quality and light extraction efficiency. As a consequence, in terms of optical property, a double enhancement of the light output power and external quantum efficiency has been realized.

  8. Generation of substrate-free III–V nanodisks from user-defined multilayer nanopillar arrays for integration on Si

    International Nuclear Information System (INIS)

    Naureen, S; Shahid, N; Dev, A; Anand, S

    2013-01-01

    High material quality InP-based multilayer nanopillar (NP) arrays are fabricated using a combination of self-assembly of silica particles for mask generation and dry etching. In particular, the NP arrays are made from user-defined epitaxial multilayer stacks with specific materials and layer thicknesses. An additional degree of flexibility in the structures is obtained by changing the lateral diameters of the NP multilayer stacks. Pre-defined NP arrays made from InGaAsP/InP and InGaAs/InP NPs are then used to generate substrate-free nanodisks of a chosen material from the stack by selective etching. A soft-stamping method is demonstrated to transfer the generated nanodisks with arbitrary densities onto Si. The transferred nanodisks retain their smooth surface morphologies and their designed geometrical dimensions. Both InP and InGaAsP nanodisks display excellent photoluminescence properties, with line-widths comparable to unprocessed reference epitaxial layers of similar composition. The multilayer NP arrays are potentially attractive for broad-band absorption in third-generation solar cells. The high optical quality, substrate-free InP and InGaAsP nanodisks on Si offer a new path to explore alternative ways to integrate III–V on Si by bonding nanodisks to Si. The method also has the advantage of re-usable III–V substrates for subsequent layer growth. (paper)

  9. Ag-NP@Ge-nanotaper/Si-micropillar ordered arrays as ultrasensitive and uniform surface enhanced Raman scattering substrates.

    Science.gov (United States)

    Liu, Jing; Meng, Guowen; Li, Zhongbo; Huang, Zhulin; Li, Xiangdong

    2015-11-21

    Surface-enhanced Raman scattering (SERS) is considered to be an excellent candidate for analytical detection schemes, because of its molecular specificity, rapid response and high sensitivity. Here, SERS-substrates of Ag-nanoparticle (Ag-NP) decorated Ge-nanotapers grafted on hexagonally ordered Si-micropillar (denoted as Ag-NP@Ge-nanotaper/Si-micropillar) arrays are fabricated via a combinatorial process of two-step etching to achieve hexagonal Si-micropillar arrays, chemical vapor deposition of flocky Ge-nanotapers on each Si-micropillar and decoration of Ag-NPs onto the Ge-nanotapers through galvanic displacement. With high density three-dimensional (3D) "hot spots" created from the large quantities of the neighboring Ag-NPs and large-scale uniform morphology, the hierarchical Ag-NP@Ge-nanotaper/Si-micropillar arrays exhibit strong and reproducible SERS activity. Using our hierarchical 3D SERS-substrates, both methyl parathion (a commonly used pesticide) and PCB-2 (one congener of highly toxic polychlorinated biphenyls) with concentrations down to 10(-7) M and 10(-5) M have been detected respectively, showing great potential in SERS-based rapid trace-level detection of toxic organic pollutants in the environment.

  10. On the anomalies in gold nanoparticles prepared by micelle nanolithography and their impact on one-dimensional material synthesis. Role of substrate, size effects and impurity

    Energy Technology Data Exchange (ETDEWEB)

    Mbenkum, B.N.

    2007-07-23

    The synthesis of one-dimensional (1-D) inorganic semiconductor materials such as nanotubes and silicon (Si) nanowires is usually achieved by catalyst nanoparticlemediated synthetic routes. Despite the well-established nature of this technique, problems such as low temperature synthesis and adequate control of catalyst nanoparticle diameter in order to control 1-D material diameter still prevail. Additionally, the expansion of this technology from crystalline to cheaper substrates such as glass remains demanding. This work employs a previously established selfassembly route to produce controlled spatial distribution of substrate anchored small diameter gold nanoparticles with controlled size. This enabled successful synthesis of Si 1-D structures with controlled diameters less than 20 nm. Low temperature synthesis due to enhanced catalytic activity was achieved via introduction of impurity by treatment of gold nanoparticles in different plasma environments. This enabled Si 1-D structure growth on Si, SiO{sub x}/Si and borosilicate glass substrates at 320 C. Substrate-induced stress affected Si diffusion at the gold nanoparticle determining whether Si nanowires or nanotubes were grown. These results are of technological relevance because low temperature synthesis provides an economical approach and controlled diameter enhances material functionality. Additionally, exploiting substrate-induced stress to influence Si diffusion in nanoparticles provides an alternate route to tuning Si 1-D structure. (orig.)

  11. On the compliant behaviour of free-standing Si nanostructures on Si(001) for Ge nanoheteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kozlowski, Grzegorz

    2012-04-24

    phenomenon was observed. In clear contradiction to the present NHE theory, no strain partitioning phenomenon was found even for {approx}50 nm wide Si pillars for which the compliant substrate effects are expected. The absence of the strain partitioning between Ge and Si is caused by the stress field exerted by the SiO{sub 2} growth mask on the Si nanopillar. In contrast to such nanostructures monolithically prepared from a Si(001) wafer, first results in this thesis clearly prove the strain partitioning phenomenon within Ge/Si nanostructures on Silicon-on-insulator substrate. Here, the compliant substrate effects were clearly observed for pillar widths even bigger than 50 nm. This experimental work demonstrates, that NHE with its compliant substrate effects, offers an interesting approach for high quality Ge nanostructures on Si, avoiding even the misfit dislocation network with its non-tolerable electrical activity in Ge nanodevices. However, the theory does not yet include important aspects of thin film growth on the nano-scale and must be further developed. It is the aim of this PhD thesis to provide this experimental basis for the Ge/Si heterosystem. Finally, it is noted that here developed growth approach is fully Si CMOS compatible and is not only relevant for Ge integration but also for other lattice mismatched alternative semiconductors (GaAs etc.) to enable higher performance / new functions in future Si microelectronics technologies.

  12. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10{sup 7}/cm{sup 2} in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H{sub 2}O{sub 2} and H{sub 2}SO{sub 4}. Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10{sup 11}/cm{sup 2}. Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO{sub 2} in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10{sup 7}/cm{sup 2}. Additionally, coalescence results in films of 3 {mu}m thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H{sub 2}O{sub 2} results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO{sub 2} interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the

  13. Microstructure and mechanical properties of Al–1Mn and Al–10Si alloy circular clad ingot prepared by direct chill casting

    International Nuclear Information System (INIS)

    Fu, Ying; Jie, Jinchuan; Wu, Li; Park, Joonpyo; Sun, Jianbo; Kim, Jongho; Li, Tingju

    2013-01-01

    An innovative direct chill casting process to prepare Al–10 wt%Si and Al–1 wt%Mn alloy circular clad ingots has been developed in the present study. The experimental casting parameters were determined by theoretical analysis, numerical simulation and experimental processes. The interface of clad ingots was investigated by methods of metallographic examination, electron probe microanalysis (EPMA) and transmission electron microscopy (TEM). The results showed that excellent metallurgical bonding of two different aluminum alloys could be achieved by direct chill casting. The Al–1Mn alloy which was poured into the mold earlier served as the substrate for heterogeneous nucleation of Al–10Si alloy. Because of diffusion of Si and Mn elements, a diffusion layer with a thickness of about 40 μm on average between the Al–10Si and Al–1Mn alloys could be obtained. The tensile strength of the clad ingot was 106.8 MPa and the fractured position was located in the Al–1Mn alloy side, indicating the strength of the interfacial region is higher than that of Al–1Mn alloy.

  14. Influence of substrate on structural, morphological and optical properties of TiO2 thin films deposited by reaction magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Xinghua Zhu

    2017-12-01

    Full Text Available Titanium dioxide (TiO2 films have been prepared by DC reaction magnetron sputtering technique on different substrates (glass, SiO2, platinum electrode-Pt, Silicon-Si. X-ray diffraction (XRD patterns showed that all TiO2 films were grown along the preferred orientation of (110 plane. Samples on Si and Pt substrates are almost monophasic rutile, however, samples on glass and SiO2 substrates accompanied by a weak anatase structure. Atomic force microscopy (AFM images revealed uniform grain distribution except for films on Pt substrates. Photoluminescence (PL spectra showed obvious intrinsic emission band, but films on glass was accompanied by a distinct defect luminescence region. Raman spectroscopy suggested that all samples moved to high wavenumbers and films on glass moved obviously.

  15. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  16. Enhanced photoluminescence of multilayer Ge quantum dots on Si(001) substrates by increased overgrowth temperature.

    Science.gov (United States)

    Liu, Zhi; Cheng, Buwen; Hu, Weixuan; Su, Shaojian; Li, Chuanbo; Wang, Qiming

    2012-07-11

    Four-bilayer Ge quantum dots (QDs) with Si spacers were grown on Si(001) substrates by ultrahigh vacuum chemical vapor deposition. In three samples, all Ge QDs were grown at 520 °C, while Si spacers were grown at various temperatures (520 °C, 550 °C, and 580 °C). Enhancement and redshift of room temperature photoluminescence (PL) were observed from the samples in which Si spacers were grown at a higher temperature. The enhancement of PL is explained by higher effective electrons capturing in the larger size Ge QDs. Quantum confinement of the Ge QDs is responsible for the redshift of PL spectra. The Ge QDs' size and content were investigated by atomic force microscopy and Raman scattering measurements.

  17. Preparation and oxidation protection of CVD SiC/a-BC/SiC coatings for 3D C/SiC composites

    International Nuclear Information System (INIS)

    Liu Yongsheng; Zhang Litong; Cheng Laifei; Yang Wenbin; Zhang Weihua; Xu Yongdong

    2009-01-01

    An amorphous boron carbide (a-BC) coating was prepared by LPCVD process from BCl 3 -CH 4 -H 2 -Ar system. XPS result showed that the boron concentration was 15.0 at.%, and carbon was 82.0 at.%. One third of boron was distributed to a bonding with carbon and 37.0 at.% was dissolved in graphite lattice. A multiple-layered structure of CVD SiC/a-BC/SiC was coated on 3D C/SiC composites. Oxidation tests were conducted at 700, 1000, and 1200 deg. C in 14 vol.% H 2 O/8 vol.% O 2 /78 vol.% Ar atmosphere up to 100 h. The 3D C/SiC composites with the modified coating system had a good oxidation resistance. This resulted in the high strength retained ratio of the composites even after the oxidation.

  18. Electron-spin-resonance study of radiation-induced paramagnetic defects in oxides grown on (100) silicon substrates

    International Nuclear Information System (INIS)

    Kim, Y.Y.; Lenahan, P.M.

    1988-01-01

    We have used electron-spin resonance to investigate radiation-induced point defects in Si/SiO 2 structures with (100) silicon substrates. We find that the radiation-induced point defects are quite similar to defects generated in Si/SiO 2 structures grown on (111) silicon substrates. In both cases, an oxygen-deficient silicon center, the E' defect, appears to be responsible for trapped positive charge. In both cases trivalent silicon (P/sub b/ centers) defects are primarily responsible for radiation-induced interface states. In earlier electron-spin-resonance studies of unirradiated (100) substrate capacitors two types of P/sub b/ centers were observed; in oxides prepared in three different ways only one of these centers, the P/sub b/ 0 defect, is generated in large numbers by ionizing radiation

  19. Interfacial interactions between calcined hydroxyapatite nanocrystals and substrates.

    Science.gov (United States)

    Okada, Masahiro; Furukawa, Keiko; Serizawa, Takeshi; Yanagisawa, Yoshihiko; Tanaka, Hidekazu; Kawai, Tomoji; Furuzono, Tsutomu

    2009-06-02

    Interfacial interactions between calcined hydroxyapatite (HAp) nanocrystals and surface-modified substrates were investigated by measuring adsorption behavior and adhesion strength with a quartz crystal microbalance (QCM) and a contact-mode atomic force microscope (AFM), respectively. The goal was to develop better control of HAp-nanocrystal coatings on biomedical materials. HAp nanocrystals with rodlike or spherical morphology were prepared by a wet chemical process followed by calcination at 800 degrees C with an antisintering agent to prevent the formation of sintered polycrystals. The substrate surface was modified by chemical reaction with a low-molecular-weight compound, or graft polymerization with a functional monomer. QCM measurement showed that the rodlike HAp nanocrystals adsorbed preferentially onto anionic COOH-modified substrates compared to cationic NH2- or hydrophobic CH3-modified substrates. On the other hand, the spherical nanocrystals adsorbed onto NH2- and COOH-modified substrates, which indicates that the surface properties of the HAp nanocrystals determined their adsorption behavior. The adhesion strength, which was estimated from the force required to move the nanocrystal in contact-mode AFM, on a COOH-grafted substrate prepared by graft polymerization was almost 9 times larger than that on a COOH-modified substrate prepared by chemical reaction with a low-molecular-weight compound, indicating that the long-chain polymer grafted on the substrate mitigated the surface roughness mismatch between the nanocrystal and the substrate. The adhesion strength of the nanocrystal bonded covalently by the coupling reaction to a Si(OCH3)-grafted substrate prepared by graft polymerization was approximately 1.5 times larger than that when adsorbed on the COOH-grafted substrate.

  20. Microstructure and properties of TiAlSiN coatings prepared by hybrid PVD technology

    International Nuclear Information System (INIS)

    Yu Donghai; Wang Chengyong; Cheng Xiaoling; Zhang Fenglin

    2009-01-01

    TiAlSiN coatings with different Si content were prepared by hollow cathode discharge (HCD) and mid-frequency magnetron sputtering (MFMS) hybrid coating deposition technology. The chemical composition, microstructure, mechanical properties of these coatings were systematically investigated by means of energy dispersive spectrometry (EDS), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), nanoindentation measurement, scratch and high speed milling hardened steel tests. The coatings prepared by this method showed the structure of crystalline phase was corresponding to that of TiAlN, however, different preferred orientation with addition of Si. Proper content of Si into TiAlN led to increase of microhardness and adhesion. TiAlSiN coated end mill with Si content of 4.78 at.% had the least flank wear, which was improved about 20% milling distance than TiAlN coated end mill.

  1. Microstructure and properties of TiAlSiN coatings prepared by hybrid PVD technology

    Energy Technology Data Exchange (ETDEWEB)

    Yu Donghai [Faculty of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Wang Chengyong, E-mail: cywang@gdut.edu.c [Faculty of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Cheng Xiaoling; Zhang Fenglin [Faculty of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China)

    2009-07-01

    TiAlSiN coatings with different Si content were prepared by hollow cathode discharge (HCD) and mid-frequency magnetron sputtering (MFMS) hybrid coating deposition technology. The chemical composition, microstructure, mechanical properties of these coatings were systematically investigated by means of energy dispersive spectrometry (EDS), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), nanoindentation measurement, scratch and high speed milling hardened steel tests. The coatings prepared by this method showed the structure of crystalline phase was corresponding to that of TiAlN, however, different preferred orientation with addition of Si. Proper content of Si into TiAlN led to increase of microhardness and adhesion. TiAlSiN coated end mill with Si content of 4.78 at.% had the least flank wear, which was improved about 20% milling distance than TiAlN coated end mill.

  2. Influence of Substrate Biasing on (Ba,Sr)TiO3 Films Prepared by Electron Cyclotron Resonance Plasma Sputtering

    Science.gov (United States)

    Matsumoto, Takeshi; Niino, Atsushi; Ohtsu, Yasunori; Misawa, Tatsuya; Yonesu, Akira; Fujita, Hiroharu; Miyake, Shoji

    2004-03-01

    (Ba,Sr)TiO3 (BST) films were deposited by electron cyclotron resonance (ECR) plasma sputtering with mirror confinement. DC bias voltage was applied to Pt/Ti/SiO2/Si substrates during deposition to vary the intensity of bombardment of energetic ions and to modify film properties. BST films deposited on the substrates at floating potential (approximately +20 V) were found to be amorphous, while films deposited on +40 V-biased substrates were crystalline in spite of a low substrate temperature below 648 K. In addition, atomic diffusion, which causes deterioration in the electrical properties of the films, was hardly observed in the crystallized films deposited with +40 V bias perhaps due to the low substrate temperature. Plasma diagnoses revealed that application of a positive bias to the substrate reduced the energy of ion bombardment and increased the density of excited neutral particles, which was assumed to result in the promotion of chemical reactions during deposition and the crystallization of BST films at a low temperature.

  3. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Preparation and study of IrO2/SiC–Si supported anode catalyst for high temperature PEM steam electrolysers

    DEFF Research Database (Denmark)

    Nikiforov, Aleksey; Tomás García, Antonio Luis; Petrushina, Irina

    2011-01-01

    A novel catalyst material for oxygen evolution electrodes was prepared and characterised by different techniques. IrO2 supported on a SiC–Si composite was synthesised by the Adams fusion method. XRD and nitrogen adsorption experiments showed an influence of the support on the surface properties o...

  5. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Meduňa, M.; Salvalaglio, M.; Miglio, L.; Isa, F.; Barthazy Meier, E.; Müller, E.; Isella, G.

    2016-01-01

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces. The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images

  6. Structural and optical characteristics of in-situ sputtered highly oriented 15R-SiC thin films on different substrates

    Science.gov (United States)

    Mourya, Satyendra; Jaiswal, Jyoti; Malik, Gaurav; Kumar, Brijesh; Chandra, Ramesh

    2018-01-01

    In this work, we have reported the in-situ fabrication of nanocrystalline rhombohedral silicon carbide (15R-SiC) thin films by RF-magnetron sputtering at 800 °C substrate temperature. The structural and optical properties were investigated for the films grown on four different substrates (ZrO2, MgO, SiC, and Si). The contact angle measurement was performed on all the substrates to investigate the role of interfacial surface energy in nucleation and growth of the films. The XRD measurement revealed the growth of (1 0 10) orientation for all the samples and demonstrated better crystallinity on Si substrate, which was further corroborated by the TEM results. The Raman spectroscopy confirmed the growth of rhombohedral phase with 15R polytype. Surface characteristics of the films have been investigated by energy dispersive x-ray spectroscopy, FTIR, and atomic force microscope (AFM) to account for chemical composition, bonding, and root mean square surface roughness (δrms). The optical dispersion behavior of 15R-SiC thin films was examined by variable angle spectroscopic ellipsometry in the wide spectral range (246-1688 nm), including the surface characteristics in the optical model. The non-linear optical parameters (χ3 and n2) of the samples have been calculated by the Tichy and Ticha relation using a single effective oscillator model of Wemple and Didomenico. Additionally, our optical results provided an alternative way to measure the ratio of carrier concentration to the effective mass (N/m*). These investigated optical parameters allow one to design and fabricate optoelectronic, photonic, and telecommunication devices for deployment in extreme environment.

  7. Stress-directed compositional patterning of SiGe substrates for lateral quantum barrier manipulation

    International Nuclear Information System (INIS)

    Ghosh, Swapnadip; Kaiser, Daniel; Sinno, Talid; Bonilla, Jose; Han, Sang M.

    2015-01-01

    While vertical stacking of quantum well and dot structures is well established in heteroepitaxial semiconductor materials, manipulation of quantum barriers in the lateral directions poses a significant engineering challenge. Here, we demonstrate lateral quantum barrier manipulation in a crystalline SiGe alloy using structured mechanical fields to drive compositional redistribution. To apply stress, we make use of a nano-indenter array that is pressed against a Si 0.8 Ge 0.2 wafer in a custom-made mechanical press. The entire assembly is then annealed at high temperatures, during which the larger Ge atoms are selectively driven away from areas of compressive stress. Compositional analysis of the SiGe substrates reveals that this approach leads to a transfer of the indenter array pattern to the near-surface elemental composition, resulting in near 100% Si regions underneath each indenter that are separated from each other by the surrounding Si 0.8 Ge 0.2 bulk. The “stress transfer” process is studied in detail using multiscale computer simulations that demonstrate its robustness across a wide range of applied stresses and annealing temperatures. While the “Si nanodot” structures formed here are not intrinsically useful as quantum structures, it is anticipated that the stress transfer process may be modified by judicious control of the SiGe film thickness and indenter array pattern to form more technologically useful structures

  8. Co2FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    International Nuclear Information System (INIS)

    Belmeguenai, M.; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P.; Gabor, M. S.; Petrisor, T.; Tiusan, C.

    2014-01-01

    10 nm and 50 nm Co 2 FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T a ), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T a , while the uniaxial anisotropy field is nearly unaffected by T a within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T a . Finally, the FMR linewidth decreases when increasing T a , due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10 −3 and 1.3×10 −3 for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  9. Development of technology for thin substrate polycrystalline solar cells for practical use. Development of manufacturing technologies for low-cost substrates (low-cost Si sheets by continuous casting method); Usugata takessho taiyo denchi seizo gijutsu no jitsuyoka kenkyu. Tei cost kiban seizo gijutsu kaihatsu (renzoku cast ho ni yoru tei cost Si kiban seizo gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    Tatsuta, M [New Energy and Industrial Technology Development Organization, Tokyo (Japan)

    1994-12-01

    This paper reports the study results on manufacturing of low-cost Si substrates by continuous casting method in fiscal 1994. (1) On manufacturing of ingots of 16 piece size, the ingot of nearly 170kg was manufactured by batch process using the Si melt injection unit prepared in last year. (2) On oxygen and carbon contents in wafers, the contents were measured by FT-IR after slicing of the ingot. As a result, the oxygen and carbon contents could be successfully reduced to the targets of 10ppma and 5ppma or less, respectively. (3) The resistivity distribution of the ingot ranged over the target of 1-2ohm-cm. (4) Cells of 100 {times} 100mm{sup 2} wide and 350{mu}m thick were verified by in-house evaluation process. Although lower cell conversion efficiency was found at the center top of the ingot, a vertical efficiency stability was nearly sufficient as a whole. (5) On the crystal growth unit prepared in fiscal 1994, any problems were not found on automatic driving and vibration during moving. 8 figs.

  10. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  11. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, H. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany)], E-mail: angermann@hmi.de; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany); Huebener, K.; Hauschild, J. [Freie Universitaet Berlin, FB Physik, Arnimallee 14, 14195 Berlin (Germany)

    2008-08-30

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D{sub it}(E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency.

  12. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    International Nuclear Information System (INIS)

    Angermann, H.; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M.; Huebener, K.; Hauschild, J.

    2008-01-01

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D it (E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency

  13. Characterization of the porous anodic alumina nanostructures with a metal interlayer on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Chia-Hui; Chen, Hung-Ing; Hsiao, Jui-Ju; Wang, Jen-Cheng; Nee, Tzer-En, E-mail: neete@mail.cgu.edu.tw

    2014-04-15

    Porous anodic alumina (PAA) films produced by the anodization technique have made possible the mass production of porous nano-scale structures where the pore height and diameter are controllable. A metal interlayer is observed to have a significant influence on the characteristics of these PAA nanostructures. In this study, we investigate in-depth the effect of the current density on the properties of porous anodic alumina nanostructures with a metal interlayer. A thin film layer of tungsten (W) and titanium (Ti) was sandwiched between a porous anodic alumina film and a silicon (Si) substrate to form PAA/W/Si and PAA/Ti/Si structures. The material and optical characteristics of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates were studied using the scanning electron microscopy, X-ray diffraction (XRD), and temperature-dependent photoluminescence (PL) measurements. The current densities of the porous anodic alumina nanostructures with the metal interlayer are higher than for the PAA/Si, resulting in an increase of the growth rate of the oxide layer. It can be observed from the X-ray diffraction curves that there is more aluminum oxide inside the structure with the metal interlayer. Furthermore, it has been found that there is a reduction in the photoluminescence intensity of the oxygen vacancy with only one electron due to the formation of oxygen vacancies inside the aluminum oxide during the re-crystallization process. This leads to competition between the two kinds of different oxygen-deficient defect centers (F+ and F centers) in the carrier recombination mechanism from the PL spectra of the porous anodic alumina nanostructures, with and without a metal interlayer, on silicon substrates. -- Highlights: • Study of porous anodic alumina (PAA) films with metal interlayers on silicon. • The highly ordered PAA film with a fairly regular nano-porous structure. • The luminescence properties of PAA films were

  14. Raman Spectroscopic Study of As-Deposited and Exfoliated Defected Graphene Grown on (001 Si Substrates by CVD

    Directory of Open Access Journals (Sweden)

    T. I. Milenov

    2017-01-01

    Full Text Available We present here results on a Raman spectroscopic study of the deposited defected graphene on Si substrates by chemical vapor deposition (thermal decomposition of acetone. The graphene films are not deposited on the (001 Si substrate directly but on two types of interlayers of mixed phases unintentionally deposited on the substrates: а diamond-like carbon (designated here as DLC and amorphous carbon (designated here as αC are dominated ones. The performed thorough Raman spectroscopic study of as-deposited as well as exfoliated specimens by two different techniques using different excitation wavelengths (488, 514, and 613 nm as well as polarized Raman spectroscopy establishes that the composition of the designated DLC layers varies with depth: the initial layers on the Si substrate consist of DLC, nanodiamond species, and C70 fullerenes while the upper ones are dominated by DLC with an occasional presence of C70 fullerenes. The αC interlayer is dominated by turbostratic graphite and contains a larger quantity of C70 than the DLC-designated interlayers. The results of polarized and unpolarized Raman spectroscopic studies of as-grown and exfoliated graphene films tend to assume that single- to three-layered defected graphene is deposited on the interlayers. It can be concluded that the observed slight upshift of the 2D band as well as the broadening of 2D band should be related to the strain and doping.

  15. Novel Cu@SiO2/bacterial cellulose nanofibers: Preparation and excellent performance in antibacterial activity

    International Nuclear Information System (INIS)

    Ma, Bo; Huang, Yang; Zhu, Chunlin; Chen, Chuntao; Chen, Xiao; Fan, Mengmeng; Sun, Dongping

    2016-01-01

    The antibacterial composite based on bacterial cellulose (BC) was successfully prepared by in-situ synthesis of SiO 2 coated Cu nanoparticles (Cu@SiO 2 /BC) and its properties were characterized. Its chemical structures and morphologies were evaluated by Fourier transformation infrared spectrum (FTIR), scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS). The results demonstrated that the SiO 2 coated Cu particles were well homogeneously precipitated on the surface of BC. The Cu@SiO 2 /BC was more resistant to oxidation than the Cu nanoparticles impregnated into BC (Cu/BC) and then Cu@SiO 2 /BC could prolong the antimicrobial activity against Staphylococcus aureus (S. aureus) and Escherichia coli (E. coli). - Graphical abstract: Schematic illustration of the preparation of Cu@SiO 2 /BC. Due to its unique structure, the Cu@SiO 2 /BC membrane shows excellent antibacterial effects and can be used for a long time. - Highlights: • This work paves the novel way to fabricate antibacterial nanomaterial with good efficiency. • We prepare the antibacterial membrane based on bacterial cellulose by in-situ synthesis of SiO 2 -coated Cu nanoparticles. • The antibacterial membrane is more resistant to oxidation and can prolong the antimicrobial activity.

  16. Thermal and Microstructure Characterization of Zn-Al-Si Alloys and Chemical Reaction with Cu Substrate During Spreading

    Science.gov (United States)

    Berent, Katarzyna; Pstruś, Janusz; Gancarz, Tomasz

    2016-08-01

    The problems associated with the corrosion of aluminum connections, the low mechanical properties of Al/Cu connections, and the introduction of EU directives have forced the potential of new materials to be investigated. Alloys based on eutectic Zn-Al are proposed, because they have a higher melting temperature (381 °C), good corrosion resistance, and high mechanical strength. The Zn-Al-Si cast alloys were characterized using differential scanning calorimetry (DSC) measurements, which were performed to determine the melting temperatures of the alloys. Thermal linear expansion and electrical resistivity measurements were performed at temperature ranges of -50 to 250 °C and 25 to 300 °C, respectively. The addition of Si to eutectic Zn-Al alloys not only limits the growth of phases at the interface of liquid solder and Cu substrate but also raises the mechanical properties of the solder. Spreading test on Cu substrate using eutectic Zn-Al alloys with 0.5, 1.0, 3.0, and 5.0 wt.% of Si was studied using the sessile drop method in the presence of QJ201 flux. Spreading tests were performed with contact times of 1, 8, 15, 30, and 60 min, and at temperatures of 475, 500, 525, and 550 °C. After cleaning the flux residue from solidified samples, the spreadability of Zn-Al-Si on Cu was determined. Selected, solidified solder/substrate couples were cross-sectioned, and the interfacial microstructures were studied using scanning electron microscopy and energy dispersive x-ray spectroscopy. The growth of the intermetallic phase layer was studied at the solder/substrate interface, and the activation energy of growth of Cu5Zn8, CuZn4, and CuZn phases were determined.

  17. Preparation and switching kinetics of Pb(Zr, Ti)O3 thin films deposited by reactive sputtering

    International Nuclear Information System (INIS)

    Hase, Takashi; Shiosaki, Tadashi

    1991-01-01

    Ferroelectric Pb(Zr, Ti)O 3 [PZT] thin films have been prepared on Pt/Ti/SiO 2 /Si and Pt/SiO 2 /Si substrates using the reactive sputtering method with a metal composite target. The (111)-oriented PZT (80/20) thin films with a perovskite structure have been obtained at a substrate temperature of 595degC on highly (111)-oriented Pt films formed on SiO 2 /Si substrates. When an 8 V pulse sequence was applied to a 265 nm-thick film with an electrode area of 50 x 50 μm 2 , the switching time and the switched charge density measured were 20 ns and 10 μC/cm 2 , respectively. The switching time was strongly dependent on the electrode area. (author)

  18. (In)GaSb/AlGaSb quantum wells grown on Si substrates

    International Nuclear Information System (INIS)

    Akahane, Kouichi; Yamamoto, Naokatsu; Gozu, Shin-ichiro; Ueta, Akio; Ohtani, Naoki

    2007-01-01

    We have successfully grown GaSb and InGaSb quantum wells (QW) on a Si(001) substrate, and evaluated their optical properties using photoluminescence (PL). The PL emissions from the QWs at room temperature were observed at around 1.55 μm, which is suitable for fiber optic communications systems. The measured ground state energy of each QW matched well with the theoretical value calculated by solving the Schroedinger equation for a finite potential QW. The temperature dependence of the PL intensity showed large activation energy (∼ 77.6 meV) from QW. The results indicated that the fabricated QW structure had a high crystalline quality, and the GaSb QW on Si for optical devices operating at temperatures higher than room temperature will be expected

  19. Surface treatment effect on Si (111) substrate for carbon deposition using DC unbalanced magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Aji, A. S., E-mail: aji.ravazes70@gmail.com; Sahdan, M. F.; Hendra, I. B.; Dinari, P.; Darma, Y. [Quantum Semiconductor and Devices Lab., Physics of Material Electronics Research Division, Department of Physics, Institut Teknologi Bandung (Indonesia)

    2015-04-16

    In this work, we studied the effect of HF treatment in silicon (111) substrate surface for depositing thin layer carbon. We performed the deposition of carbon by using DC Unbalanced Magnetron Sputtering with carbon pallet (5% Fe) as target. From SEM characterization results it can be concluded that the carbon layer on HF treated substrate is more uniform than on substrate without treated. Carbon deposition rate is higher as confirmed by AFM results if the silicon substrate is treated by HF solution. EDAX characterization results tell that silicon (111) substrate with HF treatment have more carbon fraction than substrate without treatment. These results confirmed that HF treatment on silicon Si (111) substrates could enhance the carbon deposition by using DC sputtering. Afterward, the carbon atomic arrangement on silicon (111) surface is studied by performing thermal annealing process to 900 °C. From Raman spectroscopy results, thin film carbon is not changing until 600 °C thermal budged. But, when temperature increase to 900 °C, thin film carbon is starting to diffuse to silicon (111) substrates.

  20. MBE growth and characterization of GaAs1-x Sb x epitaxial layers on Si (0 0 1) substrates

    International Nuclear Information System (INIS)

    Toda, T.; Nishino, F.; Kato, A.; Kambayashi, T.; Jinbo, Y.; Uchitomi, N.

    2006-01-01

    We investigated the growth of GaAs 1- x Sb x (x=1.0, 0.82, 0.69, 0.44, 0.0) layers on Si (0 0 1) substrates using AlSb as a buffer layer. Epilayers were grown as a function of As beam equivalent pressure (BEP) under a constant Sb BEP, and they were then characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), and micro-Raman scattering analysis. We confirmed that GaAs 1- x Sb x layers have been successfully grown on Si substrates by introducing AlSb layers

  1. Enhanced photocathodic behaviors of Pb(Zr{sub 0.20}Ti{sub 0.80})O{sub 3} films on Si substrates for hydrogen production

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Xiaorong; Dong, Wen; Zheng, Fengang; Fang, Liang; Shen, Mingrong, E-mail: mrshen@suda.edu.cn [College of Physics, Optoelectronics and Energy, Collaborative Innovation Center of Suzhou Nano Science and Technology, Photovoltaic Research Institute of Soochow University & Canadian Solar Inc., and Jiangsu Key Laboratory of Thin Films, Soochow University, 1 Shizi Street, Suzhou 215006 (China)

    2015-06-15

    Wide bandgap ferroelectric Pb(Zr{sub 0.20}Ti{sub 0.80})O{sub 3} films were deposited on indium tin oxide (ITO) coated Si-pn{sup +} substrates with an intention to form efficient Si-pn{sup +}/ITO/Pb(Zr,Ti)O{sub 3} (PZT) photocathode for hydrogen production. Depolarization electric field generated in PZT film due to poling can drive the photogenerated electrons from Si-pn{sup +} junction to PZT film, resulting in enhanced photoelectrochemical activity of the photocathode. Comparing the electrode with as-prepared PZT film, the photocurrent increased from −100 μA cm{sup −2} to −1.2 mA cm{sup −2} at 0 V vs. reversible hydrogen electrode (RHE) and the onset potential from 0.36 V to 0.7 V vs. RHE under 100 mW cm{sup −2} illumination, manifesting the great advantage of depolarization electric field in driving the photogenerated carriers not only in the ferroelectric film but also on the interface of different semiconductors.

  2. Sample preparation methods for scanning electron microscopy of homogenized Al-Mg-Si billets: A comparative study

    International Nuclear Information System (INIS)

    Österreicher, Johannes Albert; Kumar, Manoj; Schiffl, Andreas; Schwarz, Sabine; Hillebrand, Daniel; Bourret, Gilles Remi

    2016-01-01

    Characterization of Mg-Si precipitates is crucial for optimizing the homogenization heat treatment of Al-Mg-Si alloys. Although sample preparation is key for high quality scanning electron microscopy imaging, most common methods lead to dealloying of Mg-Si precipitates. In this article we systematically evaluate different sample preparation methods: mechanical polishing, etching with various reagents, and electropolishing using different electrolytes. We demonstrate that the use of a nitric acid and methanol electrolyte for electropolishing a homogenized Al-Mg-Si alloy prevents the dissolution of Mg-Si precipitates, resulting in micrographs of higher quality. This preparation method is investigated in depth and the obtained scanning electron microscopy images are compared with transmission electron micrographs: the shape and size of Mg-Si precipitates appear very similar in either method. The scanning electron micrographs allow proper identification and measurement of the Mg-Si phases including needles with lengths of roughly 200 nm. These needles are β″ precipitates as confirmed by high resolution transmission electron microscopy. - Highlights: •Secondary precipitation in homogenized 6xxx Al alloys is crucial for extrudability. •Existing sample preparation methods for SEM are improvable. •Electropolishing with nitric acid/methanol yields superior quality in SEM. •The obtained micrographs are compared to TEM micrographs.

  3. Sample preparation methods for scanning electron microscopy of homogenized Al-Mg-Si billets: A comparative study

    Energy Technology Data Exchange (ETDEWEB)

    Österreicher, Johannes Albert; Kumar, Manoj [LKR Light Metals Technologies Ranshofen, Austrian Institute of Technology, Postfach 26, 5282 Ranshofen (Austria); Schiffl, Andreas [Hammerer Aluminium Industries Extrusion GmbH, Lamprechtshausener Straße 69, 5282 Ranshofen (Austria); Schwarz, Sabine [University Service Centre for Transmission Electron Microscopy, Vienna University of Technology, Wiedner Hauptstr. 8-10, 1040 Wien (Austria); Hillebrand, Daniel [Hammerer Aluminium Industries Extrusion GmbH, Lamprechtshausener Straße 69, 5282 Ranshofen (Austria); Bourret, Gilles Remi, E-mail: gilles.bourret@sbg.ac.at [Department of Materials Science and Physics, University of Salzburg, Hellbrunner Straße 34, 5020 Salzburg (Austria)

    2016-12-15

    Characterization of Mg-Si precipitates is crucial for optimizing the homogenization heat treatment of Al-Mg-Si alloys. Although sample preparation is key for high quality scanning electron microscopy imaging, most common methods lead to dealloying of Mg-Si precipitates. In this article we systematically evaluate different sample preparation methods: mechanical polishing, etching with various reagents, and electropolishing using different electrolytes. We demonstrate that the use of a nitric acid and methanol electrolyte for electropolishing a homogenized Al-Mg-Si alloy prevents the dissolution of Mg-Si precipitates, resulting in micrographs of higher quality. This preparation method is investigated in depth and the obtained scanning electron microscopy images are compared with transmission electron micrographs: the shape and size of Mg-Si precipitates appear very similar in either method. The scanning electron micrographs allow proper identification and measurement of the Mg-Si phases including needles with lengths of roughly 200 nm. These needles are β″ precipitates as confirmed by high resolution transmission electron microscopy. - Highlights: •Secondary precipitation in homogenized 6xxx Al alloys is crucial for extrudability. •Existing sample preparation methods for SEM are improvable. •Electropolishing with nitric acid/methanol yields superior quality in SEM. •The obtained micrographs are compared to TEM micrographs.

  4. Characterization of β-FeSi II films as a novel solar cell semiconductor

    Science.gov (United States)

    Fukuzawa, Yasuhiro; Ootsuka, Teruhisa; Otogawa, Naotaka; Abe, Hironori; Nakayama, Yasuhiko; Makita, Yunosuke

    2006-04-01

    β-FeSi II is an attractive semiconductor owing to its extremely high optical absorption coefficient (α>10 5 cm -1), and is expected to be an ideal semiconductor as a thin film solar cell. For solar cell use, to prepare high quality β-FeSi II films holding a desired Fe/Si ratio, we chose two methods; one is a molecular beam epitaxy (MBE) method in which Fe and Si were evaporated by using normal Knudsen cells, and occasionally by e-gun for Si. Another one is the facing-target sputtering (FTS) method in which deposition of β-FeSi II films is made on Si substrate that is placed out of gas plasma cloud. In both methods to obtain β-FeSi II films with a tuned Fe/Si ratio, Fe/Si super lattice was fabricated by varying Fe and Si deposition thickness. Results showed significant in- and out-diffusion of host Fe and Si atoms at the interface of Si substrates into β-FeSi II layers. It was experimentally demonstrated that this diffusion can be suppressed by the formation of template layer between the epitaxial β-FeSi II layer and the substrate. The template layer was prepared by reactive deposition epitaxy (RDE) method. By fixing the Fe/Si ratio as precisely as possible at 1/2, systematic doping experiments of acceptor (Ga and B) and donor (As) impurities into β-FeSi II were carried out. Systematical changes of electron and hole carrier concentration in these samples along variation of incorporated impurities were observed through Hall effect measurements. Residual carrier concentrations can be ascribed to not only the remaining undesired impurities contained in source materials but also to a variety of point defects mainly produced by the uncontrolled stoichiometry. A preliminary structure of n-β-FeSi II/p-Si used as a solar cell indicated a conversion efficiency of 3.7%.

  5. Radiolytic preparation of thin Au film directly on resin substrate using high-energy electron beam

    Energy Technology Data Exchange (ETDEWEB)

    Ohkubo, Yuji, E-mail: okubo@upst.eng.osaka-u.ac.jp [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Seino, Satoshi; Nakagawa, Takashi; Kugai, Junichiro [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Ueno, Koji [Japan Electron Beam Irradiation Service Ltd., 5-3 Ozushima, Izumiohtsu, Osaka 595-0074 (Japan); Yamamoto, Takao A. [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan)

    2016-04-01

    A novel method for preparing thin Au films directly on resin substrates using an electron beam was developed. The thin Au films were prepared on a resin substrate by the reduction of Au ions in an aqueous solution via irradiation with a high-energy electron beam (4.8 MeV). This reduction method required 7 s of the irradiation time of the electron beam. Furthermore, no reductant or catalyst was needed. As the concentration of Au ions in the precursor solution was increased, the amount of Au deposited on the resin substrate increased, too, and the structure of the prepared Au film changed. As a result, the film color changed as well. Cross-sectional scanning electron microscope images of the thus-prepared Au film indicated that the Au films were consisted of two layers: a particle layer and a bottom bulk layer. There was strong adhesion between the Au films and the underlying resin substrates. This was confirmed by the tape-peeling test and through ultrasonic cleaning. After both processes, Au remained on the resin substrates, while most of the particle-like moieties were removed. This indicated that the thin Au films prepared via irradiation with a high-energy electron beam adhered strongly to the resin substrates. - Highlights: • A thin gold (Au) film was formed by EBIRM for the first time. • The irradiation time of the electron beam was less than 10 s. • Thin Au films were obtained without reductant or catalyst. • Au films were consisted of two layers: a particle layer and a bottom bulk layer. • There was strong adhesion between the bottom bulk layer and the underlying resin substrates.

  6. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  7. Effect of oxygen pressure of SiOx buffer layer on the electrical properties of GZO film deposited on PET substrate

    International Nuclear Information System (INIS)

    Ahn, Byung Du; Ko, Young Gun; Oh, Sang Hoon; Song, Jean-Ho; Kim, Hyun Jae

    2009-01-01

    The present work was made to investigate the effect of oxygen pressure of SiO x layer on the electrical properties of Ga-doped ZnO (GZO) films deposited on poly-ethylene telephthalate (PET) substrate by utilizing the pulsed-laser deposition at ambient temperature. For this purpose, the SiO x buffer layers were deposited at various oxygen pressures ranging from 13.3 to 46.7 Pa. With increasing oxygen pressure during the deposition of SiO x layer as a buffer, the electrical resistivity of GZO/SiO x /PET films gradually decreased from 7.6 x 10 -3 to 6.8 x 10 -4 Ω.cm, due to the enhanced mobility of GZO films. It was mainly due to the grain size of GZO films related to the roughened surface of the SiO x buffer layers. In addition, the average optical transmittance of GZO/SiO x /PET films in a visible regime was estimated to be ∼ 90% comparable to that of GZO deposited onto a glass substrate.

  8. Molecular-mediated crystal growth of PbTiO3 nanostructure on silicon substrate

    International Nuclear Information System (INIS)

    Chao Chunying; Ren Zhaohui; Liu Zhenya; Xiao Zhen; Xu Gang; Li Xiang; Wei Xiao; Shen Ge; Han Gaorong

    2011-01-01

    A simple approach based on an organically modified sol-gel process has been developed to fabricate PbTiO 3 (PT) nanocrystals on Si (1 0 0) substrate, where the amorphous powder modified by acetylacetone (acac) was used as precursor. After dropping the amorphous powder precursor prepared by freeze-drying process, PT nanocrystals on Si (1 0 0) substrate were obtained after heat treatment at 720 deg. C for 30 min in air. PT nanocrystals have been detected by XRD to be tetragonal perovskite structure. With the increase of acac/Pb molar ratio, the relative (1 0 0)/(0 0 1) diffraction peak intensity gradually increases, which probably suggested an oriented growth of PT nanocrystal along [1 0 0] on Si (1 0 0) substrates. In addition, Atomic force microscopy (AFM) results indicated that the height and the average lateral size of PT nanocrystal increased and then decreased as the acac/Pb molar ratio increased. Piezoelectric force microscopy (PFM) results demonstrated that all the samples show obvious piezoelectric activity. These results implied that the acetylacetone molecular mediated the growth of PT nanocrystals on Si (1 0 0) substrates possibly by the acac/Pb molar ratio. This simple method has been suggested to be attractive for tailoring an oriented growth of the nanostructures of perovskite oxide systems on Si substrates.

  9. A study of the substrate surface chemical states at the interface TiN/Si by X-Ray Photoelectron Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Antunes, Vinicius Gabriel; Alvarez, Fernando, E-mail: vi.antunes@gmail.com [Universidade Estadual de Campinas (UNICAMP), SP (Brazil). Instituto de Fisica Gleb Wataghin; Figueroa, Carlos Alejandro [Universidade de Caxias do Sul, RS (Brazil)

    2016-07-01

    Full text: Titanium-based thin films are used for a great number of applications, such as hard coating in cutting tools, catalyst diffusion barriers, and in microelectronic devices. Although the understanding of the film adhesion mechanisms onto different substrates continue being a challenge and its atomic bonding properties are not fully understood. Furthermore, as the interface determines many characteristics of the final film by prompting the bulk properties of the grown material, a detailed study of the first atomic layers is an interesting route to gain physical inside on the adhesion properties of the coating. Also, it is important to remark that the presence of residual oxygen in standards deposition chambers is sometime unavoidable and its influence on the films properties is important to be taking in account. In this work the influence of the chemical state of the silicon surface at the interface TiN/Si have been studied. In order to this, a few atomic layers of TiN were deposited on mirror polished c-Si by ion beam deposition (IBD) sputtering of a pure Ti target in a nitrogen atmosphere during 5s (T=350C). In this conditions, a 3Å average thickness, as estimated by the material deposition rate, is obtained. The local electronic structure at the interface TiN/Si was scrutinized by XPS (X-ray photoelectron spectroscopy, 1485.6eV) in a UHV chamber attached to the IBD system, i.e the studied samples are free from atmospheric contamination. The study indicates the presence of Ti-Si, Ti-O, Si-O, Ti-N and Si-N bonds at the TiN/Si interface, where the oxygen stems from the residual chamber pressure. The nano-structuration of the Si substrate surface by noble gas ion bombardment during the pre-cleaning procedure of the substrate and its influence on the bonding structures at the TiN/Si interface will be also presented and discussed. Finally, the oxygen reduction effect obtained by introducing H2 on the interface structure is presented and discussed. (author)

  10. Reliability implications of defects in high temperature annealed Si/SiO2/Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Fleetwood, D.M.; Shaneyfelt, M.R.; Winokur, P.S.; Devine, R.A.B.; Mathiot, D.; Wilson, I.H.; Xu, J.B.

    1994-01-01

    High-temperature post-oxidation annealing of poly-Si/SiO 2 /Si structures such as metal-oxide-semiconductor capacitors and metal-oxide-semiconductor field effect transistors is known to result in enhanced radiation sensitivity, increased 1/f noise, and low field breakdown. The authors have studied the origins of these effects from a spectroscopic standpoint using electron paramagnetic resonance (EPR) and atomic force microscopy. One result of high temperature annealing is the generation of three types of paramagnetic defect centers, two of which are associated with the oxide close to the Si/SiO 2 interface (oxygen-vacancy centers) and the third with the bulk Si substrate (oxygen-related donors). In all three cases, the origin of the defects may be attributed to out-diffusion of O from the SiO 2 network into the Si substrate with associated reduction of the oxide. The authors present a straightforward model for the interfacial region which assumes the driving force for O out-diffusion is the chemical potential difference of the O in the two phases (SiO 2 and the Si substrate). Experimental evidence is provided to show that enhanced hole trapping and interface-trap and border-trap generation in irradiated high-temperature annealed Si/SiO 2 /Si systems are all related either directly, or indirectly, to the presence of oxygen vacancies

  11. Structural and optical properties of SiC-SiO2 nanocomposite thin films

    Science.gov (United States)

    Bozetine, I.; Keffous, A.; Kaci, S.; Menari, H.; Manseri, A.

    2018-03-01

    This study deals with the deposition of thin films of a SiC-SiO2nanocomposite deposited on silicon substrates. The deposition is carried out by a co-sputtering RF magnetron 13.56 MHz, using two targets a polycristallin 6H-SiC and sprigs of SiO2. In order to study the influence of the deposition time on the morphology, the structural and optical properties of the thin films produced, two series of samples were prepared, namely a series A with a 30 min deposition time and a series B of one hour duration. The samples were investigated using different characterization techniques such as Scanning Electron Microscope (SEM), X-ray Diffraction (DRX), Fourier Transform Infrared Spectroscopy (FTIR), Secondary Ion Mass Spectrometry (SIMS) and photoluminescence. The results obtained, reveal an optical gap varies between 1.4 and 2.4 eV depending on the thickness of the film; thus depending on the deposition time. The SIMS profile recorded the presence of oxygen (16O) on the surface, which the signal beneath the silicon signal (28Si) and carbon (12C) signals, which confirms that the oxide (SiO2) is the first material deposited at the interface film - substrate with an a-OSiC structure. The photoluminescence (PL) measurement exhibits two peaks, centred at 390 nm due to the oxide and at 416 nm due probably to the nanocrystals of SiC crystals, note that when the deposition time increases, the intensity of the PL drops drastically, result in agreement with dense and smooth film.

  12. Preparation of Raspberry-like Superhydrophobic SiO2 Particles by Sol-gel Method and Its Potential Applications

    Directory of Open Access Journals (Sweden)

    Xu Gui-Long

    2011-12-01

    Full Text Available Raspberry‐like SiO2 particles with a nano‐micro‐binary structure were prepared by a simple sol‐gel method using tetraethoxysilane (TEOS and methyltriethoxysilane (MTES as precursors. The chemical components and morphology of the SiO2 particles were characterized by Fourier transform infrared spectroscopy (FT‐IR and a Transmission electron microscope (TEM. The surface topography and wetting behaviour of the raspberry‐like SiO2 surface were observed with a Scanning electron microscope (SEM and studied by the water/oil contact angle (CA, respectively. The thermal stability of the prepared SiO2 particles was characterized by TGA analysis. The results show that the highly dispersed SiO2 particles initially prepared by the sol‐gel method turn into raspberry‐like particles with during the aging process. The raspberry‐like SiO2 particles show superhydrophobicity and superoleophilicity across a wide range of pH values. The SiO2 particles were thermally stable up to 475°C, while above this temperature the hydrophobicity decreases and finally becomes superhydrophobic when the temperature reaches 600°C. The raspberry‐like SiO2 particles which were prepared have potential applications in the fields of superhydrophobic surfaces, water‐oil separation, anti‐corrosion and fluid transportation.

  13. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  14. Radicals and ions controlling by adjusting the antenna-substrate distance in a-Si:H deposition using a planar ICP for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, H.P., E-mail: haipzhou@uestc.edu.cn [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, S., E-mail: shuyan.xu@nie.edu.sg [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xu, M. [Key Laboratory of Information Materials of Sichuan Province & School of Electrical and Information Engineering, Southwest University for Nationalities, Chengdu, 610041 (China); Xu, L.X.; Wei, D.Y. [Plasma Sources and Application Center, NIE, and Institute of Advanced Studies, Nanyang Technological University, 637616 (Singapore); Xiang, Y. [School of Energy Science and Engineering, University of Electronic Science and Technology of China, 2006 Xiyuan Ave., West High-Tech Zone, Chengdu, Sichuan, 611731 (China); Xiao, S.Q. [Key Laboratory of Advanced Process Control for Light Industry (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi, 214122 (China)

    2017-02-28

    Highlights: • A planar ICP was used to grow a-Si:H films for c-Si surface passivation. • The direct- and remote-plasma was compared for high-quality c-Si surface passivation. • The remote ICP with controlled plasma species and ion bombardments is preferable for the surface passivation of c-Si. - Abstract: Being a key issue in the research and fabrication of silicon heterojunction (SHJ) solar cells, crystalline silicon (c-Si) surface passivation is theoretically and technologically intricate due to its complicate dependence on plasma characteristics, material properties, and plasma-material interactions. Here amorphous silicon (a-Si:H) grown by a planar inductively coupled plasma (ICP) reactor working under different antenna-substrate distances of d was used for the surface passivation of low-resistivity p-type c-Si. It is found that the microstructures (i.e., the crystallinity, Si-H bonding configuration etc.) and passivation function on c-Si of the deposited a-Si:H were profoundly influenced by the parameter of d, which primarily determines the types of growing precursors of SiH{sub n}/H contributing to the film growth and the interaction between the plasma and growing surface. c-Si surface passivation is analyzed in terms of the d-dependent a-Si:H properties and plasma characteristics. The controlling of radical types and ion bombardment on the growing surface through adjusting parameter d is emphasized.

  15. Preparation and performance of Pt/PTFE/Foam SiC as a hydrophobic catalyst for LPCE

    International Nuclear Information System (INIS)

    He, Jianchao; Wang, Heyi; Xiao, Chengjian; Li, Jiamao; Chen, Ping; Hou, Jingwei

    2016-01-01

    Highlights: • A new type of foam material, Foam SiC with three-dimensional network structure, was chosen as the carrier of catalyst. • Foam SiC was hydrophobic treated by PTFE, and achieved a good hydrophobic property. • Pt/PTFE/Foam SiC was prepared by impregnation method with Pt-organic solution and gaseous phase reduction method. • The hydrophobic catalysts were packed with Dixon phosphor bronze gauze rings (about 3 mm × 3 mm) in LPCE system to test the catalytic performance. • The effect of different size of the catalyst on LPCE was been tested. - Abstract: Platinum catalysts supported on a composite of polytetrafluoroethylene (PTFE) and Foam SiC (Pt/PTFE/Foam SiC) have been proposed and prepared by an impregnation method. The as-prepared Pt/PTFE/Foam SiC was characterized by compression load testing, dynamic contact angle measurement, SEM, XRD, and TEM. The results show that the catalyst prepared by triple hydrophobic treatment had an initial contact angle of 134.2°, a good compression performance of 3.2 MPa, and platinum nanoparticles of 12.1 nm (average size). The catalytic activity of the catalyst was tested with different packing methods, reaction temperatures, and gas-liquid ratios. An excellent hydrogen isotope exchange performance was observed using a hydrophilic packing material-to-catalyst ratio of 25% and reaction temperature of 80 °C. Pt/PTFE/Foam SiC may be used as a hydrophobic catalyst for a water detritiation system (WDS) via a liquid-phase catalytic exchange process (LPCE).

  16. Preparation and performance of Pt/PTFE/Foam SiC as a hydrophobic catalyst for LPCE

    Energy Technology Data Exchange (ETDEWEB)

    He, Jianchao; Wang, Heyi, E-mail: hywang@caep.cn; Xiao, Chengjian; Li, Jiamao; Chen, Ping; Hou, Jingwei

    2016-12-15

    Highlights: • A new type of foam material, Foam SiC with three-dimensional network structure, was chosen as the carrier of catalyst. • Foam SiC was hydrophobic treated by PTFE, and achieved a good hydrophobic property. • Pt/PTFE/Foam SiC was prepared by impregnation method with Pt-organic solution and gaseous phase reduction method. • The hydrophobic catalysts were packed with Dixon phosphor bronze gauze rings (about 3 mm × 3 mm) in LPCE system to test the catalytic performance. • The effect of different size of the catalyst on LPCE was been tested. - Abstract: Platinum catalysts supported on a composite of polytetrafluoroethylene (PTFE) and Foam SiC (Pt/PTFE/Foam SiC) have been proposed and prepared by an impregnation method. The as-prepared Pt/PTFE/Foam SiC was characterized by compression load testing, dynamic contact angle measurement, SEM, XRD, and TEM. The results show that the catalyst prepared by triple hydrophobic treatment had an initial contact angle of 134.2°, a good compression performance of 3.2 MPa, and platinum nanoparticles of 12.1 nm (average size). The catalytic activity of the catalyst was tested with different packing methods, reaction temperatures, and gas-liquid ratios. An excellent hydrogen isotope exchange performance was observed using a hydrophilic packing material-to-catalyst ratio of 25% and reaction temperature of 80 °C. Pt/PTFE/Foam SiC may be used as a hydrophobic catalyst for a water detritiation system (WDS) via a liquid-phase catalytic exchange process (LPCE).

  17. Nanostructural Characters of β-SiC Nanoparticles Prepared from Indonesian Natural Resource using Sonochemical Method

    Science.gov (United States)

    Fuad, A.; Kultsum, U.; Taufiq, A.; Hartatiek; Latifah, E.

    2018-04-01

    Silicon carbide (SiC) nanoparticles become one of the interesting non-oxide ceramics due to their physical and chemical properties. For an extended period, SiC nanoparticles have been prepared by several methods that usually performed at high temperatures ranging from 1200 - 2000 °C from inexpensive commercial precursors. In this work, we prepared SiC nanoparticles from the low priced precursor of Indonesia natural resource using the sonochemical method at a temperature that is lower than 1000 °C. To produce samples with particular characters, we varied the sintering holding time (1, 10, and 20 hours) and the sintering temperatures (850, 950, and 1050 °C) during the synthesis. The samples were then characterized using XRD, SEM-EDX, TEM, and FTIR. The XRD data analysis showed that the samples have a dominant phase of SiC in the form of β-SiC with a 3C-SiC structure and SiO2 phase in a low composition within a good agreement with the EDX characterization. Interestingly, the sample prepared at the sintering temperature of 850 °C for 1 hour showed a non-crystallite phase. Using a Scherer’s equation, the particles of the samples sized from 13 to 18 nm, which were validated by SEM and TEM images. Furthermore, the FT-IR spectra presented several peaks, i.e., at wavenumbers of 482.2 and 1150 cm-1 representing Si-O-Si bonding and also at 798.5 cm-1 regarding with Si-C bonding.

  18. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  19. Comparison between thermal annealing and ion mixing of multilayered Ni-W films on Si. II

    International Nuclear Information System (INIS)

    Pai, C.S.; Lau, S.S.; Poker, D.B.; Hung, L.S.

    1985-01-01

    The reactions between bilayered Ni/W films and Si substrates induced by thermal annealing and ion mixing were investigated and compared. Samples were prepared by electron-beam sequential deposition of Ni and W onto the Si substrates and following by either furnace annealing (approx. 200--900 0 C) or ion mixing (approx. 2 x 10 15 -- 4 x 10 16 86 Kr + ions/cm 2 ). The reactions were analyzed by Rutherford backscattering and x-ray diffraction (Read camera). Thermal annealing of both W/Ni/Si and Ni/W/Si samples led to the formation of Ni silicide next to the Si substrate and W silicide on the sample surface (layer reversal between Ni and W in the Ni/W/Si case). Ion mixing of W/Ni/Si samples led to the formation of Ni silicide with a thin layer of Ni-W-Si mixture located at the sample surface. For Ni/W/Si samples a ternary amorphous mixture of Ni-W-Si was obtained with ion mixing. These reactions were rationalized in terms of the mobilities of various atoms and the intermixings between layers

  20. Characterization of ZnO thin films grown on different p-Si substrate elaborated by solgel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others

    2015-10-15

    Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.

  1. Tailoring Si(100) substrate surfaces for GaP growth by Ga deposition: A low-energy electron microscopy study

    Energy Technology Data Exchange (ETDEWEB)

    Rienäcker, Michael; Borkenhagen, Benjamin, E-mail: b.borkenhagen@pe.tu-clausthal.de; Lilienkamp, Gerhard; Daum, Winfried [TU Clausthal, Institut für Energieforschung und Physikalische Technologien, Leibnizstraße 4, D-38678 Clausthal-Zellerfeld (Germany)

    2015-08-07

    For GaP-on-Si(100) heteroepitaxy, currently considered as a model system for monolithic integration of III–V semiconductors on Si(100), the surface steps of Si(100) have a major impact on the quality of the GaP film. Monoatomic steps cause antiphase domains in GaP with detrimental electronic properties. A viable route is to grow the III–V epilayer on single-domain Si(100) with biatomic steps, but preferably not at the expense of reduced terrace widths introduced by miscut substrates. We have performed in situ investigations of the influence of Ga deposition on the kinetics of surface steps and terraces of Si(100) at substrate temperatures above 600 °C by low-energy electron microscopy. Starting from nearly equally distributed T{sub A} and T{sub B} terraces of a two-domain Si(100) surface, submonolayer deposition of Ga results in a transformation into a surface dominated by T{sub A} terraces and biatomic D{sub A} steps. This transformation is reversible, and Si(100) with monoatomic steps is recovered upon termination of the Ga flux. Under conditions of higher coverages (but still below 0.25 monolayer), we observe restructuring into a surface with T{sub B} dominance, similar to the findings of Hara et al. [J. Appl. Phys. 98, 083515 (2005)]. The occurrence and mutual transformations of surface structures with different terrace and step structures in a narrow range of temperatures and Ga deposition rates is discussed.

  2. Characteristics of tungsten oxide thin films prepared on the flexible substrates using pulsed laser deposition

    International Nuclear Information System (INIS)

    Suda, Yoshiaki; Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyuu, Yoshihito

    2008-01-01

    Tungsten trioxide (WO 3 ) thin films have been prepared on the flexible indium tin oxide (ITO) substrates by pulsed laser deposition (PLD) using WO 3 targets in oxygen gas. Color of the WO 3 film on the flexible ITO substrates depends on the oxygen gas mixture. The plasma plume produced by PLD using a Nd:YAG laser and WO 3 target is investigated by temporal and spatial-resolved optical emission spectroscopy. WO 3 films prepared on the flexible ITO substrates show electrochromic properties, even when the substrates are bent. The film color changes from blue to transparent within 10-20 s after the applied DC voltage is turned off

  3. Transparent conductive ITO/Cu/ITO films prepared on flexible substrates at room temperature

    International Nuclear Information System (INIS)

    Ding Xingwei; Yan Jinliang; Li Ting; Zhang Liying

    2012-01-01

    Transparent conductive ITO/Cu/ITO films were deposited on PET substrates by magnetron sputtering using three cathodes at room temperature. Effects of the SiO 2 buffer layer and thickness of Cu interlayer on the structural, electrical and optical properties of ITO/Cu/ITO films were investigated. The optical transmittance was affected slightly by SiO 2 buffer layer, but the electrical properties of ITO/Cu/ITO films were improved. The transmittance and resistivity of the SiO 2 /ITO/Cu/ITO films decrease as the Cu layer thickness increases. The ITO/Cu/ITO film with 5 nm Cu interlayer deposited on the 40 nm thick SiO 2 buffer layer exhibits the sheet resistance of 143 Ω/sq and transmittance of 65% at 550 nm wavelength. The optical and electrical properties of the ITO/Cu/ITO films were mainly dependent on the Cu layer.

  4. Transparent conductive ITO/Cu/ITO films prepared on flexible substrates at room temperature

    Science.gov (United States)

    Ding, Xingwei; Yan, Jinliang; Li, Ting; Zhang, Liying

    2012-01-01

    Transparent conductive ITO/Cu/ITO films were deposited on PET substrates by magnetron sputtering using three cathodes at room temperature. Effects of the SiO2 buffer layer and thickness of Cu interlayer on the structural, electrical and optical properties of ITO/Cu/ITO films were investigated. The optical transmittance was affected slightly by SiO2 buffer layer, but the electrical properties of ITO/Cu/ITO films were improved. The transmittance and resistivity of the SiO2/ITO/Cu/ITO films decrease as the Cu layer thickness increases. The ITO/Cu/ITO film with 5 nm Cu interlayer deposited on the 40 nm thick SiO2 buffer layer exhibits the sheet resistance of 143 Ω/sq and transmittance of 65% at 550 nm wavelength. The optical and electrical properties of the ITO/Cu/ITO films were mainly dependent on the Cu layer.

  5. Effects of substrate temperature on structural and electrical properties of SiO2-matrix boron-doped silicon nanocrystal thin films

    International Nuclear Information System (INIS)

    Huang, Junjun; Zeng, Yuheng; Tan, Ruiqin; Wang, Weiyan; Yang, Ye; Dai, Ning; Song, Weijie

    2013-01-01

    In this work, silicon-rich SiO 2 (SRSO) thin films were deposited at different substrate temperatures (T s ) and then annealed by rapid thermal annealing to form SiO 2 -matrix boron-doped silicon-nanocrystals (Si-NCs). The effects of T s on the micro-structure and electrical properties of the SiO 2 -matrix boron-doped Si-NC thin films were investigated using Raman spectroscopy and Hall measurements. Results showed that the crystalline fraction and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films both increased significantly when the T s was increased from room temperature to 373 K. When the T s was further increased from 373 K to 676 K, the crystalline fraction of 1373 K-annealed thin films decreased from 52.2% to 38.1%, and the dark conductivity reduced from 8 × 10 −3 S/cm to 5.5 × 10 −5 S/cm. The changes in micro-structure and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films were most possibly due to the different amount of Si-O 4 bond in the as-deposited SRSO thin films. Our work indicated that there was an optimal T s , which could significantly increase the crystallization and conductivity of Si-NC thin films. Also, it was illumined that the low-resistivity SiO 2 -matrix boron-doped Si-NC thin films can be achieved under the optimal substrate temperatures, T s .

  6. Effect of Si addition to Al-8Mg alloy on the microstructure and thermo-physical properties of SiCp/Al composites prepared by pressureless infiltration

    International Nuclear Information System (INIS)

    Ren Shubin; He Xinbo; Qu Xuanhui; Humail, Islam S.; Li Yan

    2007-01-01

    Fifty-five volume percentage of SiCp/Al composites were prepared by pressureless infiltration to investigate the effect of Si addition to Al-8Mg alloy from 0 wt% to 18 wt% on the interfacial reaction between Al and SiC and the thermo-physical properties of the prepared composites. TEM and X-ray analysis showed that the degree of interfacial reaction decreased as the Si content increased, and that it ceased at 1273 K when the Si addition to the aluminum was greater than 12 wt%. The Si addition to Al-8Mg alloy reduced the CTE of the composites and increased their thermal conductivity (TC), but Si beyond 12 wt% led to the reduction of TC, though the CTE was lower. This is attributable to the combined action of the Si on the wettability, interfacial reaction and the TC and CTE of the matrix itself

  7. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  8. Characterization of a Ga-assisted GaAs nanowire array solar cell on si substrate

    DEFF Research Database (Denmark)

    Boulanger, J. P.; Chia, A. C. E.; Wood, B.

    2016-01-01

    A single-junction core-shell GaAs nanowire (NW) solar cell on Si (1 1 1) substrates is presented. A Ga-assisted vapor–liquid–solid growth mechanism was used for the formation of a patterned array of radial p-i-n GaAs NWs encapsulated in AlInP passivation. Novel device fabrication utilizing facet-...

  9. Effects of electrical conductivity of substrate materials on microstructure of diamond-like carbon films prepared by bipolar-type plasma based ion implantation

    International Nuclear Information System (INIS)

    Nakao, S; Sonoda, T

    2013-01-01

    Diamond-like carbon (DLC) films are prepared by a bipolar-type plasma based ion implantation, and the structural differences between DLC films deposited on different electrical conductive substrates, i.e., conductive Si wafers and insulating glass plates are examined by Raman spectroscopy and x-ray photo emission spectroscopy (XPS). In the Raman measurements, graphite (G) and disorder (D) peaks are observed for both samples. However, the additional photo luminescence is overlapped on the spectra in the case of on-glass sample. To elucidate the structural difference, the intensity ratio of D to G peak (I(D)/I(G)), G peak position and full width at half maximum (FWHM) are obtained by curve fitting using Gaussian function and linear baseline. It is found that the I(D)/I(G) is lower, G peak position is higher and FWHM of G peak is narrower for on-glass sample than for on-Si sample. According to Robertson [1], lower I(D)/I(G) seems more sp 3 C-C bonding in amount for on-glass sample. In contrast, higher G peak position and narrower FWHM of G peak suggest less sp 3 C-C bonding in amount for on-glass sample. The results of XPS analysis with C1s spectra reveal that sp 3 ratio, i.e., the intensity ratio of sp 3 /(sp 3 +sp 2 ) is smaller for on-glass sample than for on-Si sample. The inconsistency of the trend between I(D)/I(G) and other parameters (G peak position and FWHM of G peak) might be caused by the overlap of photo luminescence signal on Raman spectrum as to on-glass sample. From these results, it is considered that sp 3 C-C bonding is reduced in amount when using insulating substrate in comparison with conductive substrate.

  10. White light photoluminescence from ZnS films on porous Si substrates

    International Nuclear Information System (INIS)

    Wang Caifeng; Li Weibing; Li Qingshan; Hu Bo

    2010-01-01

    ZnS films were deposited on porous Si (PS) substrates using a pulsed laser deposition (PLD) technique. White light emission is observed in photoluminescence (PL) spectra, and the white light is the combination of blue and green emission from ZnS and red emission from PS. The white PL spectra are broad, intense in a visible band ranging from 450 to 700 nm. The effects of the excitation wavelength, growth temperature of ZnS films, PS porosity and annealing temperature on the PL spectra of ZnS/PS were also investigated. (semiconductor materials)

  11. Co{sub 2}FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, M., E-mail: belmeguenai.mohamed@univ-paris13.fr; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P. [LSPM (CNRS-UPR 3407), 99 avenue Jean-Baptiste Clément, Université Paris 13, 93430 Villetaneuse (France); Gabor, M. S., E-mail: mihai.gabor@phys.utcluj.ro; Petrisor, T. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Tiusan, C. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F–54506 Vandoeuvre (France)

    2014-01-28

    10 nm and 50 nm Co{sub 2}FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T{sub a}), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T{sub a}, while the uniaxial anisotropy field is nearly unaffected by T{sub a} within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T{sub a}. Finally, the FMR linewidth decreases when increasing T{sub a}, due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10{sup −3} and 1.3×10{sup −3} for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  12. Strong band edge luminescence from InN films grown on Si substrates by electron cyclotron resonance-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yodo, Tokuo; Yona, Hiroaki; Ando, Hironori; Nosei, Daiki; Harada, Yoshiyuki

    2002-01-01

    We observed strong band edge luminescence at 8.5-200 K from 200-880 nm thick InN films grown on 10 nm thick InN buffer layers on Si(001) and Si(111) substrates by electron cyclotron resonance-assisted molecular beam epitaxy. The InN film on the Si(001) substrate exhibited strong band edge photoluminescence (PL) emission at 1.814 eV at 8.5 K, tentatively assigned as donor to acceptor pair [DAP (α-InN)] emission from wurtzite-InN (α-InN) crystal grains, while those on Si(111) showed other stronger band edge PL emissions at 1.880, 2.081 and 2.156 eV, tentatively assigned as donor bound exciton [D 0 X(α-InN)] from α-InN grains, DAP (β-InN) and D 0 X (β-InN) emissions from zinc blende-InN (β-InN) grains, respectively

  13. Enhancement of electroluminescence from embedded Si quantum dots/SiO2multilayers film by localized-surface-plasmon and surface roughening.

    Science.gov (United States)

    Li, Wei; Wang, Shaolei; Hu, Mingyue; He, Sufeng; Ge, Pengpeng; Wang, Jing; Guo, Yan Yan; Zhaowei, Liu

    2015-07-03

    In this paper, we prepared a novel structure to enhance the electroluminescence intensity from Si quantum dots/SiO2multilayers. An amorphous Si/SiO2 multilayer film was fabricated by plasma-enhanced chemical vapor deposition on a Pt nanoparticle (NP)-coated Si nanopillar array substrate. By thermal annealing, an embedded Si quantum dot (QDs)/SiO2 multilayer film was obtained. The result shows that electroluminescence intensity was significantly enhanced. And, the turn-on voltage of the luminescent device was reduced to 3 V. The enhancement of the light emission is due to the resonance coupling between the localized-surface-plasmon (LSP) of Pt NPs and the band-gap emission of Si QDs/SiO2 multilayers. The other factors were the improved absorption of excitation light and the increase of light extraction ratio by surface roughening structures. These excellent characteristics are promising for silicon-based light-emitting applications.

  14. MOVPE and characterization of GaN-based structures on alternative substrates

    Energy Technology Data Exchange (ETDEWEB)

    Dikme, Y.

    2006-06-20

    This study involves growth experiments of GaN-based layer structures on silicon (Si), lithium aluminate (LiAlO{sub 2}) and the composite substrate SiCOI. Substrate specific preparation and growth procedures were developed. Because of the different lattice constants and thermal expansion coefficients between GaN and the substrate materials and because of the high depositions temperatures (>1000 C) complex interlayers are required to create a crossover from the substrate to the GaN layer and to prevent substrate/layer bowing and cracks developing in the epitaxial layers. Crystallographic, thermal and electronic properties of these materials were investigated and the developed layers were used as buffer layers for electronic and opto electronic devices. On Si AlN/GaN distributed Bragg reflectors (DBR), InGaN/GaN multiple quantum well (MQW) and AlGaN/GaN HEMT (high electron mobility transistor) were demonstrated. The transistor structures showed high power densities, which were comparable to industrially fabricated devices. As well as the reflection of a certain wavelength region, the DBR layers additionally showed positive influence on succeeding GaN top layer optical properties. For the first time laser emission of an optically pumped InGaN/GaN MQW on Si was demonstrated with low excitation density and a high operating temperature. GaN-based structures were deposited on LiAlO2 in the m-plane crystal orientation; that do not exhibit polarization mechanisms in growth direction. For the deposition of coalesced GaN films a seal-coating of the LiAlO{sub 2} surface was developed and finally LED structures were grown on these substrates. For the first time electroluminescence of LED structures on LiAlO{sub 2} was achieved. The growth on the composite substrate SiCOI was initiated with an HT AlN layer and it was demonstrated that SiCOI is comparable to a bulk SiC substrate for the GaN-based epitaxy. The developed and investigated layer structure served as buffer for the

  15. Effects of electron-irradiation on electrical properties of AgCa/Si Schottky diodes

    International Nuclear Information System (INIS)

    Harmatha, L.; Zizka, M.; Sagatova, A.; Nemec, M.; Hybler, P.

    2013-01-01

    This contribution presents the results of the current-voltage I-V and the capacitance-voltage C-V measurement on the Schottky diodes with the AgCa gate on the silicon n-type substrate. The Si substrate was irradiated by 5 MeV electrons with a different dose value before the Schottky diode preparation. (authors)

  16. Preparation of SiC Compacts by the Rapid Proto typing Machine

    International Nuclear Information System (INIS)

    Abdelrahman, A.A.M.; Ahmed, A.Z.; Elmasry, M.A.A.

    2008-01-01

    The preparation of ceramic green bodies from powders by the rapid proto typing is a promising technique. In this work SiC green bodies were prepared from black SiC powder mixed with 10 wt % organic binder namely Ave be SP G20 starch. Different liquid binders were investigated and were successful in producing strong green bodies such as NH 4 OH in the ph range 9-10 or 1 % HCl solution in water and or a mixture of 1% NH 4 Cl and NH 4 OH in the ph range of 8.5 to 9. The green bodies were then preheated at 200 degree C to eliminate the starch by thermal decomposition. After that these parts were infiltrated using molten silicon at 1450 degree C in Argon atmosphere. Unfortunately it was impossible to infiltrate the green bodies using liquid silicon. Another technique was followed which is dipping of the green bodies in liquid silicon. This method was successful. The densities of the green and dipped bodies were determined and they were examined under the metallo graph and SEM. It was found that no SiC dissolved in the silicon after dipping. This was concluded from the presence of sharp corners of SiC grains

  17. Preparation of triangular and hexagonal silver nanoplates on the surface of quartz substrate

    International Nuclear Information System (INIS)

    Jia Huiying; Zeng Jianbo; An Jing; Song Wei; Xu Weiqing; Zhao Bing

    2008-01-01

    In this paper, triangular and hexagonal silver nanoplates were prepared on the surface of quartz substrate using photoreduction of silver ions in the presence of silver seeds. The obtained silver nanoplates were characterized by atomic force microscopy and UV-vis spectroscopy. It was found that the silver seeds played an important role in the formation of triangular and hexagonal silver nanoplates. By varying the irradiation time, nanoplates with different sizes and shapes could be obtained. The growth mechanism for triangular and hexagonal nanoplates prepared on quartz substrate was discussed

  18. Composition dependences of crystal structure and electrical properties of epitaxial Pb(Zr,Ti)O3 films grown on Si and SrTiO3 substrates

    Science.gov (United States)

    Okamoto, Shoji; Okamoto, Satoshi; Yokoyama, Shintaro; Akiyama, Kensuke; Funakubo, Hiroshi

    2016-10-01

    {100}-oriented Pb(Zr x ,Ti1- x )O3 (PZT) thin films of approximately 2 µm thickness and Zr/(Zr + Ti) ratios of 0.39-0.65 were epitaxially grown on (100)cSrRuO3//(100)SrTiO3 (STO) and (100)cSrRuO3//(100)cLaNiO3//(100)CeO2//(100)YSZ//(100)Si (Si) substrates having different thermal expansion coefficients by pulsed metal-organic chemical vapor deposition (MOCVD). The effects of Zr/(Zr + Ti) ratio and type of substrate on the crystal structure and dielectric, ferroelectric and piezoelectric properties of the films were systematically investigated. The X-ray diffraction measurement showed that both films changed from having a tetragonal symmetry to rhombohedral symmetry through the coexisting region with increasing Zr/(Zr + Ti) ratio. This region showed the Zr/(Zr + Ti) ratios of 0.45-0.59 for the films on the STO substrates that were wider than the films on the Si substrates. Saturation polarization values were minimum at approximately Zr/(Zr + Ti) = 0.50 for the films on the STO substrates, and no obvious Zr/(Zr + Ti) ratio dependence was detected in the films on the Si substrates. On the other hand, the maximum field-induced strain values measured by scanning force microscopy at approximately Zr/(Zr + Ti) = 0.50 at 100 kV/cm were about 0.5 and 0.1% in the films on the Si and STO, respectively.

  19. Properties of morphotropic phase boundary Pb(Mg1/3Nb2/3)O3PbTiO3 films with submicrometre range thickness on Si-based substrates

    OpenAIRE

    Algueró , M; Stewart , M; Cain , M G; Ramos , P; Ricote , J; Calzada , M L

    2010-01-01

    Abstract The electrical properties of (1-x)Pb(Mg 1/3 Nb 2/3)O 3 -xPbTiO 3 films with composition in the morphotropic phase boundary region around x=0.35, submicron thickness and columnar microstructure, prepared on Si based substrates by chemical solution deposition are presented and discussed in relation to the properties of coarse and fine grained ceramics. The films show relaxor characteristics that are proposed to result from a grain size effect on the kinetics of the relaxor to ferroe...

  20. Structure of multilayered Cr(Al)N/SiO{sub x} nanocomposite coatings fabricated by differential pumping co-sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Kawasaki, Masahiro [JEOL USA Inc., 11 Dearborn Road, Peabody, Massachusetts 01960 (United States); Nose, Masateru [Faculty of Art and Design, University of Toyama, 180 Futagami-machi, Takaoka 933-8588 (Japan); Onishi, Ichiro [JEOL Ltd. 3-1-2 Musashino, Akishima, Tokyo 196-8558 (Japan); Shiojiri, Makoto [Kyoto Institute of Technology, Kyoto 606-8585 (Japan)

    2013-11-11

    A Cr(Al)N/38 vol. % SiO{sub x} hard coating was prepared on a (001) Si substrate at 250 °C in a differential pumping co-sputtering system, which has two chambers for radio frequency (RF) sputtering and a substrate holder rotating on the chambers. The composite coating was grown by alternate sputter-depositions from CrAl and SiO{sub 2} targets with flows of N{sub 2}+Ar and Ar at RF powers of 200 and 75 W, respectively, on transition layers grown on the substrate. Analytical electron microscopy reveled that the Cr(Al)N/SiO{sub x} coating had a multilayered structure of Cr(Al)N crystal layers ∼1.6 nm thick and two-dimensionally dispersed amorphous silicon oxide (a-SiO{sub x}) particles with sizes of ∼1 nm or less. The a-SiO{sub x} particles were enclosed with the Cr(Al)N layers. The coating had a low indentation hardness of ∼25 GPa at room temperature, due to a high oxide fraction of 38 vol. % and a low substrate rotational speed of 1 rpm. Faster rotation and lower oxide fraction would make a-SiO{sub x} particles smaller, resulting in the formation of Cr(Al)N crystal including the very fine a-SiO{sub x} particles with small number density. They would work as obstacles for the lattice deformation of the Cr(Al)N crystals. We have fabricated a superhard coating of Cr(Al)N/17 vol. % SiO{sub x} with a hardness of 46 GPa prepared at 12 rpm.

  1. Microstructure and magnetic properties of FePt:Ag nanocomposite films on SiO2/Si(1 0 0)

    International Nuclear Information System (INIS)

    Wang Hao; Yang, F.J.; Wang, H.B.; Cao, X.; Xue, S.X.; Wang, J.A.; Gao, Y.; Huang, Z.B.; Yang, C.P.; Chiah, M.F.; Cheung, W.Y.; Wong, S.P.; Li, Q.; Li, Z.Y.

    2006-01-01

    FePt:Ag nanocomposite films were prepared by pulsed filtered vacuum arc deposition system and subsequent rapid thermal annealing on SiO 2 /Si(1 0 0) substrates. The microstructure and magnetic properties were investigated. A strong dependence of coercivity and ordering of the face-central tetragonal structure on both Ag concentration and annealing temperature was observed. With Ag concentration of 22% in atomic ratio, the coercivity got to 6.0 kOe with a grain size of 6.7 nm when annealing temperature was 400 deg. C

  2. Investigation of the flatband voltage (V(FB)) shift of Al2O3 on N2 plasma treated Si substrate.

    Science.gov (United States)

    Kim, Hyungchul; Lee, Jaesang; Jeon, Heeyoung; Park, Jingyu; Jeon, Hyeongtag

    2013-09-01

    The relationships between the physical and electrical characteristics of films treated with N2 plasma followed by forming gas annealing (FGA) were investigated. The Si substrates were treated with various radio frequency (RF) power levels under a N2 ambient. Al2O3 films were then deposited on Si substrates via remote plasma atomic-layer deposition. The plasma characteristics, such as the radical and ion density, were investigated using optical emission spectroscopy. Through X-ray photoelectron spectroscopy, the chemical-bonding configurations of the samples treated with N2 plasma and FGA were examined. The quantity of Si-N bonds increased as the RF power was increased, and Si--O--N bonds were generated after FGA. The flatband voltage (VFB) was shifted in the negative direction with increasing RF power, but the VFB values of the samples after FGA shifted in the positive direction due to the formation of Si--O--N bonds. N2 plasma treatment with various RF power levels slightly increased the leakage current due to the generation of defect sites.

  3. Synergistic effects of semiconductor substrate and noble metal nano-particles on SERS effect both theoretical and experimental aspects

    Science.gov (United States)

    Yang, Chen; Liang, Pei; Tang, Lisha; Zhou, Yongfeng; Cao, Yanting; Wu, Yanxiong; Zhang, De; Dong, Qianmin; Huang, Jie; He, Peng

    2018-04-01

    As a means of chemical identification and analysis, Surface enhanced Raman spectroscopy (SERS), with the advantages of high sensitivity and selectivity, non-destructive, high repeatability and in situ detection etc., has important significance in the field of composition detection, environmental science, biological medicine etc. Physical model of coupling effect between different semiconductor substrates and noble metal particles were investigated by using 3D-FDTD method. Mechanism and the effects of excitation wavelength, particle spacing and semiconductor substrate types on the SERS effect were discussed. The results showed that the optimal excitation wavelengths of three noble metals of Ag, Au, Cu, were located at 510, 600 and 630 nm, respectively; SERS effect of Ag, Au, Cu increases with the decreasing of the inter distance of particles, while the distance of the NPs reaches the critical value of 3 nm, the strength of SERS effect will be greatly enhanced. For the four different types of substrate of Ge, Si, SiO2 (glass) and Al2O3, the SERS effect of Ag on SiO2 > Ge > Al2O3 > Si. For Au and Cu nanoparticles, the SERS effect of them on oxide substrate is stronger than that on non-oxide substrate. In order to verify FDTD simulations, taking silver nanoparticles as an example, and silver nanoparticles prepared by chemical method were spinning coating on the four different substrates with R6G as probe molecules. The results show that the experimental results are consistent with FDTD theoretical simulations, and the SERS enhancement effect of Ag-SiO2 substrate is best. The results of this study have important theoretical significance to explain the variations of SERS enhancement on different noble metals, which is also an important guide for the preparation of SERS substrates, especially for the microfluidics. The better Raman effect can be realized by choosing proper substrate type, particle spacing and excitation wavelength, result in expanding the depth and width

  4. Preparation and switching kinetics of Pb(Zr, Ti)O sub 3 thin films deposited by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Hase, Takashi; Shiosaki, Tadashi [Kyoto Univ. (Japan). Faculty of Engineering

    1991-09-01

    Ferroelectric Pb(Zr, Ti)O{sub 3} (PZT) thin films have been prepared on Pt/Ti/SiO{sub 2}/Si and Pt/SiO{sub 2}/Si substrates using the reactive sputtering method with a metal composite target. The (111)-oriented PZT (80/20) thin films with a perovskite structure have been obtained at a substrate temperature of 595degC on highly (111)-oriented Pt films formed on SiO{sub 2}/Si substrates. When an 8 V pulse sequence was applied to a 265 nm-thick film with an electrode area of 50 x 50 {mu}m{sup 2}, the switching time and the switched charge density measured were 20 ns and 10 {mu}C/cm{sup 2}, respectively. The switching time was strongly dependent on the electrode area. (author).

  5. Coatings of titanium substrates with xCaO·(1 − x)SiO{sub 2} sol–gel materials: characterization, bioactivity and biocompatibility evaluation

    Energy Technology Data Exchange (ETDEWEB)

    Catauro, M., E-mail: michelina.catauro@unina2.it; Papale, F.; Bollino, F.

    2016-01-01

    The objective of this study has been to develop low temperature sol–gel coatings to modify the surface of commercially pure titanium grade 4 (a material generally used in dental application) and to evaluate their bioactivity and biocompatibility on the substrate. Glasses of composition expressed by the following general formula xCaO·(1 − x)SiO{sub 2} (0.0 < x < 0.60) have been prepared by means of the sol–gel route starting from tetraethyl orthosilicate and calcium nitrate tetrahydrate. Those materials, still in the sol phase, have been used to coat titanium substrates by means of the dip-coating technique. Fourier transform infrared spectroscopy (FTIR) and X-ray diffraction (XRD) allowed the materials to be characterized and a microstructural analysis of the coatings obtained was performed using scanning electron microscopy (SEM). The potential applications of the coatings in the biomedical field were evaluated by bioactivity and biocompatibility tests. The coated titanium was immersed in simulated body fluid (SBF) for 21 days and the hydroxyapatite deposition on its surface was subsequently evaluated via SEM–EDXS analysis, as an index of bone-bonding capability. To investigate cell-material interactions, mouse embryonic fibroblast cells (3 T3) were seeded onto the specimens and the cell viability was evaluated by a WST-8 assay. - Highlights: • CaO/SiO{sub 2} biomaterials synthesized by sol–gel method at various molar ratio • Coating of titanium substrate with dip-coating technology • Chemical and morphological characterization of materials and coating • Biocompatibility and bioactivity improvement of coated titanium.

  6. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  7. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  8. Effect of annealing temperature on the electrical transport properties of CaRuO3-δ thin films directly deposited on the Si substrate

    International Nuclear Information System (INIS)

    Paik, Hanjong; Kim, Youngha; No, Kwangsoo; Cann, David P.; Yoon, DongJoo; Kim, ByungIl; Kim, Yangsoo

    2007-01-01

    We investigate the effect of annealing temperature on the preferentially (110)-oriented CaRuO 3-δ (CRO) thin films directly prepared on Si(100) substrate by rf magnetron sputtering. Crystalline quality and electrical transport properties of the CRO thin films were modified by post-annealing treatment. It was obvious that 700 C post-annealing brought about excellent metallic characteristics with the elevation of carrier concentration and mobility. From this result, we suggested that enhanced (110) orientation, and the ratio of chemical composition Ru 4+ /Ca 2+ ion were responsible for the transport properties of CRO thin film. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    International Nuclear Information System (INIS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-01-01

    Highlights: • Concave nano-patterned sapphire substrates with SiO 2 blocking layer. • The IQE is almost two times larger than that of conventional one. • The EQE was extremely enhanced more than 100%. - Abstract: In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO 2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO 2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO 2 blocking layer proposed in this work can enhance the performance of LEDs.

  10. Preparation of U-Si/U-Me (Me = Fe, Ni, Mn) aluminum-dispersion plate-type fuel (miniplates) for capsule irradiation

    International Nuclear Information System (INIS)

    Ugajin, Mitsuhiro; Itoh, Akinori; Akabori, Mitsuo

    1993-06-01

    Details of equipment installed, method adopted and final products were described on the preparation of uranium silicides and other fuels for capsule irradiation. Main emphasis was placed on the preparation of laboratory-scale aluminum-dispersion plate-type fuel (miniplates) loaded to the first and second JMTR silicide capsules. Fuels contained in the capsules are as follows: (A) uranium-silicide base alloys U 3 Si 2 , Mo- added U 3 Si 2 , U 3 Si 2 +U 3 Si, U 3 Si 2 +USi, U 3 Si, U 3 (Si 0.8 Ge 0.2 ), U 3 (Si 0.6 Ge 0.4 ) (B) U 6 Me-type alloys with higher uranium density U 6 Mn, U 6 Ni, U 6 (Fe 0.4 Ni 0.6 ), U 6 (Fe 0.6 Mn 0.4 ) The powder-metallurgical picture-frame method was adopted and laboratory-scale technique was established for the preparation of miniplates. As a result of inspection for capsule irradiation, miniplates were prepared to meet the requirements of specification. (author)

  11. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    International Nuclear Information System (INIS)

    Mizerov, A. M.; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu.

    2015-01-01

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T s ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T s ≈ 750°C and growth conditions providing enrichment with metal is shown

  12. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Mizerov, A. M., E-mail: mizerov@beam.ioffe.ru; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu. [Russian Academy of Sciences, St. Petersburg Academic University-Nanotechnology Research and Education Centre (Russian Federation)

    2015-02-15

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T{sub s} ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T{sub s} ≈ 750°C and growth conditions providing enrichment with metal is shown.

  13. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO{sub 2}/Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Valladares, L. de los Santos, E-mail: ld301@cam.ac.uk [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Dominguez, A. Bustamante [Laboratorio de Cerámicos y Nanomateriales, Facultad de Ciencias Físicas, Universidad Nacional Mayor de San Marcos, Apartado Postal 14-0149, Lima (Peru); Llandro, J.; Holmes, S. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Quispe, O. Avalos [Laboratorio de Cerámicos y Nanomateriales, Facultad de Ciencias Físicas, Universidad Nacional Mayor de San Marcos, Apartado Postal 14-0149, Lima (Peru); Langford, R. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom); Aguiar, J. Albino [Laboratório de Supercondutividade e Materiais Avançados, Departamento de Física, Universidade Federal de Pernambuco, 50670-901, Recife (Brazil); Barnes, C.H.W. [Cavendish Laboratory, Department of Physics, University of Cambridge, J.J. Thomson Ave., Cambridge CB3 0HE (United Kingdom)

    2014-10-15

    Highlights: • Annealing promotes outgassing of SiO{sub 2}/Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO{sub 2} islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO{sub 2}/Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10{sup 8}, respectively. Over an area of 22 × 10{sup −3} cm{sup 2} the density of bubbles obtained at slow annealing (9 × 10{sup 3} cm{sup −2}) is smaller than that at rapid annealing (6.4 × 10{sup 4} cm{sup −2}), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as

  14. Failure behavior of ITO diffusion barrier between electroplating Cu and Si substrate annealed in a low vacuum

    International Nuclear Information System (INIS)

    Hsieh, S.H.; Chien, C.M.; Liu, W.L.; Chen, W.J.

    2009-01-01

    A structure of Cu/ITO(10 nm)/Si was first formed and then annealed at various temperatures for 5 min in a rapid thermal annealing furnace under 10 -2 Torr pressure. In Cu/ITO(10 nm)/Si structure, the ITO(10 nm) film was coated on Si substrate by sputtering process and the Cu film was deposited on ITO film by electroplating technique. The various Cu/ITO(10 nm)/Si samples were characterized by a four-point probe, a scanning electron microscope, an X-ray diffractometer, and a transmission electron microscope. The results showed that when the annealing temperature increases near 600 deg. C the interface between Cu and ITO becomes unstable, and the Cu 3 Si particles begin to form; and when the annealing temperature increases to 650 deg. C, a good many of Cu 3 Si particles about 1 μm in size form and the sheet resistance of Cu/ITO(10 nm)/Si structure largely increases.

  15. Surface microstructure and cell biocompatibility of silicon-substituted hydroxyapatite coating on titanium substrate prepared by a biomimetic process

    International Nuclear Information System (INIS)

    Zhang Erlin; Zou Chunming; Yu Guoning

    2009-01-01

    Silicon-substituted hydroxyapatite (Si-HA) coatings with 0.14 to 1.14 at.% Si on pure titanium were prepared by a biomimetic process. The microstructure characterization and the cell compatibility of the Si-HA coatings were studied in comparison with that of hydroxyapatite (HA) coating prepared in the same way. The prepared Si-HA coatings and HA coating were only partially crystallized or in nano-scaled crystals. The introduction of Si element in HA significantly reduced P and Ca content, but densified the coating. The atom ratio of Ca to (P + Si) in the Si-HA coatings was in a range of 1.61-1.73, increasing slightly with an increase in the Si content. FTIR results displayed that Si entered HA in a form of SiO 4 unit by substituting for PO 4 unit. The cell attachment test showed that the HA and Si-HA coatings exhibited better cell response than the uncoated titanium, but no difference was observed in the cell response between the HA coating and the Si-HA coatings. Both the HA coating and the Si-HA coatings demonstrated a significantly higher cell growth rate than the uncoated pure titanium (p < 0.05) in all incubation periods while the Si-HA coating exhibited a significantly higher cell growth rate than the HA coating (p < 0.05). Si-HA with 0.42 at.% Si presented the best cell biocompatibility in all of the incubation periods. It was suggested that the synthesis mode of HA and Si-HA coatings in a simulated body environment in the biomimetic process contribute significantly to good cell biocompatibility

  16. FEM for modelling 193 nm excimer laser treatment of SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub x} heterostructures on SOI substrates

    Energy Technology Data Exchange (ETDEWEB)

    Conde, J.C.; Chiussi, S.; Gontad, F.; Gonzalez, P. [Dpto. Fisica Aplicada, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain); Martin, E. [Dpto. de Mecanica, Maquinas, Motores Termicos y Fluidos, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain)

    2011-03-15

    Research on epitaxial crystalline silicon (c-Si) and silicon-germanium (Si{sub 1-x}Ge{sub x}) alloys growth and annealing for microelectronic purposes, such as Micro- or Nano-Electro-Mechanical Systems (MEMS or NEMS) and Silicon-On-Nothing (SON) devices is continuously in progress. Laser assisted annealing techniques using commercial ArF Excimer Laser sources are based on ultra-rapid heating and cooling cycles induced by the 193 nm pulses of 20 ns, which are absorbed in the near surface region of the heterostructures. During and after the absorption of these laser pulses, complex physical processes appear that strongly depend on sample structure and applied laser pulse energy densities. The control of the experimental parameters is therefore a key task for obtaining high quality alloys. The Finite ElementsMethod (FEM) is a powerful tool for the optimization of such treatments, because it provides the spatial and temporal temperature fields that are produced by the laser pulses. In this work, we have used a FEM commercial software, to predict the temperatures gradients induced by ArF excimer laser over a wide energy densities range, 0.1<{phi}<0.4 J/cm{sup 2}, on different SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub (x)} thin films deposited on SOI substrate. These numerical results allow us to predict the threshold energies needed to reach the melting point (MP) of the Si and SiGe alloy without oxidation of the thin films system. Therefore, it is possible to optimize the conditions to achieve high quality epitaxy films. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Formation mechanisms of metallic Zn nanodots by using ZnO thin films deposited on n-Si substrates

    International Nuclear Information System (INIS)

    Yuk, J. M.; Lee, J. Y.; Kim, Y.; No, Y. S.; Kim, T. W.; Choi, W. K.

    2010-01-01

    High-resolution transmission electron microscopy and energy dispersive x-ray spectroscopy results showed that metallic Zn nanodots (NDs) were fabricated through transformation of ZnO thin films by deposition of SiO x on ZnO/n-Si (100) heterostructures. The Zn NDs with various sizes and densities were formed due to the occurrence of the mass diffusion of atoms along the grain boundaries in the ZnO thin films. The fabrication mechanisms of metallic Zn NDs through transformation of ZnO thin films deposited on n-Si substrates are described on the basis of the experimental results.

  18. Spreading and solidification behavior of molten Si droplets impinging on substrates

    International Nuclear Information System (INIS)

    Nagashio, K.; Murata, H.; Kuribayashi, K.

    2004-01-01

    This paper focuses on an effect of initial undercoolings on the spreading and solidification behavior of Si dropped on a silicon wafer, which was directly observed through it by the infrared imaging system. For an overheated droplet, the melt spreading occurred first and solidified later. The final splat shape was a typical disc. On the other hand, for a droplet with large initial undercooling, the solidification took place at the faster rate than the melt spreading, which resulted in a spherical shape of final splat. It is indicated that the final shape is considerably affected by the initial undercooling in the measurable-scale experiment with large droplets (∼mm size) and low impingement rates (∼m/s order). Moreover, equiaxed grains were found throughout the quenched surface by an electron backscatter pattern analysis. That is, the microstructure formation was nucleation-controlled since the growth parallel to the substrate was suppressed by the time-dependent contact of melt/substrate governed by the melt deformation

  19. Formation of hexagonal silicon carbide by high energy ion beam irradiation on Si (1 0 0) substrate

    International Nuclear Information System (INIS)

    Bhuyan, H; Favre, M; Valderrama, E; Avaria, G; Chuaqui, H; Mitchell, I; Wyndham, E; Saavedra, R; Paulraj, M

    2007-01-01

    We report the investigation of high energy ion beam irradiation on Si (1 0 0) substrates at room temperature using a low energy plasma focus (PF) device operating in methane gas. The unexposed and ion exposed substrates were characterized by x-ray diffraction, scanning electron microscopy (SEM), photothermal beam deflection, energy-dispersive x-ray analysis and atomic force microscopy (AFM) and the results are reported. The interaction of the pulsed PF ion beams, with characteristic energy in the 60-450 keV range, with the Si surface, results in the formation of a surface layer of hexagonal silicon carbide. The SEM and AFM analyses indicate clear step bunching on the silicon carbide surface with an average step height of 50 nm and a terrace width of 800 nm

  20. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, Heike, E-mail: angermann@helmholtz-berlin.de

    2014-09-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D{sub it}(E), and density D{sub it,min} of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly

  1. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    International Nuclear Information System (INIS)

    Angermann, Heike

    2014-01-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D it (E), and density D it,min of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly based on

  2. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  3. Wafer-Scale Hierarchical Nanopillar Arrays Based on Au Masks and Reactive Ion Etching for Effective 3D SERS Substrate

    Directory of Open Access Journals (Sweden)

    Dandan Men

    2018-02-01

    Full Text Available Two-dimensional (2D periodic micro/nanostructured arrays as SERS substrates have attracted intense attention due to their excellent uniformity and good stability. In this work, periodic hierarchical SiO2 nanopillar arrays decorated with Ag nanoparticles (NPs with clean surface were prepared on a wafer-scale using monolayer Au NP arrays as masks, followed by reactive ion etching (RIE, depositing Ag layer and annealing. For the prepared SiO2 nanopillar arrays decorated with Ag NPs, the size of Ag NPs was tuned from ca. 24 to 126 nanometers by controlling the deposition thickness of Ag film. Importantly, the SiO2 nanopillar arrays decorated with Ag NPs could be used as highly sensitive SERS substrate for the detection of 4-aminothiophenol (4-ATP and rhodamine 6G (R6G due to the high loading of Ag NPs and a very uniform morphology. With a deposition thickness of Ag layer of 30 nm, the SiO2 nanopillar arrays decorated with Ag NPs exhibited the best sensitive SERS activity. The excellent SERS performance of this substrate is mainly attributed to high-density “hotspots” derived from nanogaps between Ag NPs. Furthermore, this strategy might be extended to synthesize other nanostructured arrays with a large area, which are difficult to be prepared only via conventional wet-chemical or physical methods.

  4. Wafer-Scale Hierarchical Nanopillar Arrays Based on Au Masks and Reactive Ion Etching for Effective 3D SERS Substrate.

    Science.gov (United States)

    Men, Dandan; Wu, Yingyi; Wang, Chu; Xiang, Junhuai; Yang, Ganlan; Wan, Changjun; Zhang, Honghua

    2018-02-04

    Two-dimensional (2D) periodic micro/nanostructured arrays as SERS substrates have attracted intense attention due to their excellent uniformity and good stability. In this work, periodic hierarchical SiO₂ nanopillar arrays decorated with Ag nanoparticles (NPs) with clean surface were prepared on a wafer-scale using monolayer Au NP arrays as masks, followed by reactive ion etching (RIE), depositing Ag layer and annealing. For the prepared SiO₂ nanopillar arrays decorated with Ag NPs, the size of Ag NPs was tuned from ca. 24 to 126 nanometers by controlling the deposition thickness of Ag film. Importantly, the SiO₂ nanopillar arrays decorated with Ag NPs could be used as highly sensitive SERS substrate for the detection of 4-aminothiophenol (4-ATP) and rhodamine 6G (R6G) due to the high loading of Ag NPs and a very uniform morphology. With a deposition thickness of Ag layer of 30 nm, the SiO₂ nanopillar arrays decorated with Ag NPs exhibited the best sensitive SERS activity. The excellent SERS performance of this substrate is mainly attributed to high-density "hotspots" derived from nanogaps between Ag NPs. Furthermore, this strategy might be extended to synthesize other nanostructured arrays with a large area, which are difficult to be prepared only via conventional wet-chemical or physical methods.

  5. Joining of thin thickness SiCf/SiC composites: research of a joining composition and of an associated elaboration process

    International Nuclear Information System (INIS)

    Jacques, E.

    2012-01-01

    The present work is part of the Fourth Generation Fast Reactors program. One of the key issues is the joining of the SiC f /SiC ceramic matrix composites (CMC) to seal the combustible cladding. At the present time, no chemical composition as a joint is refractive enough to face the expected operating temperatures. The aims of this study are the following: (1) the identification of a joining composition and its associated elaboration process answering the specifications, (2) the validation of a local and fast heating process and (3) the definition of mechanical tests to characterise these joinings. We describe the methodology and the results for joining SiC and SiC f /SiC substrates at solid and liquid state using metallic silicides. Joint integrity and joint strength can be improved by adding small SiC particles to the silicides powders. Chemical reactivity, wettability tests and thermomechanical properties analysis have been carried out on the joints. Cross sections of the assembly were prepared to study the joint/substrate chemical bonding, the cracking and the crack deflection in the vicinity of the interface. Also, one of the challenge consists in using a local heating at a high temperature (around 1800 C) for a short time to avoid the degradation of the composite structure. The assemblies have been then performed in an inductive furnace but in order to prepare the joining technology, trials of local heating have also been investigated with a CO 2 laser beam and a microwave generator. Finally, descriptions of the 4-points bending mechanical test used and the associated results are presented. (author) [fr

  6. Microstructure and mechanical properties of Zr-Si-N films prepared by rf-reactive sputtering

    CERN Document Server

    Nose, M; Zhou, M; Mae, T; Meshii, M

    2002-01-01

    ZrN and ZrSiN films were prepared in an rf sputtering apparatus that has a pair of targets facing each other (referred to as the facing target--type rf sputtering). Films were deposited on silicon wafers without bias application or substrate heating in order to examine only the effect of silicon addition to the transition metal nitride films. The contents of zirconium, nitrogen, and silicon of the films were determined with an electron probe microanalyzer. The transmission electron microscopy studies were carried out in addition to x-ray diffraction. For the high resolution transmission electron microscopy observation, the field emission type transmission electron microscope was used, which provides a point-to-point resolution of 0.1 nm. The samples were observed both parallel and perpendicular to the film surface, which were plane and cross sectional views, respectively. In order to investigate the relationship between the mechanical properties and microstructure of films, the hardness was measured by a nano...

  7. Magnetron-sputter deposition of high-indium-content n-AlInN thin film on p-Si(001) substrate for photovoltaic applications

    International Nuclear Information System (INIS)

    Liu, H. F.; Tan, C. C.; Dalapati, G. K.; Chi, D. Z.

    2012-01-01

    Al 0.278 In 0.722 N thin films have been grown on p-type Si(001) and c-plane sapphire substrates by employing radio-frequency magnetron-sputter deposition at elevated temperatures. High-resolution x-ray diffraction, as well as pole-figure measurements, reveals no phase separation of the thin films. The Al 0.278 In 0.722 N film grown on p-Si(001) substrate is a typical fiber-texture with AlInN(0001)//Si(001) while that on the c-sapphire exhibits the onset of epitaxy. Microscopic studies reveal that the growth is dominated by a columnar mechanism and the average columnar grain diameter is about 31.5 and 50.8 nm on p-Si(001) and c-sapphire substrates, respectively. Photoluminescence at room-temperature exhibits a strong emission peak at 1.875 eV, smaller than the optical absorption edge (2.102 eV) but larger than the theoretical bandgap energy (1.70 eV), which is attributable to the band-filling effect, as is supported by the high electron density of 4.5 × 10 20 cm −3 . The n-Al 0.278 In 0.722 N/p-Si(001) heterostructure is tested for solar cells and the results are discussed based on the I-V characteristics and their fittings.

  8. CoFe2O4-SiO2 Composites: Preparation and Magnetodielectric Properties

    Directory of Open Access Journals (Sweden)

    T. Ramesh

    2016-01-01

    Full Text Available Cobalt ferrite (CoFe2O4 and silica (SiO2 nanopowders have been prepared by the microwave hydrothermal (M-H method using metal nitrates as precursors of CoFe2O4 and tetraethyl orthosilicate as a precursor of SiO2. The synthesized powders were characterized by XRD and FESEM. The (100-x (CoFe2O4 + xSiO2 (where x = 0%, 10%, 20%, and 30% composites with different weight percentages have been prepared using ball mill method. The composite samples were sintered at 800°C/60 min using the microwave sintering method and then their structural and morphological studies were investigated using X-ray diffraction (XRD, Fourier transformation infrared (FTIR spectra, and scanning electron microscopy (SEM, respectively. The effect of SiO2 content on the magnetic and electrical properties of CoFe2O4/SiO2 nanocomposites has been studied via the magnetic hysteresis loops, complex permeability, permittivity spectra, and DC resistivity measurements. The synthesized nanocomposites with adjustable grain sizes and controllable magnetic properties make the applicability of cobalt ferrite even more versatile.

  9. Novel Cu@SiO{sub 2}/bacterial cellulose nanofibers: Preparation and excellent performance in antibacterial activity

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Bo [Chemicobiology and Functional Materials Institute of Nanjing University of Science and Technology, Xiao Ling Wei 200, Nanjing 210094 (China); Department of Life Sciences of Lianyungang Teacher' s College, Sheng Hu Lu 28, Lianyungang 222006 (China); Huang, Yang; Zhu, Chunlin; Chen, Chuntao; Chen, Xiao; Fan, Mengmeng [Chemicobiology and Functional Materials Institute of Nanjing University of Science and Technology, Xiao Ling Wei 200, Nanjing 210094 (China); Sun, Dongping, E-mail: sundpe301@163.com [Chemicobiology and Functional Materials Institute of Nanjing University of Science and Technology, Xiao Ling Wei 200, Nanjing 210094 (China)

    2016-05-01

    The antibacterial composite based on bacterial cellulose (BC) was successfully prepared by in-situ synthesis of SiO{sub 2} coated Cu nanoparticles (Cu@SiO{sub 2}/BC) and its properties were characterized. Its chemical structures and morphologies were evaluated by Fourier transformation infrared spectrum (FTIR), scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS). The results demonstrated that the SiO{sub 2} coated Cu particles were well homogeneously precipitated on the surface of BC. The Cu@SiO{sub 2}/BC was more resistant to oxidation than the Cu nanoparticles impregnated into BC (Cu/BC) and then Cu@SiO{sub 2}/BC could prolong the antimicrobial activity against Staphylococcus aureus (S. aureus) and Escherichia coli (E. coli). - Graphical abstract: Schematic illustration of the preparation of Cu@SiO{sub 2}/BC. Due to its unique structure, the Cu@SiO{sub 2}/BC membrane shows excellent antibacterial effects and can be used for a long time. - Highlights: • This work paves the novel way to fabricate antibacterial nanomaterial with good efficiency. • We prepare the antibacterial membrane based on bacterial cellulose by in-situ synthesis of SiO{sub 2}-coated Cu nanoparticles. • The antibacterial membrane is more resistant to oxidation and can prolong the antimicrobial activity.

  10. Influence of Substrate on Crystal Orientation of Large-Grained Si Thin Films Formed by Metal-Induced Crystallization

    Directory of Open Access Journals (Sweden)

    Kaoru Toko

    2015-01-01

    Full Text Available Producing large-grained polycrystalline Si (poly-Si film on glass substrates coated with conducting layers is essential for fabricating Si thin-film solar cells with high efficiency and low cost. We investigated how the choice of conducting underlayer affected the poly-Si layer formed on it by low-temperature (500°C Al-induced crystallization (AIC. The crystal orientation of the resulting poly-Si layer strongly depended on the underlayer material: (100 was preferred for Al-doped-ZnO (AZO and indium-tin-oxide (ITO; (111 was preferred for TiN. This result suggests Si heterogeneously nucleated on the underlayer. The average grain size of the poly-Si layer reached nearly 20 µm for the AZO and ITO samples and no less than 60 µm for the TiN sample. Thus, properly electing the underlayer material is essential in AIC and allows large-grained Si films to be formed at low temperatures with a set crystal orientation. These highly oriented Si layers with large grains appear promising for use as seed layers for Si light-absorption layers as well as for advanced functional materials.

  11. Upconversion and tribological properties of β-NaYF{sub 4}:Yb,Er film synthesized on silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chuanying [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Cheng, Xianhua, E-mail: xhcheng@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2016-05-15

    Highlights: • β-NaYF{sub 4}:Yb,Er upconversion (UC) film was synthesized on silicon substrate. • Tribological test was used to qualitatively evaluate the adhesion of the UC film. • The UC film was combined with Si substrate by covalent chemical bonds. • The method used in this work can be applicable for other UC films. - Abstract: In this work, β-NaYF{sub 4}:Yb,Er upconversion (UC) film was successfully prepared on silicon (Si) substrate via self-assemble method for the first time. The chemical composition and surface morphology of the UC film were characterized by Fourier transform infrared spectroscopy (FT-IR), X-ray photoelectron spectroscopy (XPS), water contact angle (WCA), X-ray power diffraction (XRD), and scanning electron microscopy (SEM) measurements. To investigate the effects of KH-560 primer film and chemical reactions on the UC luminescence properties of β-NaYF{sub 4}:Yb,Er UC film, decay profiles of the 540 nm and 655 nm radiations were measured. Furthermore, tribological test was applied to qualitatively evaluate the adhesion of the UC film. The results indicate that the UC film has been successfully prepared on Si substrate by covalent chemical bonds. This work provides a facile way to synthesize β-NaYF{sub 4}:Yb,Er UC film with robust adhesion to the substrate, which can be applicable for other UC films.

  12. Photoreflectance Spectroscopy Characterization of Ge/Si0.16Ge0.84 Multiple Quantum Wells on Ge Virtual Substrate

    OpenAIRE

    Hsu, Hung-Pin; Yang, Pong-Hong; Huang, Jeng-Kuang; Wu, Po-Hung; Huang, Ying-Sheng; Li, Cheng; Huang, Shi-Hao; Tiong, Kwong-Kau

    2013-01-01

    We report a detailed characterization of a Ge/Si0.16Ge0.84 multiple quantum well (MQW) structure on Ge-on-Si virtual substrate (VS) grown by ultrahigh vacuum chemical vapor deposition by using temperature-dependent photoreflectance (PR) in the temperature range from 10 to 300 K. The PR spectra revealed a wide range of optical transitions from the MQW region as well as transitions corresponding to the light-hole and heavy-hole splitting energies of Ge-on-Si VS. A detailed comparison of PR spec...

  13. GaInAsP-InP Double Heterostructure Lasers on Si Substrate Grown by LP-MOCVD

    National Research Council Canada - National Science Library

    Razeghi, M

    1993-01-01

    ... #N00014-93-1-0176 'GaInAsP-InP double heterostructure lasers on Si substrate grown by MOVCD'. In order to achieve this goal of the contract, the CQD research group split the divided research work into three phases (with specific tasks...

  14. Preparation of Al/Si functionally graded materials using ultrasonic separation method

    Directory of Open Access Journals (Sweden)

    Zhang Zhongtao

    2008-08-01

    Full Text Available Functionally graded materials (FGM have been widely used in many industries such as aerospace, energy and electronics. In this experimental study of fabricating FGM, an approach was developed to prepare Al/Si FGM using power ultrasonic separation method. Material sample with continuously changing composition and performance/properties was successfully produced. Results showed that the microstructure of the FGM sample transited, from its top to bottom, from the hypereutectic structure with a large quantity of primary Si gradually to the eutectic, and fi nally to the hypoeutectic with numerous primary Al dendrites. The distribution of primary Si and microhardness of the FGM sample also presented graded characteristics, resulting that the wear resistance of the FGM sample decreased from top to bottom. Preliminary discussion was made on the mechanism of the formation of Al/Si FGM.

  15. Photoluminescence investigation of strictly ordered Ge dots grown on pit-patterned Si substrates

    International Nuclear Information System (INIS)

    Brehm, Moritz; Grydlik, Martyna; Tayagaki, Takeshi; Schmidt, Oliver G; Langer, Gregor; Schäffler, Friedrich

    2015-01-01

    We investigate the optical properties of ordered Ge quantum dots (QDs) by means of micro-photoluminescence spectroscopy (PL). These were grown on pit-patterned Si(001) substrates with a wide range of pit-periods and thus inter QD-distances (425–3400 nm). By exploiting almost arbitrary inter-QD distances achievable in this way we are able to choose the number of QDs that contribute to the PL emission in a range between 70 and less than three QDs. This well-defined system allows us to clarify, by PL-investigation, several points which are important for the understanding of the formation and optical properties of ordered QDs. We directly trace and quantify the amount of Ge transferred from the surrounding wetting layer (WL) to the QDs in the pits. Moreover, by exploiting different pit-shapes, we reveal the role of strain-induced activation energy barriers that have to be overcome for charge carriers generated outside the dots. These need to diffuse between the energy minimum of the WL in and between the pits, and the one in the QDs. In addition, we demonstrate that the WL in the pits is already severely intermixed with Si before upright QDs nucleate, which further enhances intermixing of ordered QDs as compared to QDs grown on planar substrates. Furthermore, we quantitatively determine the amount of Ge transferred by surface diffusion through the border region between planar and patterned substrate. This is important for the growth of ordered islands on patterned fields of finite size. We highlight that the Ge WL-facets in the pits act as PL emission centres, similar to upright QDs. (paper)

  16. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    Science.gov (United States)

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  17. Formation of Me–O–Si covalent bonds at the interface between polysilazane and stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Amouzou, Dodji, E-mail: adodji@gmail.com [Research Centre in Physics of Matter and Radiation (PMR), University of Namur, Rue de Bruxelles 61, 5000 Namur (Belgium); Fourdrinier, Lionel; Maseri, Fabrizio [CRM-Group, Boulevard de Colonster, B 57, 4000 Liège (Belgium); Sporken, Robert [Research Centre in Physics of Matter and Radiation (PMR), University of Namur, Rue de Bruxelles 61, 5000 Namur (Belgium)

    2014-11-30

    Highlights: • Natural metal-oxides, hydroxides are detected on the top surface of steel substrates we tested. • Polysilazane reacts with hydroxide functional groups on steel substrates to form Cr–O–Si and Fe–O–Si covalent bonds. • Covalent bonding between steel and polysilazane at the interface was probed using spectroscopic techniques. - Abstract: In earlier works, we demonstrated the potential of polysilazane (PSZ) coatings for a use as insulating layers in Cu(In,Ga)Se{sub 2} (CIGS) solar cells prepared on steels substrates and showed a good adhesion between PSZ coatings and both AISI316 and AISI430 steels. In the present paper, spectroscopic techniques are used to elucidate the reason of such adhesion. X-ray Photoelectron Spectroscopy (XPS) was used to investigate surfaces for the two steel substrates and showed the presence of metal oxides and metal hydroxides at the top surface. XPS has been also used to probe interfaces between substrates and PSZ, and metallosiloxane (Me–O–Si) covalent bonds have been detected. These results were confirmed by Infra-Red Reflection Absorption Spectroscopy (IRRAS) analyses since vibrations related to Cr–O–Si and Fe–O–Si compounds were detected. Thus, the good adhesion between steel substrates and PSZ coatings was explained by covalent bonding through chemical reactions between PSZ precursors and hydroxide functional groups present on top surface of the two types of steel. Based on these results, an adhesion mechanism between steel substrates and PSZ coatings is proposed.

  18. Preparation and electrocatalytic properties of Pt-SiO2 nanocatalysts for ethanol electrooxidation.

    Science.gov (United States)

    Liu, B; Chen, J H; Zhong, X X; Cui, K Z; Zhou, H H; Kuang, Y F

    2007-03-01

    Due to their high stability in general acidic solutions, SiO(2) nanoparticles were selected as the second catalyst for ethanol oxidation in sulfuric acid aqueous solution. Pt-SiO(2) nanocatalysts were prepared in this paper. The micrography and elemental composition of Pt-SiO(2) nanoparticles were characterized by scanning electron microscopy and energy dispersive X-ray spectroscopy, respectively. The electrocatalytic properties of Pt-SiO(2) nanocatalysts for ethanol oxidation were investigated by cyclic voltammetry. Under the same Pt loading mass and experimental conditions for ethanol oxidation, Pt-SiO(2) nanocatalysts show higher activity than PtRu/C (E-Tek), Pt/C (E-Tek), and Pt catalysts. Additionally, Pt-SiO(2) nanocatalysts possess good anti-poisoning ability. The results indicate that Pt-SiO(2) nanocatalysts may have good potential applications in direct ethanol fuel cells.

  19. Alteration of corrosion and nanomechanical properties of pulse electrodeposited Ni/SiC nanocomposite coatings

    Energy Technology Data Exchange (ETDEWEB)

    Zarghami, V. [Department of Materials Science and Engineering, Sharif University of Technology, Azadi Street, Tehran (Iran, Islamic Republic of); Ghorbani, M., E-mail: Ghorbani@sharif.edu [Department of Materials Science and Engineering, Sharif University of Technology, Azadi Street, Tehran (Iran, Islamic Republic of); Institute for Nanoscience and Nanotechnology, Sharif University of Technology, Azadi Street, Tehran (Iran, Islamic Republic of)

    2014-06-15

    Highlights: • Preparing Ni/SiC coatings on the Cu substrate by using of rotating disk electrode. • Optimizing of pulse current density parameters. • Optimizing of SiC content in the bath. • Investigation the effect of codeposited SiC amount on the properties of coatings. - Abstract: Nickel/silicon carbide composite electrodeposits were prepared on a rotating disk electrode (RDE), under pulse current condition. The effect of pulse parameters, current density, SiC content in the electrolyte on the codeposition of SiC were studied. Afterwards, the effect of codeposited SiC amount was investigated on electrochemical behavior and nanomechanical properties of coatings. The coatings were analyzed with Scanning Electron Microscopy (SEM), linear polarization, nanoindentation and Atomic Force Microscopy (AFM). The Ni–SiC electrocomposites, prepared at optimum conditions, exhibited improved nanomechanical properties in comparison to pure nickel electrodeposits. With increasing current density the morphology changed from flat surface to cauliflower structure. The Ni–SiC electrocomposites exhibited improved nanomechanical properties and corrosion resistances in comparison to pure nickel electrodeposits and these properties were improving with increasing codeposited SiC particles in electrocomposites.

  20. Ultra-high sensitive substrates for surface enhanced Raman scattering, made of 3 nm gold nanoparticles embedded on SiO2 nanospheres

    Science.gov (United States)

    Phatangare, A. B.; Dhole, S. D.; Dahiwale, S. S.; Bhoraskar, V. N.

    2018-05-01

    The surface properties of substrates made of 3 nm gold nanoparticles embedded on SiO2 nanospheres enabled fingerprint detection of thiabendazole (TBZ), crystal violet (CV) and 4-Aminothiophenol (4-ATP) at an ultralow concentration of ∼10-18 M by surface enhanced Raman spectroscopy (SERS). Gold nanoparticles of an average size of ∼3 nm were synthesized and simultaneously embedded on SiO2 nanospheres by the electron irradiation method. The substrates made from the 3 nm gold nanoparticles embedded on SiO2 nanospheres were successfully used for recording fingerprint SERS spectra of TBZ, CV and 4-ATP over a wide range of concentrations from 10-6 M to 10-18 M using 785 nm laser. The unique features of these substrates are roughness near the surface due to the inherent structural defects of 3 nm gold nanoparticles, nanogaps of ≤ 1 nm between the embedded nanoparticles and their high number. These produced an abundance of nanocavities which act as active centers of hot-spots and provided a high electric field at the reporter molecules and thus an enhancement factor required to record the SERS spectra at ultra low concentration of 10-18 M. The SERS spectra recorded by the substrates of 4 nm and 6 nm gold nanoparticles are discussed.

  1. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Dakhel, A.A.; Jasim, Khalil E. [Department of Physics, College of Science, University of Bahrain, P.O. Box 32038 (Bahrain); Cassidy, S. [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain); Henari, F.Z., E-mail: fzhenari@rcsi-mub.com [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain)

    2013-09-20

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε{sup ′}{sub ∞}≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z{sup *}(ω) and modulus M{sup *}(ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices.

  2. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    International Nuclear Information System (INIS)

    Dakhel, A.A.; Jasim, Khalil E.; Cassidy, S.; Henari, F.Z.

    2013-01-01

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε ′ ∞ ≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z * (ω) and modulus M * (ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices

  3. Preparation, Characterization and Thermal Degradation of Polyimide (4-APS/BTDA/SiO2 Composite Films

    Directory of Open Access Journals (Sweden)

    Arash Dehzangi

    2012-04-01

    Full Text Available Polyimide/SiO2 composite films were prepared from tetraethoxysilane (TEOS and poly(amic acid (PAA based on aromatic diamine (4-aminophenyl sulfone (4-APS and aromatic dianhydride (3,3,4,4-benzophenonetetracarboxylic dianhydride (BTDA via a sol-gel process in N-methyl-2-pyrrolidinone (NMP. The prepared polyimide/SiO2 composite films were characterized using X-ray diffraction (XRD, Fourier transform infrared spectroscopy (FTIR, scanning electron microscope (SEM and thermogravimetric analysis (TGA. The FTIR results confirmed the synthesis of polyimide (4-APS/BTDA and the formation of SiO2 particles in the polyimide matrix. Meanwhile, the SEM images showed that the SiO2 particles were well dispersed in the polyimide matrix. Thermal stability and kinetic parameters of the degradation processes for the prepared polyimide/SiO2 composite films were investigated using TGA in N2 atmosphere. The activation energy of the solid-state process was calculated using Flynn–Wall–Ozawa’s method without the knowledge of the reaction mechanism. The results indicated that thermal stability and the values of the calculated activation energies increased with the increase of the TEOS loading and the activation energy also varied with the percentage of weight loss for all compositions.

  4. Synthesis and properties of crystalline thin film of antimony trioxide on the Si(1 0 0) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Yasir, M. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Kuzmin, M. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Ioffe Physical-Technical Institute, Russian Academy of Sciences, St. Petersburg 194021 (Russian Federation); Punkkinen, M.P.J.; Mäkelä, J.; Tuominen, M.; Dahl, J. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Laukkanen, P., E-mail: pekka.laukkanen@utu.fi [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Kokko, K. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland)

    2015-09-15

    Highlights: • Formation of crystalline phase of Sb{sub 2}O{sub 3} on Si(1 0 0) is demonstrated. • STM and STS characterizations of the grown Sb{sub 2}O{sub 3} film are presented. • STS results elucidate the band gap of Sb{sub 2}O{sub 3}. • Ab initio calculations reveal energetically favored Sb{sub 2}O{sub 3} surface structures. - Abstract: Atomic-scale understanding and processing of the surface and interface properties of antimony trioxide (Sb{sub 2}O{sub 3}) are essential to the development of nanoscale Sb{sub 2}O{sub 3} materials for various applications, such as photocatalysts, transparent conducting oxides, optical coatings, dielectric films, and fire retardants. Lack of atomically well-defined, crystalline Sb{sub 2}O{sub 3} templates has however hindered atomic resolution characterization of the Sb{sub 2}O{sub 3} properties. We report the preparation of crystalline Sb{sub 2}O{sub 3} thin films on the Si(1 0 0) substrate with a simple process by oxidizing Sb-covered Si(1 0 0) in proper conditions. Physical properties of the synthesized films have been elucidated by low-energy electron diffraction, scanning tunneling microscopy and spectroscopy, and ab initio calculations. The spectroscopic results show that the band gap of Sb{sub 2}O{sub 3} is 3.6 eV around the gamma point (i.e. Γ). Calculations reveal energetically favored Sb{sub 2}O{sub 3}(1 0 0) surface structures. The findings open a new path for the atomic-scale research of Sb{sub 2}O{sub 3}.

  5. Interdiffusion studies on high-Tc superconducting YBa2Cu3O7-δ thin films on Si(111) with a NiSi2/ZrO2 buffer layer

    DEFF Research Database (Denmark)

    Aarnink, W.A.M.; Blank, D.H.A.; Adelerhof, D.J.

    1991-01-01

    Interdiffusion studies on high-T(c) superconducting YBa2Cu3O7-delta thin films with thickness in the range of 2000-3000 angstrom, on a Si(111) substrate with a buffer layer have been performed. The buffer layer consists of a 400 angstrom thick epitaxial NiSi2 layer covered with 1200 angstrom...... of polycrystalline ZrO2. YBa2Cu3O7-delta films were prepared using laser ablation. The YBa2Cu3O7-delta films on the Si/NiSi2/ZrO2 substrates are of good quality; their critical temperatures T(c,zero) and T(c,onset) have typical values of 85 and 89 K, respectively. The critical current density j(c) at 77 K equaled 4...... x 10(4) A/cm2. With X-ray analysis (XRD), only c-axis orientation has been observed. The interdiffusion studies, using Rutherford backscattering spectrometry (RBS) and scanning Auger microscopy (SAM) show that the ZrO2 buffer layer prevents severe Si diffusion to the YBa2Cu3O7-delta layer, the Si...

  6. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    Science.gov (United States)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  7. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    Science.gov (United States)

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  8. Preparing Magnetocaloric LaFeSi Uniform Microstructures by Spark Plasma Sintering

    DEFF Research Database (Denmark)

    Vicente, N.; Ocanã, J.; Neves Bez, Henrique

    2014-01-01

    Spark Plasma Sintering (SPS) of LaFeSi alloy powders was conducted to prepare magnetocaloric La-Fe-Si-based uniform microstructures. Two electrically insulating discs made of alumina were interposed between the punches and powder sample inhibiting the flow of electric current across the powder...... from hydrogenated and decrypted casting ingot. The characterizations of sintered samples were performed by Scanning Electron Microscopy (SEM), Archimedes principle, Vicker’s hardness and microhardness. The uniformity of the microstructure was evaluated by checking the evidence of position on the Vicker...

  9. Direct nanofabrication and transmission electron microscopy on a suite of easy-to-prepare ultrathin film substrates

    International Nuclear Information System (INIS)

    Allred, Daniel B.; Zin, Melvin T.; Ma, Hong; Sarikaya, Mehmet; Baneyx, Francois; Jen, Alex K.-Y.; Schwartz, Daniel T.

    2007-01-01

    A high-yield, easy to master method for preparing electron transparent metal, oxide, and carbon ultrathin film substrates suitable for direct nano/micro-fabrication and transmission electron microscopy (TEM) is presented. To demonstrate the versatility of these substrates for fabrication processes, we use e-beam lithography, self-assembled colloidal and protein templates, and microcontact printing to create patterned masks for subsequent electrodeposition of two dimensional and three dimensional structures. The electrodeposited structures range in scale from a few nanometers to a few micrometers in characteristic dimensions. Because fabrication occurs directly on ultrathin films, TEM analysis of the resulting materials and buried interfaces is straightforward without any destructive sample preparation. We show that all the normal TEM analytical methods (imaging, diffraction, electron and X-ray spectroscopies) are compatible with the fabricated structures and the thin film substrates. These electron transparent substrates have largely rendered the need for TEM sample preparation on fabricated structures obsolete in our lab

  10. Structural and electrical characterization of HBr/O2 plasma damage to Si substrate

    International Nuclear Information System (INIS)

    Fukasawa, Masanaga; Nakakubo, Yoshinori; Matsuda, Asahiko; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi; Minami, Masaki; Uesawa, Fumikatsu; Tatsumi, Tetsuya

    2011-01-01

    Silicon substrate damage caused by HBr/O 2 plasma exposure was investigated by spectroscopic ellipsometry (SE), high-resolution Rutherford backscattering spectroscopy, and transmission electron microscopy. The damage caused by H 2 , Ar, and O 2 plasma exposure was also compared to clarify the ion-species dependence. Although the damage basically consists of a surface oxidized layer and underlying dislocated Si, the damage structure strongly depends on the incident ion species, ion energy, and oxidation during air and plasma exposure. In the case of HBr/O 2 plasma exposure, hydrogen generated the deep damaged layer (∼10 nm), whereas ion-enhanced diffusion of oxygen, supplied simultaneously by the plasma, caused the thick surface oxidation. In-line monitoring of damage thicknesses by SE, developed with an optimized optical model, showed that the SE can be used to precisely monitor damage thicknesses in mass production. Capacitance-voltage (C-V) characteristics of a damaged layer were studied before and after diluted-HF (DHF) treatment. Results showed that a positive charge is generated at the surface oxide-dislocated Si interface and/or in the bulk oxide after plasma exposure. After DHF treatment, most of the positive charges were removed, while the thickness of the ''Si recess'' was increased by removing the thick surface oxidized layer. As both the Si recess and remaining dislocated Si, including positive charges, cause the degradation of electrical performance, precise monitoring of the surface structure and understanding its effect on device performance is indispensable for creating advanced devices.

  11. Preparation of Si and O co-solution strengthened Ti alloys by using rice husks as SiO2 resource and quantitative descriptions on their strengthening effects

    Science.gov (United States)

    Jia, Lei; Chen, Jiang-xian; Lu, Zhen-lin; Li, Shu-feng; Umeda, Junko; Kondoh, Katsuyoshi

    2018-04-01

    Ti alloys strengthened by both Si and O solutes were prepared by powder metallurgy method from pure Ti and amorphous SiO2 powder obtained by combusting rice husks. At the same time, Ti alloys singly strengthened by Si or O were also prepared for studying the strengthening effect of Si and O solutes. Results showed that amorphous SiO2 powder originated from rice husks could almost fully dissolve into pure Ti matrix when the content was not higher than 1.0 wt%, while higher content of SiO2 addition resulted in the formation of Ti5Si3 intermetallics. Si and O elements leaded to negative and positive distortion of Ti lattice, and the influencing degrees were ‑0.02 and +0.014 Å/wt% for lattice constant a, while ‑0.05 and +0.046 Å/wt% for constant c, respectively. Solid solution of Si and O would also result in the increase of hardness, which was 98.5 and 209.43 HV/wt%, respectively. When Si and O were co-exsited in Ti matrix, the negative and positive distortion cancelled each other, while the strengthening effect did not cancel but enhance each other.

  12. Analysis of Etched CdZnTe Substrates

    Science.gov (United States)

    Benson, J. D.; Bubulac, L. O.; Jaime-Vasquez, M.; Lennon, C. M.; Arias, J. M.; Smith, P. J.; Jacobs, R. N.; Markunas, J. K.; Almeida, L. A.; Stoltz, A.; Wijewarnasuriya, P. S.; Peterson, J.; Reddy, M.; Jones, K.; Johnson, S. M.; Lofgreen, D. D.

    2016-09-01

    State-of-the-art as-received (112)B CdZnTe substrates have been examined for surface impurity contamination and polishing residue. Two 4 cm × 4 cm and one 6 cm × 6 cm (112)B state-of-the-art as-received CdZnTe wafers were analyzed. A maximum surface impurity concentration of Al = 1.7 × 1015 atoms cm-2, Si = 3.7 × 1013 atoms cm-2, Cl = 3.12 × 1015 atoms cm-2, S = 1.7 × 1014 atoms cm-2, P = 1.1 × 1014 atoms cm-2, Fe = 1.0 × 1013 atoms cm-2, Br = 1.2 × 1014 atoms cm-2, and Cu = 4 × 1012 atoms cm-2 was observed on the as-received CdZnTe wafers. CdZnTe particulates and residual SiO2 polishing grit were observed on the surface of the as-received (112)B CdZnTe substrates. The polishing grit/CdZnTe particulate density on CdZnTe wafers was observed to vary across a 6 cm × 6 cm wafer from ˜4 × 107 cm-2 to 2.5 × 108 cm-2. The surface impurity and damage layer of the (112)B CdZnTe wafers dictate that a molecular beam epitaxy (MBE) preparation etch is required. The contamination for one 4 cm × 4 cm and one 6 cm × 6 cm CdZnTe wafer after a standard MBE Br:methanol preparation etch procedure was also analyzed. A maximum surface impurity concentration of Al = 2.4 × 1015 atoms cm-2, Si = 4.0 × 1013 atoms cm-2, Cl = 7.5 × 1013 atoms cm-2, S = 4.4 × 1013 atoms cm-2, P = 9.8 × 1013 atoms cm-2, Fe = 1.0 × 1013 atoms cm-2, Br = 2.9 × 1014 atoms cm-2, and Cu = 5.2 × 1012 atoms cm-2 was observed on the MBE preparation-etched CdZnTe wafers. The MBE preparation-etched surface contamination consists of Cd(Zn)Te particles/flakes. No residual SiO2 polishing grit was observed on the (112)B surface.

  13. Metal-Free CVD Graphene Synthesis on 200 mm Ge/Si(001) Substrates.

    Science.gov (United States)

    Lukosius, M; Dabrowski, J; Kitzmann, J; Fursenko, O; Akhtar, F; Lisker, M; Lippert, G; Schulze, S; Yamamoto, Y; Schubert, M A; Krause, H M; Wolff, A; Mai, A; Schroeder, T; Lupina, G

    2016-12-14

    Good quality, complementary-metal-oxide-semiconductor (CMOS) technology compatible, 200 mm graphene was obtained on Ge(001)/Si(001) wafers in this work. Chemical vapor depositions were carried out at the deposition temperatures of 885 °C using CH 4 as carbon source on epitaxial Ge(100) layers, which were grown on Si(100), prior to the graphene synthesis. Graphene layer with the 2D/G ratio ∼3 and low D mode (i.e., low concentration of defects) was measured over the entire 200 mm wafer by Raman spectroscopy. A typical full-width-at-half-maximum value of 39 cm -1 was extracted for the 2D mode, further indicating that graphene of good structural quality was produced. The study also revealed that the lack of interfacial oxide correlates with superior properties of graphene. In order to evaluate electrical properties of graphene, its 2 × 2 cm 2 pieces were transferred onto SiO 2 /Si substrates from Ge/Si wafers. The extracted sheet resistance and mobility values of transferred graphene layers were ∼1500 ± 100 Ω/sq and μ ≈ 400 ± 20 cm 2 /V s, respectively. The transferred graphene was free of metallic contaminations or mechanical damage. On the basis of results of DFT calculations, we attribute the high structural quality of graphene grown by CVD on Ge to hydrogen-induced reduction of nucleation probability, explain the appearance of graphene-induced facets on Ge(001) as a kinetic effect caused by surface step pinning at linear graphene nuclei, and clarify the orientation of graphene domains on Ge(001) as resulting from good lattice matching between Ge(001) and graphene nucleated on such nuclei.

  14. Amorphous silicon prepared from silane-hydrogen mixture

    International Nuclear Information System (INIS)

    Pietruszko, S.M.

    1982-09-01

    Amorphous silicon films prepared from a d.c. discharge of 10% SiH 4 - 90% H 2 mixture are found to have properties similar to those made from 100% SiH 4 . These films are found to be quite stable against prolonged light exposure. The effect of nitrogen on the properties of these films was investigated. It was found that instead of behaving as a classical donor, nitrogen introduces deep levels in the material. Field effect experiments on a-Si:H films at the bottom (film-substrate interface) and the top (film-vacuum interface) of the film are also reported. (author)

  15. Spin accumulation in Si channels using CoFe/MgO/Si and CoFe/AlOx/Si tunnel contacts with high quality tunnel barriers prepared by radical-oxygen annealing

    International Nuclear Information System (INIS)

    Akushichi, T.; Shuto, Y.; Sugahara, S.; Takamura, Y.

    2015-01-01

    We investigate spin injection into Si channels using three-terminal spin-accumulation (3T-SA) devices with high-quality CoFe/MgO/n-Si and CoFe/AlO x /n-Si tunnel spin-injectors whose tunnel barriers are formed by radical oxidation of Mg and Al thin films deposited on Si(100) substrates and successive annealing under radical-oxygen exposure. When the MgO and AlO x barriers are not treated by the radical-oxygen annealing, the Hanle-effect signals obtained from the 3T-SA devices are closely fitted by a single Lorentz function representing a signal due to trap spins. On the other hand, when the tunnel barriers are annealed under radical-oxygen exposure, the Hanle-effect signals can be accurately fitted by the superposition of a Lorentz function and a non-Lorentz function representing a signal due to accumulated spins in the Si channel. These results suggest that the quality improvement of tunnel barriers treated by radical-oxygen annealing is highly effective for spin-injection into Si channels

  16. Positron annihilation in SiO 2-Si studied by a pulsed slow positron beam

    Science.gov (United States)

    Suzuki, R.; Ohdaira, T.; Uedono, A.; Kobayashi, Y.

    2002-06-01

    Positron and positronium (Ps) behavior in SiO 2-Si have been studied by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The PALS study of SiO 2-Si samples, which were prepared by a dry-oxygen thermal process, revealed that the positrons implanted in the Si substrate and diffused back to the interface do not contribute to the ortho-Ps long-lived component, and the lifetime spectrum of the interface has at least two components. From the AMOC study, the momentum distribution of the ortho-Ps pick-off annihilation in SiO 2, which shows broader momentum distribution than that of crystalline Si, was found to be almost the same as that of free positron annihilation in SiO 2. A varied interface model was proposed to interpret the results of the metal-oxide-semiconductor (MOS) experiments. The narrow momentum distribution found in the n-type MOS with a negative gate bias voltage could be attributed to Ps formation and rapid spin exchange in the SiO 2-Si interface. We have developed a two-dimensional positron lifetime technique, which measures annihilation time and pulse height of the scintillation gamma-ray detector for each event. Using this technique, the positronium behavior in a porous SiO 2 film, grown by a sputtering method, has been studied.

  17. Bidisperse silica nanoparticles close-packed monolayer on silicon substrate by three step spin method

    Science.gov (United States)

    Khanna, Sakshum; Marathey, Priyanka; Utsav, Chaliawala, Harsh; Mukhopadhyay, Indrajit

    2018-05-01

    We present the studies on the structural properties of monolayer Bidisperse silica (SiO2) nanoparticles (BDS) on Silicon (Si-100) substrate using spin coating technique. The Bidisperse silica nanoparticle was synthesised by the modified sol-gel process. Nanoparticles on the substrate are generally assembled in non-close/close-packed monolayer (CPM) form. The CPM form is obtained by depositing the colloidal suspension onto the silicon substrate using complex techniques. Here we report an effective method for forming a monolayer of bidisperse silica nanoparticle by three step spin coating technique. The samples were prepared by mixing the monodisperse solutions of different particles size 40 and 100 nm diameters. The bidisperse silica nanoparticles were self-assembled on the silicon substrate forming a close-packed monolayer film. The scanning electron microscope images of bidisperse films provided in-depth film structure of the film. The maximum surface coverage obtained was around 70-80%.

  18. Morphology of CdSe films prepared by chemical bath deposition: The role of substrate

    International Nuclear Information System (INIS)

    Simurda, M.; Nemec, P.; Formanek, P.; Nemec, I.; Nemcova, Y.; Maly, P.

    2006-01-01

    We combine optical spectroscopy and transmission electron microscopy to study the growth and the structural morphology of CdSe films prepared by chemical bath deposition (CBD) on two considerably different substrates. The films grown on glass are compact and strongly adherent to the substrate. On the contrary, the films deposited on carbon-coated glass (with approx. 20 nm thick amorphous carbon layer) are only loosely adherent to the substrate. Using transmission electron microscopy we revealed that even though the films grown on both substrates are assembled from closely spaced nanocrystals with diameter of about 5 nm, the films morphology on the sub-micrometer scale is considerably different in the two cases. While the films deposited on glass are rather compact, the films prepared on carbon layer have high porosity and are formed by interconnected spheres which size is dependent on the duration of deposition (e.g. 155 nm for 6 h and 350 nm for 24 h). This shows that the choice of the substrate for CBD has a stronger influence on the sub-micrometer film morphology than on the properties of individual nanocrystals forming the film

  19. Template-based preparation of free-standing semiconducting polymeric nanorod arrays on conductive substrates.

    Science.gov (United States)

    Haberkorn, Niko; Weber, Stefan A L; Berger, Rüdiger; Theato, Patrick

    2010-06-01

    We describe the synthesis and characterization of a cross-linkable siloxane-derivatized tetraphenylbenzidine (DTMS-TPD), which was used for the fabrication of semiconducting highly ordered nanorod arrays on conductive indium tin oxide or Pt-coated substrates. The stepwise process allow fabricating of macroscopic areas of well-ordered free-standing nanorod arrays, which feature a high resistance against organic solvents, semiconducting properties and a good adhesion to the substrate. Thin films of the TPD derivate with good hole-conducting properties could be prepared by cross-linking and covalently attaching to hydroxylated substrates utilizing an initiator-free thermal curing at 160 degrees C. The nanorod arrays composed of cross-linked DTMS-TPD were fabricated by an anodic aluminum oxide (AAO) template approach. Furthermore, the nanorod arrays were investigated by a recently introduced method allowing to probe local conductivity on fragile structures. It revealed that more than 98% of the nanorods exhibit electrical conductance and consequently feature a good electrical contact to the substrate. The prepared nanorod arrays have the potential to find application in the fabrication of multilayered device architectures for building well-ordered bulk-heterojunction solar cells.

  20. Preparation of homogeneous isotopic targets with rotating substrate

    International Nuclear Information System (INIS)

    Xu, G.J.; Zhao, Z.G.

    1993-01-01

    Isotopically enriched accelerator targets were prepared using the evaporation-condensation method from a resistance heating crucible. For high collection efficiency and good homogeneity the substrate was rotated at a vertical distance of 1.3 to 2.5 cm from the evaporation source. Measured collection efficiencies were 13 to 51 μg cm -2 mg -1 and homogeneity tests showed values close to the theoretically calculated ones for a point source. Targets, selfsupporting or on backings, could be fabricated with this method for elements and some compounds with evaporation temperatures up to 2300 K. (orig.)

  1. Photoluminescence and cathodoluminescence of YVO{sub 4}:Sm{sup 3+} thin films prepared by pulsed laser deposition method with various substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Hyun Kyoung; Chung, Jong Won; Moon, Byung Kee; Choi, Byung Chun; Jeong, Jung Hyun [Pukyong National University, Dept. of Physics, Busan (Korea); Yi, Soung-Soo [Silla University, Dept. of Electronic Material Engineering, Busan (Korea); Kim, Jung Hwan [Dongeui University, Dept. of Physics, Busan (Korea)

    2008-08-15

    YVO{sub 4}:Sm{sup 3+} films have been deposited on different substrates using pulsed laser deposition method. The films were deposited on fused silica, MgO(100), Al{sub 2}O{sub 3}(0001), and Si(100) substrates. The crystallinity and surface morphology of the films were investigated using X-ray diffraction (XRD) and scanning electron microscope (SEM), respectively. The films grown on the different substrates have different crystallinity and morphology. The full-width at half-maximum (FWHM) of (200) peak are 0.20, 0.14, 0.12, and 0.18 for fused silica, MgO(100), Al{sub 2}O{sub 3}(0001), and Si(100), respectively. The crystallite size, estimated by using Scherrer's formula, of phosphors grown on fused silica, MgO(100), Al{sub 2}O{sub 3}(0001), and Si(100) was about 7.25, 10.08, 11.88, and 8.14 nm, respectively and it has a maximum at 11.88 nm for the thin film grown on Al{sub 2}O{sub 3}(0001). The photoluminescence and the cathodoluminescence spectra were measured at room temperature using a luminescence spectrometer and the emitted radiation was dominated by the red emission peak at 602 nm radiated from the transition of {sup 4}G{sub 5/2}{yields}{sup 6}H{sub 7/2}. YVO{sub 4}:Sm{sup 3+} films have maximum PL intensity for the films grown on the Al{sub 2}O{sub 3}(0001) substrate and the brightness of the films were higher 1.3, 2.9, and 5.0 times in comparison with that of the YVO{sub 4}:Sm{sup 3+} films grown on MgO(100), fused silica, and Si (100) substrates, respectively. The crystallinity, surface morphology and luminescence spectra of thin-film phosphors were highly dependent on substrates. (orig.)

  2. Integration of InGaAs MOSFETs and GaAs/ AlGaAs lasers on Si Substrate for advanced opto-electronic integrated circuits (OEICs).

    Science.gov (United States)

    Kumar, Annie; Lee, Shuh-Ying; Yadav, Sachin; Tan, Kian Hua; Loke, Wan Khai; Dong, Yuan; Lee, Kwang Hong; Wicaksono, Satrio; Liang, Gengchiau; Yoon, Soon-Fatt; Antoniadis, Dimitri; Yeo, Yee-Chia; Gong, Xiao

    2017-12-11

    Lasers monolithically integrated with high speed MOSFETs on the silicon (Si) substrate could be a key to realize low cost, low power, and high speed opto-electronic integrated circuits (OEICs). In this paper, we report the monolithic integration of InGaAs channel transistors with electrically pumped GaAs/AlGaAs lasers on the Si substrate for future advanced OEICs. The laser and transistor layers were grown on the Si substrate by molecular beam epitaxy (MBE) using direct epitaxial growth. InGaAs n-FETs with an I ON /I OFF ratio of more than 10 6 with very low off-state leakage and a low subthreshold swing with a minimum of 82 mV/decade were realized. Electrically pumped GaAs/AlGaAs quantum well (QW) lasers with a lasing wavelength of 795 nm at room temperature were demonstrated. The overall fabrication process has a low thermal budget of no more than 400 °C.

  3. Characterization and properties Ti-Al-Si-N nanocomposite coatings prepared by middle frequency magnetron sputtering

    Science.gov (United States)

    Zou, C. W.; Zhang, J.; Xie, W.; Shao, L. X.; Guo, L. P.; Fu, D. J.

    2011-10-01

    TiN-containing amorphous Ti-Al-Si-N (nc-TiN/a-Si 3N 4 or a-AlN) nanocomposite coatings were deposited by using a modified closed field twin unbalanced magnetron sputtering system which is arc assisted and consists of two circles of targets, at a substrate temperature of 300 °C. XRD, XPS and High-resolution TEM experiments showed that the coatings contain TiN nanocrystals embedded in the amorphous Si 3N 4 or AlN matrix. The coatings exhibit good mechanical properties that are greatly influenced by the Si contents. The hardness of the Ti-Al-Si-N coatings deposited at Si targets currents of 5, 8, 10, and 12 A were 45, 47, 54 and 46 GPa, respectively. The high hardness of the deposited Ti-Al-Si-N coatings may be own to the plastic distortion and dislocation blocking by the nanocrystalline structure. On the other hand, the friction coefficient decreases monotonously with increasing Si contents. This result would be caused by tribo-chemical reactions, which often take place in many ceramics, e.g. Si 3N 4 reacts with H 2O to produce SiO 2 or Si(OH) 2 tribolay-layer.

  4. Influence of preparation and storage conditions on photoluminescence of porous silicon powder with embedded Si nanocrystals

    International Nuclear Information System (INIS)

    Bychto, Leszek; Balaguer, Maria; Pastor, Ester; Chirvony, Vladimir; Matveeva, Eugenia

    2008-01-01

    The time changes of photoluminescence (PL) characteristics of porous silicon (porSi) powder during storing in different ambients have been reported. A porous silicon material with embedded Si nanocrystals of size of few nanometers was prepared by an electrochemical method from 10 to 20 Ωcm p-type Si wafers, and both constant and pulse current anodization regimes were used. A powder with a submicron average particle size was obtained by simple mechanical lift-off of the porous layer followed by additional manual milling. The air, hexane, and water as storage media were used, and modification by a nonionic surfactant (undecylenic acid) of the porSi surface was applied in the latter case. Dependence of PL characteristics on preparation and storage conditions was then studied. A remarkable blue shift of a position of PL maximum was observed in time for porSi powders in each storage media. In water suspension a many-fold build-up (10-30) of PL intensity in a time scale of few days was accompanied by an observed blue shift. Photoluminescence time behavior of porSi powders was described by a known mechanism of the change of porSi PL from free exciton emission of Si nanocrystals to luminescence of localized oxidized states on the Si nanocrystal surface.

  5. Influence of preparation and storage conditions on photoluminescence of porous silicon powder with embedded Si nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Bychto, Leszek, E-mail: leszek.bychto@tu.koszalin.pl; Balaguer, Maria; Pastor, Ester; Chirvony, Vladimir; Matveeva, Eugenia, E-mail: eumat@upvnet.upv.e [Technical University of Valencia, Nanophotonics Technology Center (Spain)

    2008-12-15

    The time changes of photoluminescence (PL) characteristics of porous silicon (porSi) powder during storing in different ambients have been reported. A porous silicon material with embedded Si nanocrystals of size of few nanometers was prepared by an electrochemical method from 10 to 20 {Omega}cm p-type Si wafers, and both constant and pulse current anodization regimes were used. A powder with a submicron average particle size was obtained by simple mechanical lift-off of the porous layer followed by additional manual milling. The air, hexane, and water as storage media were used, and modification by a nonionic surfactant (undecylenic acid) of the porSi surface was applied in the latter case. Dependence of PL characteristics on preparation and storage conditions was then studied. A remarkable blue shift of a position of PL maximum was observed in time for porSi powders in each storage media. In water suspension a many-fold build-up (10-30) of PL intensity in a time scale of few days was accompanied by an observed blue shift. Photoluminescence time behavior of porSi powders was described by a known mechanism of the change of porSi PL from free exciton emission of Si nanocrystals to luminescence of localized oxidized states on the Si nanocrystal surface.

  6. Influence of preparation and storage conditions on photoluminescence of porous silicon powder with embedded Si nanocrystals

    Science.gov (United States)

    Bychto, Leszek; Balaguer, Maria; Pastor, Ester; Chirvony, Vladimir; Matveeva, Eugenia

    2008-12-01

    The time changes of photoluminescence (PL) characteristics of porous silicon (porSi) powder during storing in different ambients have been reported. A porous silicon material with embedded Si nanocrystals of size of few nanometers was prepared by an electrochemical method from 10 to 20 Ωcm p-type Si wafers, and both constant and pulse current anodization regimes were used. A powder with a submicron average particle size was obtained by simple mechanical lift-off of the porous layer followed by additional manual milling. The air, hexane, and water as storage media were used, and modification by a nonionic surfactant (undecylenic acid) of the porSi surface was applied in the latter case. Dependence of PL characteristics on preparation and storage conditions was then studied. A remarkable blue shift of a position of PL maximum was observed in time for porSi powders in each storage media. In water suspension a many-fold build-up (10-30) of PL intensity in a time scale of few days was accompanied by an observed blue shift. Photoluminescence time behavior of porSi powders was described by a known mechanism of the change of porSi PL from free exciton emission of Si nanocrystals to luminescence of localized oxidized states on the Si nanocrystal surface.

  7. The structural and electrical characterisation of SiGe heterostructures deposited on strain relaxed virtual substrates

    International Nuclear Information System (INIS)

    Hammond, R.

    1998-09-01

    The influence of lateral dimensions on the relaxation mechanism and the resulting effect on the surface topography of limited-area, linearly graded Si 1-x Ge x virtual substrates has been investigated for the first time. A dramatic change in the relaxation mechanism of such buffer layers has been observed for depositions on Si mesa pillars of lateral dimensions of 10μm and below. For such depositions, misfit dislocations are able to extend, unhindered, and terminate at the edges of the growth zone. In this manner, orthogonal misfit dislocation interactions are avoided, yielding a surface free of the problematic surface cross-hatch roughening. However, as the lateral dimension of the growth zone is increased to 20μm, orthogonal misfit interactions occur and relaxation is dominated by the Modified Frank-Read (MFR) multiplication mechanism. The resulting surface morphology shows a pronounced surface cross-hatch roughening. It is proposed that such cross-hatch roughening is a direct consequence of the cooperative stress fields associated with the MFR mechanism. It is postulated that the method of limited-area, linearly graded buffer layers provides a unique opportunity, by which 'ideal' virtual substrates, free of surface cross-hatch and threading dislocations, may be produced to any Ge content. In addition, a unique method by which the electrical performance of low temperature, strained layer depositions may be optimised is discussed. The method relies on the elimination of as-grown lattice imperfections via a post growth thermal anneal treatment. A 25-fold increase in low temperature hole mobility of a Si 0.5 Ge 0.5 /Si 0.7 Ge 0.3 heterostructure has been demonstrated using a 30minute, 750 deg C in-situ, post growth anneal. (author)

  8. Effect of Si/Fe ratio on the boron and phosphorus doping efficiency of β-FeSi2 by magnetron sputtering

    International Nuclear Information System (INIS)

    Xu Jiaxiong; Yao Ruohe

    2011-01-01

    Boron-doped or phosphorus-doped β-FeSi 2 thin films have been prepared on silicon substrate by magnetron sputtering. Effects of Si/Fe ratio on the boron and phosphorus doping efficiencies have been studied from the resistivities of doped β-FeSi 2 thin films and current-voltage characteristics of doped β-FeSi 2 /Si heterojunctions. The experimental results reveal that the carrier concentration and doping efficiency of boron or phosphorus dopants at the Fe-rich side are higher than that at the Si-rich side. The effect of Si/Fe ratio can be deduced from the comparison of the formation energies under two extreme conditions. At the Fe-rich limit condition, the formation energy of boron or phosphorous doping is lower than that at the Si-rich condition. Therefore, the activation of impurities is more effective at the Fe-rich side. These results demonstrate that the boron-doped and phosphorous-doped β-FeSi 2 thin films should be kept at the Fe-rich side to avoid the unexpected doping sites and low doping efficiency.

  9. Fabrication of CoPd alloy nanowire arrays on an anodic aluminum oxide/Ti/Si substrate and their enhanced magnetic properties

    International Nuclear Information System (INIS)

    Xu Cailing; Li Hua; Xue Tong; Li Hulin

    2006-01-01

    An anodic aluminum oxide/Ti/Si substrate was successfully synthesized by the anodization of an aluminum film on a Ti/Si substrate and then used as a template to grow 10 nm diameter CoPd alloy nanowires. X-ray diffraction and energy-dispersed X-ray patterns indicated that Co 0.97 Pd 0.03 nanowire arrays with a preferential orientation of (0 0 2) were formed during electrodeposition. High coercivity (about 1700 Oe) and squareness (about 0.85) were obtained in the samples when the magnetic field was applied parallel to the axis of the nanowires; these values are much larger than those of pure Co nanowire arrays with the same diameters

  10. Ion beam synthesis and characterization of large area 3C-SiC pseudo substrates for homo- and heteroepitaxy

    International Nuclear Information System (INIS)

    Haeberlen, Maik

    2006-12-01

    In this work, large area epitaxial 3C-SiC films on Si(100) and Si(111) were formed by ion beam synthesis and subsequently characterized for their structural and crystalline properties. These SiC/Si structures are meant to be used as SiC pseudosubstrates for the homo- and heteroepitaxial growth of other compound semiconductors. The suitability of these pseudosubstrates for this purpose was tested using various epitaxial systems and thin film growth methods. For this the homoepitaxial growth of 3C-SiC employing C 60 -MBE and the heteroepitaxial growth of hexagonal GaN films grown by MOCVD and IBAMBA was studied in detail. The comparison of the structural and crystalline properties with data from literature enabled a qualified judgement of the potential of the 3C-SiC pseudosubstrates as an alternative substrate for the epitaxial growth of such films. These new 3C-SiC pseudosubstrates also enabled studies of other little known epitaxial systems: For the first time hexagonal ZnO films on (111) oriented pseudosubstrates were grown using PLD. The method if IBAMBE enabled the growth of cubic GaN layers on (100)-oriented pseudosubstrates. (orig.)

  11. Studies on the substrate mediated vibrational excitation of CO/Si(100) by means of SFG spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Han, Xu; Lass, Kristian; Balgar, Thorsten; Hasselbrink, Eckart [Universitaet Duisburg-Essen, Fachbereich Chemie, 45117 Essen (Germany)

    2009-07-01

    Vibrational excitations of adsorbates play an important role in chemical reaction dynamics. In the past decade CO on solid surfaces was chosen as adequate model system for studying vibrational relaxation dynamics. Our work is focused on the energy dissipation of vibrationally excited CO adsorbed on a silicon surface by means of IR/Vis sum frequency generation (SFG) spectroscopy. Here we present studies on substrate mediated excitation of vibrational modes of CO on Si(100) induced by UV radiation. We suppose the observation of highly excited internal stretch vibrations of CO caused by hot electrons generated within the silicon substrate.

  12. Si/Fe flux ratio influence on growth and physical properties of polycrystalline β-FeSi2 thin films on Si(100) surface

    Science.gov (United States)

    Tarasov, I. A.; Visotin, M. A.; Aleksandrovsky, A. S.; Kosyrev, N. N.; Yakovlev, I. A.; Molokeev, M. S.; Lukyanenko, A. V.; Krylov, A. S.; Fedorov, A. S.; Varnakov, S. N.; Ovchinnikov, S. G.

    2017-10-01

    This work investigates the Si/Fe flux ratio (2 and 0.34) influence on the growth of β-FeSi2 polycrystalline thin films on Si(100) substrate at 630 °C. Lattice deformations for the films obtained are confirmed by X-ray diffraction analysis (XRD). The volume unit cell deviation from that of β-FeSi2 single crystal are 1.99% and 1.1% for Si/Fe =2 and Si/Fe =0.34, respectively. Absorption measurements show that the indirect transition ( 0.704 eV) of the Si/Fe =0.34 sample changes to the direct transition with a bandgap value of 0.816 eV for the sample prepared at Si/Fe =2. The absorption spectrum of the Si/Fe =0.34 sample exhibits an additional peak located below the bandgap energy value with the absorption maximum of 0.36 eV. Surface magneto-optic Kerr effect (SMOKE) measurements detect the ferromagnetic behavior of the β-FeSi2 polycrystalline films grown at Si/Fe =0.34 at T=10 K, but no ferromagnetism was observed in the samples grown at Si/Fe =2. Theoretical calculations refute that the cell deformation can cause the emergence of magnetization and argue that the origin of the ferromagnetism, as well as the lower absorption peak, is β-FeSi2 stoichiometry deviations. Raman spectroscopy measurements evidence that the film obtained at Si/Fe flux ratio equal to 0.34 has the better crystallinity than the Si/Fe =2 sample.

  13. A METHOD FOR PREPARING A SUBSTRATE BY APPLYING A SAMPLE TO BE ANALYSED

    DEFF Research Database (Denmark)

    2017-01-01

    The invention relates to a method for preparing a substrate (105a) comprising a sample reception area (110) and a sensing area (111). The method comprises the steps of: 1) applying a sample on the sample reception area; 2) rotating the substrate around a predetermined axis; 3) during rotation......, at least part of the liquid travels from the sample reception area to the sensing area due to capillary forces acting between the liquid and the substrate; and 4) removing the wave of particles and liquid formed at one end of the substrate. The sensing area is closer to the predetermined axis than...... the sample reception area. The sample comprises a liquid part and particles suspended therein....

  14. Fabrication of GaAs/Al0.3Ga0.7As multiple quantum well nanostructures on (100) si substrate using a 1-nm InAs relief layer.

    Science.gov (United States)

    Oh, H J; Park, S J; Lim, J Y; Cho, N K; Song, J D; Lee, W; Lee, Y J; Myoung, J M; Choi, W J

    2014-04-01

    Nanometer scale thin InAs layer has been incorporated between Si (100) substrate and GaAs/Al0.3Ga0.7As multiple quantum well (MQW) nanostructure in order to reduce the defects generation during the growth of GaAs buffer layer on Si substrate. Observations based on atomic force microscopy (AFM) and transmission electron microscopy (TEM) suggest that initiation and propagation of defect at the Si/GaAs interface could be suppressed by incorporating thin (1 nm in thickness) InAs layer. Consequently, the microstructure and resulting optical properties improved as compared to the MQW structure formed directly on Si substrate without the InAs layer. It was also observed that there exists some limit to the desirable thickness of the InAs layer since the MQW structure having thicker InAs layer (4 nm-thick) showed deteriorated properties.

  15. The Type of Forage Substrate Preparation Included as Substrate in a RUSITEC System Affects the Ruminal Microbiota and Fermentation Characteristics

    Directory of Open Access Journals (Sweden)

    Alexandre V. Chaves

    2017-04-01

    Full Text Available In vitro fermentation systems such as the rumen simulation technique (RUSITEC are frequently used to assess dietary manipulations in livestock, thereby limiting the use of live animals. Despite being in use for nearly 40 years, improvements are continually sought in these systems to better reflect and mimic natural processes in ruminants. The aim of this study was to evaluate the effect of forage preparation, i.e., frozen minced (FM and freeze-dried and ground (FDG, on the ruminal microbiota and on fermentation characteristics when included as a substrate in a RUSITEC system. A completely randomized design experiment was performed over a 15-day period, with 7 days of adaptation and an 8-day experimental period. Fermentation parameters (total gas, CH4, and volatile fatty acid production were analyzed on a daily basis over the experimental period and the archaeal and bacterial microbiota (liquid-associated microbes [LAM] and solid-associated microbes [SAM] was assessed at 0, 5, 10, and 15 days using high-throughput sequencing of the 16S rRNA gene. Results from this study suggested a tendency (P = 0.09 of FM treatment to increase daily CH4 (mg/d production by 16.7% when compared with FDG treatment. Of the major volatile fatty acids (acetate, propionate, and butyrate, only butyrate production was greater (P = 0.01 with FM treatment compared with FDG substrate. The archaeal and bacterial diversity and richness did not differ between the forage preparations, although feed particle size of the forage had a significant effect on microbial community structure in the SAM and LAM samples. The Bacteroidetes phylum was more relatively abundant in the FM substrate treatment, while Proteobacteria was enriched in the FDG treatment. At the genus-level, Butyrivibrio, Prevotella, and Roseburia were enriched in the FM substrate treatment and Campylobacter and Lactobacillus in the FDG substrate treatment. Evidence from this study suggests that forage preparation

  16. Microscopic and macroscopic characterization of the charging effects in SiC/Si nanocrystals/SiC sandwiched structures

    International Nuclear Information System (INIS)

    Xu, Jie; Xu, Jun; Wang, Yuefei; Cao, Yunqing; Li, Wei; Yu, Linwei; Chen, Kunji

    2014-01-01

    Microscopic charge injection into the SiC/Si nanocrystals/SiC sandwiched structures through a biased conductive AFM tip is subsequently characterized by both electrostatic force microscopy and Kelvin probe force microscopy (KPFM). The charge injection and retention characteristics are found to be affected by not only the band offset at the Si nanocrystals/SiC interface but also the doping type of the Si substrate. On the other hand, capacitance–voltage (C–V) measurements investigate the macroscopic charging effect of the sandwiched structures with a thicker SiC capping layer, where the charges are injected from the Si substrates. The calculated macroscopic charging density is 3–4 times that of the microscopic one, and the possible reason is the underestimation of the microscopic charging density caused by the averaging effect and detection delay in the KPFM measurements. (paper)

  17. Structural characterization of oxidized allotaxially grown CoSi2 layers by x-ray scattering

    International Nuclear Information System (INIS)

    Kaendler, I. D.; Seeck, O. H.; Schlomka, J.-P.; Tolan, M.; Press, W.; Stettner, J.; Kappius, L.; Dieker, C.; Mantl, S.

    2000-01-01

    A series of buried CoSi 2 layers prepared by a modified molecular beam epitaxy process (allotaxy) and a subsequent wet-oxidation process was investigated by x-ray scattering. The oxidation time which determines the depth in which the CoSi 2 layers are located within the Si substrates has been varied during the preparation. The electron density profiles and the structure of the interfaces were extracted from specular reflectivity and diffuse scattering measurements. Crystal truncation rod investigations yielded the structure on an atomic level (crystalline quality). It turns out that the roughness of the CoSi 2 layers increases drastically with increasing oxidation time, i.e., with increasing depth of the buried layers. Furthermore, the x-ray data reveal that the oxidation growth process is diffusion limited. (c) 2000 American Institute of Physics

  18. Intermixing between HfO2 and GeO2 films deposited on Ge(001) and Si(001): Role of the substrate

    International Nuclear Information System (INIS)

    Soares, G. V.; Krug, C.; Miotti, L.; Bastos, K. P.; Lucovsky, G.; Baumvol, I. J. R.; Radtke, C.

    2011-01-01

    Thermally driven atomic transport in HfO 2 /GeO 2 /substrate structures on Ge(001) and Si(001) was investigated in N 2 ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO 2 /Ge interface. In addition, hafnium germanate is formed at 600 deg. C. Our data indicate that at 500 deg. C and above HfO 2 /GeO 2 stacks are stable only if isolated from the Ge substrate.

  19. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  20. Activation behavior of boron implanted poly-Si on glass substrate

    International Nuclear Information System (INIS)

    Furuta, M.; Shimamura, K.; Tsubokawa, H.; Tokushige, K.; Furuta, H.; Hirao, T.

    2010-01-01

    The activation behavior of boron (B) implanted poly-Si films on glass substrates has been investigated. The effect of B dose and annealing temperature on crystal defects and electrical properties of the films were evaluated by Raman spectroscopy and Hall measurement. It was found that the maximum activation ratio of the film with B dose of 1 x 10 15 cm -2 was obtained when Raman peak associated with disordered amorphous silicon disappeared. However, reverse anneal was observed in the film when the annealing temperature further increased. The results from secondary ion mass spectrometry and Hall measurement revealed that B segregation at the top and bottom interface and deactivation of B substitutional occurred simultaneously in the high-dose specimens when the annealing temperature increased from 600 to 750 o C.

  1. Effect of substrate temperature on the morphological, structural, and optical properties of RF sputtered Ge1−x Snx films on Si substrate

    International Nuclear Information System (INIS)

    Mahmodi, H; Hashim, M R

    2017-01-01

    In this study, Ge 1−x Sn x alloy films are co-sputtered on Si(100) substrates using RF magnetron sputtering at different substrate temperatures. Scanning electron micrographs, atomic force microscopy (AFM), Raman spectroscopy, and x-ray photoemission spectroscopy (XPS) are conducted to investigate the effect of substrate temperature on the structural and optical properties of grown GeSn alloy films. AFM results show that RMS surface roughness of the films increases from 1.02 to 2.30 nm when raising the substrate temperature. This increase could be due to Sn surface segregation that occurs when raising the substrate temperature. Raman spectra exhibits the lowest FWHM value and highest phonon intensity for a film sputtered at 140 °C. The spectra show that decreasing the deposition temperature to 140 °C improves the crystalline quality of the alloy films and increases nanocrystalline phase formation. The results of Raman spectra and XPS confirm Ge–Sn bond formation. The optoelectronic characteristics of fabricated metal-semiconductor-metal photodetectors on sputtered samples at room temperature (RT) and 140 °C are studied in the dark and under illumination. The sample sputtered at 140 °C performs better than the RT sputtered sample. (paper)

  2. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    Science.gov (United States)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  3. Disentangling nonradiative recombination processes in Ge micro-crystals on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Pezzoli, Fabio, E-mail: fabio.pezzoli@unimib.it; Giorgioni, Anna; Gatti, Eleonora; Grilli, Emanuele; Bonera, Emiliano; Miglio, Leo [LNESS and Dipartimento di Scienza dei Materiali, Università degli Studi di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Gallacher, Kevin; Millar, Ross W.; Paul, Douglas J. [School of Engineering, University of Glasgow, Rankine Building, Oakfield Avenue, Glasgow G12 8LT (United Kingdom); Isa, Fabio [LNESS, Dipartimento di Fisica del Politecnico di Milano and IFN-CNR, Polo Territoriale di Como, Via Anzani 42, I-22100 Como (Italy); Laboratory for Solid State Physics, ETH Zurich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Biagioni, Paolo [LNESS, Dipartimento di Fisica del Politecnico di Milano and IFN-CNR, Piazza Leonardo da Vinci 32, I-20133 Milano (Italy); Isella, Giovanni [LNESS, Dipartimento di Fisica del Politecnico di Milano and IFN-CNR, Polo Territoriale di Como, Via Anzani 42, I-22100 Como (Italy)

    2016-06-27

    We address nonradiative recombination pathways by leveraging surface passivation and dislocation management in μm-scale arrays of Ge crystals grown on deeply patterned Si substrates. The time decay photoluminescence (PL) at cryogenic temperatures discloses carrier lifetimes approaching 45 ns in band-gap engineered Ge micro-crystals. This investigation provides compelling information about the competitive interplay between the radiative band-edge transitions and the trapping of carriers by dislocations and free surfaces. Furthermore, an in-depth analysis of the temperature dependence of the PL, combined with capacitance data and finite difference time domain modeling, demonstrates the effectiveness of GeO{sub 2} in passivating the surface of Ge and thus in enhancing the room temperature PL emission.

  4. Disentangling nonradiative recombination processes in Ge micro-crystals on Si substrates

    International Nuclear Information System (INIS)

    Pezzoli, Fabio; Giorgioni, Anna; Gatti, Eleonora; Grilli, Emanuele; Bonera, Emiliano; Miglio, Leo; Gallacher, Kevin; Millar, Ross W.; Paul, Douglas J.; Isa, Fabio; Biagioni, Paolo; Isella, Giovanni

    2016-01-01

    We address nonradiative recombination pathways by leveraging surface passivation and dislocation management in μm-scale arrays of Ge crystals grown on deeply patterned Si substrates. The time decay photoluminescence (PL) at cryogenic temperatures discloses carrier lifetimes approaching 45 ns in band-gap engineered Ge micro-crystals. This investigation provides compelling information about the competitive interplay between the radiative band-edge transitions and the trapping of carriers by dislocations and free surfaces. Furthermore, an in-depth analysis of the temperature dependence of the PL, combined with capacitance data and finite difference time domain modeling, demonstrates the effectiveness of GeO_2 in passivating the surface of Ge and thus in enhancing the room temperature PL emission.

  5. Disentangling nonradiative recombination processes in Ge micro-crystals on Si substrates

    Science.gov (United States)

    Pezzoli, Fabio; Giorgioni, Anna; Gallacher, Kevin; Isa, Fabio; Biagioni, Paolo; Millar, Ross W.; Gatti, Eleonora; Grilli, Emanuele; Bonera, Emiliano; Isella, Giovanni; Paul, Douglas J.; Miglio, Leo

    2016-06-01

    We address nonradiative recombination pathways by leveraging surface passivation and dislocation management in μm-scale arrays of Ge crystals grown on deeply patterned Si substrates. The time decay photoluminescence (PL) at cryogenic temperatures discloses carrier lifetimes approaching 45 ns in band-gap engineered Ge micro-crystals. This investigation provides compelling information about the competitive interplay between the radiative band-edge transitions and the trapping of carriers by dislocations and free surfaces. Furthermore, an in-depth analysis of the temperature dependence of the PL, combined with capacitance data and finite difference time domain modeling, demonstrates the effectiveness of GeO2 in passivating the surface of Ge and thus in enhancing the room temperature PL emission.

  6. Influence of Preparation Conditions on Electrical Properties of the Al/Alq3/Si Diode Structures

    Directory of Open Access Journals (Sweden)

    Irina ČERNIUKĖ

    2013-12-01

    Full Text Available Hybrid organic-inorganic diode structures, Al/Alq3/n-Si and Al/Alq3/p-Si based on thin films of tris(8-hydroxyquinoline aluminum (Alq3 have been investigated. The Alq3 films were evaporated in vacuum and spin coated onto patterned areas of crystalline n- and p-type Si substrates with chemically removed native SiO2 layer. Current-voltage characteristics of the diode structures demonstrated improved rectification property compared to similar Al/n-Si and Al/p-Si device structures. Increased barrier height values (0.90 eV ÷ 1.1 eV and 0.77 eV ÷ 0.91 eV for the Al/Alq3/n-Si and Al/Alq3/p-Si device structures, respectively certified presence of an interface dipole induced by the organic interlayer. Non-ideal behavior of forward current-voltage characteristics has been explained assuming non-uniformity of barrier height, presence of interface states, and influence of the organic film on diode series resistance and space charge limited current. DOI: http://dx.doi.org/10.5755/j01.ms.19.4.2733

  7. Role of SiC substrate surface on local tarnishing of deposited silver mirror stacks

    Science.gov (United States)

    Limam, Emna; Maurice, Vincent; Seyeux, Antoine; Zanna, Sandrine; Klein, Lorena H.; Chauveau, Grégory; Grèzes-Besset, Catherine; Savin De Larclause, Isabelle; Marcus, Philippe

    2018-04-01

    The role of the SiC substrate surface on the resistance to the local initiation of tarnishing of thin-layered silver stacks for demanding space mirror applications was studied by combined surface and interface analysis on model stack samples deposited by cathodic magnetron sputtering and submitted to accelerated aging in gaseous H2S. It is shown that suppressing the surface pores resulting from the bulk SiC material production process by surface pretreatment eliminates the high aspect ratio surface sites that are imperfectly protected by the SiO2 overcoat after the deposition of silver. The formation of channels connecting the silver layer to its environment through the failing protection layer at the surface pores and locally enabling H2S entry and Ag2S growth as columns until emergence at the stack surface is suppressed, which markedly delays tarnishing initiation and thereby preserves the optical performance. The results revealed that residual tarnishing initiation proceeds by a mechanism essentially identical in nature but involving different pathways short circuiting the protection layer and enabling H2S ingress until the silver layer. These permeation pathways are suggested to be of microstructural origin and could correspond to the incompletely coalesced intergranular boundaries of the SiO2 layer.

  8. Preparation of biomorphic SiC ceramic by carbothermal reduction of oak wood charcoal

    International Nuclear Information System (INIS)

    Qian Junmin; Wang Jiping; Jin Zhihao

    2004-01-01

    Highly porous silicon carbide (SiC) ceramic with woodlike microstructure has been prepared at 1400-1600 deg. C by carbothermal reduction reaction of charcoal/silica composites in static argon atmosphere. These composites were fabricated by infiltrating silica sol into a porous biocarbon template from oak wood using a vacuum/pressure infiltration process. The morphology of resulting porous SiC ceramic, as well as the conversion mechanism of wood to porous SiC ceramic, have been investigated by scanning electron microscopy (SEM), X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), and thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) techniques. Experimental results show that the biomorphic cellular morphology of oak wood charcoal is remained in the porous SiC ceramic with high precision that consists of β-SiC with traces of α-SiC. Silica in the charcoal/silica composites exists in the cellular pores in form of fibers and rods. The SiC strut material is formed by gas-solid reaction between SiO (g) and C (s) during the charcoal-to-ceramic conversion. The densification of SiC strut material may occur at moderate temperatures and holding time

  9. Preparation of biomorphic SiC ceramic by carbothermal reduction of oak wood charcoal

    Energy Technology Data Exchange (ETDEWEB)

    Qian Junmin; Wang Jiping; Jin Zhihao

    2004-04-25

    Highly porous silicon carbide (SiC) ceramic with woodlike microstructure has been prepared at 1400-1600 deg. C by carbothermal reduction reaction of charcoal/silica composites in static argon atmosphere. These composites were fabricated by infiltrating silica sol into a porous biocarbon template from oak wood using a vacuum/pressure infiltration process. The morphology of resulting porous SiC ceramic, as well as the conversion mechanism of wood to porous SiC ceramic, have been investigated by scanning electron microscopy (SEM), X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), and thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) techniques. Experimental results show that the biomorphic cellular morphology of oak wood charcoal is remained in the porous SiC ceramic with high precision that consists of {beta}-SiC with traces of {alpha}-SiC. Silica in the charcoal/silica composites exists in the cellular pores in form of fibers and rods. The SiC strut material is formed by gas-solid reaction between SiO (g) and C (s) during the charcoal-to-ceramic conversion. The densification of SiC strut material may occur at moderate temperatures and holding time.

  10. Optical properties of silicene, Si/Ag(111), and Si/Ag(110)

    Science.gov (United States)

    Hogan, C.; Pulci, O.; Gori, P.; Bechstedt, F.; Martin, D. S.; Barritt, E. E.; Curcella, A.; Prevot, G.; Borensztein, Y.

    2018-05-01

    We present a state-of-the-art study of the optical properties of free-standing silicene and of single-layer Si one- and two-dimensional (1D and 2D) nanostructures supported on Ag(110) and Ag(111) substrates. Ab initio simulations of reflectance anisotropy spectroscopy (RAS) and surface differential reflectivity spectroscopy (SDRS) applied to the clean Ag surface and Si/Ag interfaces are compared with new measurements. For Si/Ag(110), we confirm a pentagonal nanoribbon geometry, strongly bonded to the substrate, and rule out competing zigzag chain and silicenelike models. For Si/Ag(111), we reproduce the main experimental features and isolate the optical signal of the epitaxial silicene overlayer. The absorption spectrum of a silicene sheet computed including excitonic and local field effects is found to be quite similar to that calculated within an independent particle approximation and shows strong modifications when adsorbed on a Ag substrate. Important details of the computational approach are examined and the origins of the RAS and SDRS signals are explained in terms of the interface and substrate response functions. Our study does not find any evidence for Si adlayers that retain the properties of freestanding silicene.

  11. Al-oxynitride interfacial layer investigations for Pr{sub X}O{sub Y} on SiC and Si

    Energy Technology Data Exchange (ETDEWEB)

    Henkel, K; Karavaev, K; Torche, M; Schwiertz, C; Burkov, Y; Schmeisser, D [Brandenburgische Technische Universitaet Cottbus, Angewandte Physik-Sensorik, K-Wachsmann-Allee 17, 03046 Cottbus (Germany)], E-mail: henkel@tu-cottbus.de

    2008-01-15

    We investigate the dielectric properties of Praseodymium based oxides Pr{sub X}O{sub Y} by preparing MIS (metal insulator semiconductor) structures consisting of Pr{sub X}O{sub Y} as a high-k insulating layer and silicon (Si) or silicon carbide (SiC) as semiconductor substrates. The use of a buffer layer between Pr{sub X}O{sub Y} and the semiconductor is necessary as we found deleterious reactions between these materials such as silicate and graphite formation. Possessing a higher permittivity value ({epsilon}{sub r}) than silicon dioxide (SiO{sub 2}) and good lattice matching in conjunction with similar thermal expansion coefficient to SiC, we focus on aluminum oxynitride (AlON) as a suitable buffer layer for this high-k/wide-bandgap system. In our spectroscopic investigations we found a decrease or indeed prevention of silicon diffusion into the oxide and an increased Pr{sub 2}O{sub 3} fraction after deposition. In electrical characterizations of Pr{sub X}O{sub Y}/AlON stacks we found considerable improvements in the leakage current by several orders on both substrates, especially on silicon where we obtain values down to 10{sup -7}A/cm{sup 2} at a CET (capacitance equivalent thickness) of 4nm. We observed interface state densities in the range of 5 x 10{sup 11}-1 x 10{sup 12}/eVcm{sup 2} and 1-5 x 10{sup 12}/eVcm{sup 2} on Si and SiC, respectively.

  12. Effect of organic additives on mechanical properties of SiC ceramics prepared by a modified gelcasting method

    Directory of Open Access Journals (Sweden)

    Feng Wang

    2016-12-01

    Full Text Available A novel and simple gel system of isobutylene and maleic anhydride (PIBM was used to prepare SiC ceramics. The rheological behaviour of the SiC slurries was investigated as function of organic additives. The SiC slurries with 0.2 wt.% PIBM and 0.2 wt.% tetramethylammonium hydroxide (TMAH showed low viscosity, which was favourable for casting SiC green bodies. In order to obtain homogeneous green bodies, polyvinyl alcohol (PVA was used to assist the dispersion of carbon black in the slurries, and polyethylene glycol (PEG was added to inhibit the surface exfoliation of green bodies. The content of PVA was controlled carefully to avoid the warpage of green bodies during the drying process. Finally, homogeneous defect-free SiC green bodies were successfully fabricated via aqueous gelcasting. The SiC ceramics sintered at 2100 °C (prepared from slurries with solid content of 60 wt.% showed an average flexural strength of 305.7 MPa with porosity of 19.92%.

  13. Preparation and Characterization of Promoted Fe-V/SiO2 Nanocatalysts for Oxidation of Alcohols

    Directory of Open Access Journals (Sweden)

    Hamid Reza Rafiee

    2013-01-01

    Full Text Available A series of SiO2 supported iron-vanadium catalysts were prepared using sol-gel and wetness impregnation methods. This research investigates the effects of V and Cu on the structure and morphology of Fe/SiO2 catalysts. The SiO2 supported catalyst with the highest specific surface area and pore volume was obtained when it is containing 40 wt.% Fe, 15 wt.% V, and 2 wt.% Cu. Characterization of prepared catalysts was carried out by powder X-ray diffraction (XRD, scanning electron microcopy (SEM, vibrating sample magnetometry (VSM, Fourier transform infrared (FT-IR spectrometry, temperature program reduction (TPR, N2 physisorption, and thermal analysis methods such as thermal gravimetric analysis (TGA and differential scanning calorimetry (DSC. The Fe-V/SiO2 catalyst promoted with 2 wt.% of Cu exhibited typical ferromagnetic behavior at room temperature with a saturation magnetization value of 11.44 emu/g. This character of catalyst indicated great potential for application in magnetic separation technologies. The prepared catalyst was found to act as an efficient recoverable nanocatalyst for oxidation reaction of alcohols to aldehydes and ketones in aqueous media under mild condition. Moreover, the catalyst was reused five times without significant degradation in catalytic activity and performance.

  14. Magnetic and structural properties of Co2FeAl thin films grown on Si substrate

    International Nuclear Information System (INIS)

    Belmeguenai, Mohamed; Tuzcuoglu, Hanife; Gabor, Mihai; Petrisor, Traian; Tiusan, Coriolan; Berling, Dominique; Zighem, Fatih; Mourad Chérif, Salim

    2015-01-01

    The correlation between magnetic and structural properties of Co 2 FeAl (CFA) thin films of different thicknesses (10 nmSi/SiO 2 substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm 2 . - Highlights: • Various Co 2 FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness

  15. FDTD simulation study of size/gap and substrate-dependent SERS activity study of Au@SiO2 nanoparticles

    International Nuclear Information System (INIS)

    Yang Jing-Liang; Li Ruo-Ping; Han Jun-He; Huang Ming-Ju

    2016-01-01

    We use Au@SiO 2 nanoparticles (NPs) to systematically and comprehensively study the relationship between nanostructure and activity for surface-enhanced Raman scattering. Calculation simulation using the finite different time domain method verifies the experiment results and further reveals that the particle size and the distance between the NPs play vital roles in the surface-enhanced Raman scattering (SERS). Furthermore, in order to better simulate the real experiment, a Au@SiO 2 nanosphere dimer is placed on the silicon substrate and Au substrate, separately. The simulation results show that the large EM field coupling is due to the “hot spots” transferred from the NP–NP gaps to NP–surface of metal gaps, meanwhile, more “hot spots” occur. We also find that the signal intensity strongly depends on the position of the probe molecule. This work provides a better understanding of EM field enhancement. (paper)

  16. Preparation of Nb-Si phases by cathode sputtering, in particulat the superconducting phase of epitactically grown A15-Nb3Si

    International Nuclear Information System (INIS)

    Siefken, U.

    1979-01-01

    The search for new superconducting materials with high transition temperatures is concentrated on alloys with a cubic A15 structure (Cr 3 Si structure). In this paper we present the preparation of metastable A15-Nb 3 Si which is expected to have a very high transition temperature Tsub(c). The properties of the A15 structure which are relevant for superconductivity are described, in particular the orthogonal chains as the most important structural characteristic, metastability, and the relation between lattice defects and transition temperature. For target compositions of 75% Nb / 25% Si and 80% Nb / 20% Si A15-Nb 3 Si transition temperatures of Tsub(c) = 5.3 K and Tsub(c) = 7.5 K have been measured respectively, with lattice constants asub(o) = 5.19 A and asub(o) = 5.18 A. (orig.) [de

  17. Enhanced field emission from Si doped nanocrystalline AlN thin films

    International Nuclear Information System (INIS)

    Thapa, R.; Saha, B.; Chattopadhyay, K.K.

    2009-01-01

    Si doped and undoped nanocrystalline aluminum nitride thin films were deposited on various substrates by direct current sputtering technique. X-ray diffraction analysis confirmed the formation of phase pure hexagonal aluminum nitride with a single peak corresponding to (1 0 0) reflection of AlN with lattice constants, a = 0.3114 nm and c = 0.4986 nm. Energy dispersive analysis of X-rays confirmed the presence of Si in the doped AlN films. Atomic force microscopic studies showed that the average particle size of the film prepared at substrate temperature 200 deg. C was 9.5 nm, but when 5 at.% Si was incorporated the average particle size increased to ∼21 nm. Field emission study indicated that, with increasing Si doping concentration, the emission characteristics have been improved. The turn-on field (E to ) was 15.0 (±0.7) V/μm, 8.0 (±0.4) V/μm and 7.8 (±0.5) V/μm for undoped, 3 at.% and 5 at.% Si doped AlN films respectively and the maximum current density of 0.27 μA/cm 2 has been observed for 5 at.% Si doped nanocrystalline AlN film. It was also found that the dielectric properties were highly dependent on Si doping.

  18. Low-temperature magnetotransport in Si/SiGe heterostructures on 300 mm Si wafers

    Science.gov (United States)

    Scappucci, Giordano; Yeoh, L.; Sabbagh, D.; Sammak, A.; Boter, J.; Droulers, G.; Kalhor, N.; Brousse, D.; Veldhorst, M.; Vandersypen, L. M. K.; Thomas, N.; Roberts, J.; Pillarisetty, R.; Amin, P.; George, H. C.; Singh, K. J.; Clarke, J. S.

    Undoped Si/SiGe heterostructures are a promising material stack for the development of spin qubits in silicon. To deploy a qubit into high volume manufacturing in a quantum computer requires stringent control over substrate uniformity and quality. Electron mobility and valley splitting are two key electrical metrics of substrate quality relevant for qubits. Here we present low-temperature magnetotransport measurements of strained Si quantum wells with mobilities in excess of 100000 cm2/Vs fabricated on 300 mm wafers within the framework of advanced semiconductor manufacturing. These results are benchmarked against the results obtained in Si quantum wells deposited on 100 mm Si wafers in an academic research environment. To ensure rapid progress in quantum wells quality we have implemented fast feedback loops from materials growth, to heterostructure FET fabrication, and low temperature characterisation. On this topic we will present recent progress in developing a cryogenic platform for high-throughput magnetotransport measurements.

  19. SEMICONDUCTOR MATERIALS: White light photoluminescence from ZnS films on porous Si substrates

    Science.gov (United States)

    Caifeng, Wang; Qingshan, Li; Bo, Hu; Weibing, Li

    2010-03-01

    ZnS films were deposited on porous Si (PS) substrates using a pulsed laser deposition (PLD) technique. White light emission is observed in photoluminescence (PL) spectra, and the white light is the combination of blue and green emission from ZnS and red emission from PS. The white PL spectra are broad, intense in a visible band ranging from 450 to 700 nm. The effects of the excitation wavelength, growth temperature of ZnS films, PS porosity and annealing temperature on the PL spectra of ZnS/PS were also investigated.

  20. Comparison between thermal annealing and ion mixing of alloyed Ni-W films on Si. I

    International Nuclear Information System (INIS)

    Pai, C.S.; Lau, S.S.; Poker, D.B.; Hung, L.S.

    1985-01-01

    The reactions between Ni-W alloys and Si substrates induced by thermal annealing and ion mixing were investigated and compared. Samples were prepared by sputtering of Ni-W alloys, both Ni-rich and W-rich, onto the Si substrates, and followed by either furnace annealing (200--900 0 C) or ion mixing (2 x 10 15 -- 4 x 10 16 86 Kr + ions/cm 2 ). The reactions were analyzed by Rutherford backscattering and x-ray diffraction (Read camera). In general, thermal annealing and ion mixing lead to similar reactions. Phase separation between Ni and W with Ni silicides formed next to the Si substrate and W silicide formed on the surface was observed for both Ni-rich and W-rich samples under thermal annealing. Phase separation was also observed for Ni-rich samples under ion mixing; however, a Ni-W-Si ternary compound was possibly formed for ion-mixed W-rich samples. These reactions were rationalized in terms of the mobilities of various atoms and the energetics of the systems

  1. Preparation of Si3N4 Form Diatomite via a Carbothermal Reduction-Nitridation Process

    Science.gov (United States)

    Ma, Bin; Huang, Zhaohui; Mei, Lefu; Fang, Minghao; Liu, Yangai; Wu, Xiaowen; Hu, Xiaozhi

    2016-05-01

    Si3N4 was produced using diatomite and sucrose as silicon and carbon sources, respectively. The effect of the C/SiO2 molar ratio, heating temperature and soaking time on the morphology and phase compositions of the final products was investigated by scanning electron microscopy, x-ray diffraction analysis and energy dispersive spectroscopy. The phase equilibrium relationships of the system at different heating temperatures were also investigated based on the thermodynamic analysis. The results indicate that the phase compositions depended on the C/SiO2 molar ratio, heating temperature and soaking time. Fabrication of Si3N4 from the precursor via carbothermal reduction nitridation was achieved at 1550°C for 1-8 h using a C/SiO2 molar ratio of 3.0. The as-prepared Si3N4 contained a low amount of Fe3Si (<1 wt.%).

  2. Preparation, characterization and application of dispersible and spherical Nano-SiO2@Copolymer nanocomposite in leather tanning

    Science.gov (United States)

    Pan, Hui; Li, Guang-Long; Liu, Rui-Qi; Wang, Su-Xia; Wang, Xiao-Dong

    2017-12-01

    Dispersible and spherical silica nanoparticles (nano-SiO2) were prepared with tetraethyl silicate and different surface-modifiers via a simple method. The silica nanoparticles surface-modified with methacryloxy (propyl) trimethoxysilane (denoted as MPS-SiO2), dimethyl diallyl ammoniumchloride (denoted as DMDAAC-SiO2) and poly (methacrylic acid) (denoted as PMAA-SiO2) which are known as hydrophobic, amphiphilic and hydrophilic modifiers, respectively, exhibited excellent dispersibility in various solvents or polymer matrix. The obtained bare silica nanoparticles, MPS-SiO2, DMDAAC-SiO2 and PMAA-SiO2 were characterized by Fourier transform infrared spectra (FTIR), thermogravimetric analysis (TGA), transmission electron microscope (TEM) and scanning electron microscope (SEM). A series of nanocomposites (denoted as SiO2/P, MPS-SiO2/P, DMDAAC-SiO2/P and PMAA-SiO2/P, respectively) were also prepared with the bare or surface-modified silica nanoparticles and methacrylic acid-co-acrylamide-co-acrylonitrile-co-salicylic acid tetrabasic copolymer (denoted as PMAAS) and applied in leather tanning. Compared with those of the leather tanned with the commercial acrylic resin (CHINATAN OM) and pure tetrabasic copolymer tanning agents, the physical and mechanical properties, rheological properties and thermal stabilities of the leather treated with SiO2/P, MPS-SiO2/P, DMDAAC-SiO2/P or PMAA-SiO2/P founded to be improved in a significant way. Moreover, the highest shrinkage temperature of the wet-white sheepskin tanned with PMAA-SiO2/P reached to 76 °C and the thickness increase reached to 105%.

  3. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  4. Visible light irradiation-induced conductivity change for CVD-grown graphene on different substrates

    Science.gov (United States)

    Li, Xiangdi; Zhang, Peng; Liu, Xianming; Cao, Xueying; Lei, Xiaohua; Chen, Weimin

    2017-08-01

    This research examines the influence of lighting on the electrical properties of graphene on different substrates, including PET, glass and SiO2, which are the most widely used substrate materials representing the flexible and rigid applications. The graphene sheets were prepared by CVD and subsequently transferred to three substrates. The resistances of graphene under periodic visible light irradiation were measured inside a vacuum chamber. Results show that the resistances for graphene samples on all substrates increased slowly under lighting, while decreased slowly as well after the light was switched off. The change degree and speed were different for graphene on different substrates, which were influenced as well by the illumination time, environment atmosphere and irradiation power. Graphene on flexible PET substrate is more stable than that on other substrates.

  5. Observing hot carrier distribution in an n-type epitaxial graphene on a SiC substrate

    International Nuclear Information System (INIS)

    Someya, T.; Ishida, Y.; Yoshida, R.; Iimori, T.; Yukawa, R.; Akikubo, K.; Yamamoto, Sh.; Yamamoto, S.; Kanai, T.; Itatani, J.; Komori, F.; Shin, S.; Matsuda, I.; Fukidome, H.; Funakubo, K.; Suemitsu, M.; Yamamoto, T.

    2014-01-01

    Hot carrier dynamics in the Dirac band of n-type epitaxial graphene on a SiC substrate were traced in real time using femtosecond-time-resolved photoemission spectroscopy. The spectral evolution directly reflects the energetically linear density of states superimposed with a Fermi–Dirac distribution. The relaxation time is governed by the internal energy dissipation of electron–electron scattering, and the observed electronic temperature indicates cascade carrier multiplication

  6. Surface characterization of Zr/Ti/Nb tri-layered films deposited by magnetron sputtering on Si(111) and stainless steel substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tallarico, Denise A.; Gobbi, Angelo L.; Filho, Pedro I. Paulin; Galtayries, Anouk; Nascente, Pedro A. P. [Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Brazilian Synchrotron Light Laboratory, Microfabrication Laboratory, Rua Giuseppe Maximo Scolfaro 10.000, CEP 13083-100, Campinas, SP (Brazil); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Ecole Nationale Superieure de Chimie de Paris (Chimie ParisTech), Laboratoire de Physico-Chimie des Surfaces, UMR CNRS 7045, F-75231 Paris cedex 05 (France); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil)

    2012-09-15

    Among metallic materials, commercially pure titanium and titanium alloys are very often used as biomaterials for implants. Among these alloys, titanium-aluminum-vanadium alloy Ti-6 A-4 V is one of the most commonly used due to its excellent biocompatibility and ability to allow bone-implant integration. A new class of Ti alloys employs Zr for solid-solution hardening and Nb as {beta}-phase stabilizer. Metals such as Ti, Nb, and Zr-known as valve metals-usually have their surfaces covered by a thin oxide film that forms spontaneously in air. This oxide film constitutes a barrier between the metal and the medium. The Ti-Nb-Zr alloys have mechanical and corrosion resistance characteristics which make them suitable for use as implants. Tri-layered films of Ti-Nb-Zr were deposited on both Si(111) and stainless steel (SS) substrates using dc magnetron sputtering equipment, under an argon atmosphere according to the following methodology: a 100 nm thick layer of Nb was deposited on the substrate, followed by a 200 nm thick layer of Ti, and finally a 50 nm thick layer of Zr, on top of the multilayer stack. The morphology and chemical composition of the films were analyzed by atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS), and time-of-flight secondary ion mass spectrometry (ToF-SIMS). AFM images showed that the Zr/Ti/Nb tri-layer films presented nanostructured grains and low roughness. The ToF-SIMS depth profiles confirmed the formation of a three-layered film on Si(111) with well-defined and sharp interfaces between the layers, while the deposition on the stainless steel substrate caused slight intermixing at the different alloy/Nb, Nb/Ti and Ti/Zr interfaces, reflecting the greater roughness of the raw substrate. The XPS results for the Zr/Ti/Nb layers deposited on Si(111) and SS confirmed that the outermost layer consisted of Zr only, with a predominance of ZrO{sub 2}, as the metal layer is passivated in air. An oxidation treatment of 1000 Degree

  7. Energy level alignment at the Si(1 1 1)/RCA–SiO2/copper(II) phthalocyanine ultra-thin film interface

    International Nuclear Information System (INIS)

    Krzywiecki, Maciej; Grządziel, Lucyna

    2014-01-01

    Graphical abstract: - Highlights: • The interface formation studies between CuPc and Si by photoemission methods. • Charge rearrangement detected at the inorganic/organic interface. • Existence of disordered/polarization layer at the initial stages of CuPc deposition. • Examined structures applicable for organic transistors development. - Abstract: The photoemission experimental techniques (i.e. ultraviolet photoelectron spectroscopy—UPS and X-ray photoelectron spectroscopy—XPS) were used to investigate the charge–rearrangement–related phenomena occuring at organic–inorganic semiconductor interface. Examined samples were copper phthalocyanine (CuPc) ultra-thin (up to 16 nm) layers deposited onto oxidized silicon Si(1 1 1) of n- and p-type of conductivity. The 1.3-nm-thick silicon oxide was prepared by means of RCA wet cleaning procedure. The analysis of the photoemission data (mainly UPS) suggested the existance of the polarization layer within first 3 nm of CuPc layer thickness. Basing on the UPS and XPS results the energy level diagrams of examined structures have been constructed. In present paper it is suggested that the existance of the polarization layer could be assigned to the disordered adsorption and continous molecular reorientation of the CuPc molecules during the interface formation process. In the terms of the lack of the charge transfer via substrate/organic overlayer interface and disordered adsorption the fluctuations of CuPc electronic parameters were detected. Moreover the ionization energy and the work function parameters of final CuPc layer were affected. The values were more consistent with those obtained for much thicker (over 500 nm) CuPc layers. Performed studies showed that contrary to CuPc layers deposited on native substrates (where the charge transfer via tunnelable oxide – determined as dipole effect – has been detected), the thicker RCA-prepared oxide seems to be non-tunnelable hence the possibility for Si(1 1 1

  8. [Preparation of a kind of SERS-active substrates for spot fast analysis].

    Science.gov (United States)

    Ji, Nan; Li, Zhi-Shi; Zhao, Bing; Zou, Bo

    2013-02-01

    A kind of SERS-active substrates was prepared using chemical self-assembly method, aiming at spot fast analysis using portable Raman spectrometer. PDDA was first absorbed on the inner wall of vials, and then Ag colloids were assembled on the inner wall. UV-Vis spectra and Raman spectra of two kinds of blank vials were investigated and the transparent vials were thought to be better for SERS-vials. UV-Vis spectra were used to monitor the assembly process of Ag colloids. SERS activity of our substrates was characterized using p-ATP as probing molecules.

  9. Electronic states at Si-SiO2 interface introduced by implantation of Si in thermal SiO2

    International Nuclear Information System (INIS)

    Kalnitsky, A.; Poindexter, E.H.; Caplan, P.J.

    1990-01-01

    Interface traps due to excess Si introduced into the Si-SiO 2 system by ion implantation are investigated. Implanted oxides are shown to have interface traps at or slightly above the Si conduction band edge with densities proportional to the density of off-stoichiometric Si at the Si-SiO 2 interface. Diluted oxygen annealing is shown to result in physical separation of interface traps and equilibrium substrate electrons, demonstrating that ''interface'' states are located within a 0.5 nm thick layer of SiO 2 . Possible charge trapping mechanisms are discussed and the effect of these traps on MOS transistor characteristics is described using a sheet charge model. (author)

  10. Preparation of anodic aluminum oxide (AAO) nano-template on silicon and its application to one-dimensional copper nano-pillar array formation

    International Nuclear Information System (INIS)

    Shen, Lan; Ali, Mubarak; Gu, Zhengbin; Min, Bonggi; Kim, Dongwook; Park, Chinho

    2013-01-01

    Anodized aluminum oxide (AAO) nanotemplates were prepared using the Al/Si substrates with an aluminum layer thickness of about 300 nm. A two-step anodization process was used to prepare an ordered porous alumina nanotemplate, and the pores of various sizes and depths were constructed electrochemically through anodic oxidation. The optimum morphological structure for large area application was constructed by adjusting the applied potential, temperature, time, and electrolyte concentration. SEM investigations showed that hexagonal-close-packed alumina nano-pore arrays were nicely constructed on Si substrate, having smooth wall morphologies and well-defined diameters. It is also reported that one dimensional copper nanopillars can be fabricated using the tunable nanopore sized AAO/Si template, by controlling the copper deposition process

  11. The adhesion and tribology analysis of polycrystalline diamond coated on Si3N4 substrate

    International Nuclear Information System (INIS)

    Hamzah, E.; Purniawan, A.

    2007-01-01

    Cauliflower and octahedral structure of polycrystalline diamond was deposited on silicon nitride (Si 3 N 4 ) substrate by microwave plasma assisted chemical vapor deposition (MPACVD). In our earlier work, the effects of deposition parameters namely, % Methane (CH 4 ) diluted in hydrogen (H 2 ), microwave power and chamber pressure on surface morphology were studied. In the present work the polycrystalline diamond coating adhesion and tribology behaviour were investigated. Rockwell C hardness tester and pin-on-disk tribometer were used to determine the adhesion and tribology properties on diamond coating, respectively. The morphology of the diamond before and after indentation was observed using field emission scanning electron microscopy (FESEM). Based on the adhesion analysis results, it was found that octahedral morphology has better adhesion than cauliflower structure. It was indicated by few cracks and less peel-off than cauliflower structure of polycrystalline diamond after indentation. Based on tribology analysis, polycrystalline diamond coated on substrate has better tribology properties than uncoated substrate. (author)

  12. Morphological Evolution of Pit-Patterned Si(001) Substrates Driven by Surface-Energy Reduction

    Science.gov (United States)

    Salvalaglio, Marco; Backofen, Rainer; Voigt, Axel; Montalenti, Francesco

    2017-09-01

    Lateral ordering of heteroepitaxial islands can be conveniently achieved by suitable pit-patterning of the substrate prior to deposition. Controlling shape, orientation, and size of the pits is not trivial as, being metastable, they can significantly evolve during deposition/annealing. In this paper, we exploit a continuum model to explore the typical metastable pit morphologies that can be expected on Si(001), depending on the initial depth/shape. Evolution is predicted using a surface-diffusion model, formulated in a phase-field framework, and tackling surface-energy anisotropy. Results are shown to nicely reproduce typical metastable shapes reported in the literature. Moreover, long time scale evolutions of pit profiles with different depths are found to follow a similar kinetic pathway. The model is also exploited to treat the case of heteroepitaxial growth involving two materials characterized by different facets in their equilibrium Wulff's shape. This can lead to significant changes in morphologies, such as a rotation of the pit during deposition as evidenced in Ge/Si experiments.

  13. Alloyed surfaces: New substrates for graphene growth

    Science.gov (United States)

    Tresca, C.; Verbitskiy, N. I.; Fedorov, A.; Grüneis, A.; Profeta, G.

    2017-11-01

    We report a systematic ab-initio density functional theory investigation of Ni(111) surface alloyed with elements of group IV (Si, Ge and Sn), demonstrating the possibility to use it to grow high quality graphene. Ni(111) surface represents an ideal substrate for graphene, due to its catalytic properties and perfect matching with the graphene lattice constant. However, Dirac bands of graphene growth on Ni(111) are completely destroyed due to the strong hybridization between carbon pz and Ni d orbitals. Group IV atoms, namely Si, Ge and Sn, once deposited on Ni(111) surface, form an ordered alloyed surface with √{ 3} ×√{ 3} -R30° reconstruction. We demonstrate that, at variance with the pure Ni(111) surface, alloyed surfaces effectively decouple graphene from the substrate, resulting unstrained due to the nearly perfect lattice matching and preserves linear Dirac bands without the strong hybridization with Ni d states. The proposed surfaces can be prepared before graphene growth without resorting on post-growth processes which necessarily alter the electronic and structural properties of graphene.

  14. Dispersion Free Doped and Undoped AlGaN/GaN HEMTs on Sapphire and SiC Substrates

    NARCIS (Netherlands)

    Kraemer, M.C.J.C.M.; Jacobs, B.; Kwaspen, J.J.M.; Suijker, E.M.; Hek, A.P. de; Karouta, F.; Kaufmann, L.M.F.; Hoskens, R.C.P.

    2004-01-01

    We present dispersion free pulsed current voltage (I-V) and radio frequency (RF) power results of undoped and doped AlGaN/GaN HEMTs on sapphire and SiC substrates. The most significant processing step leading to these results is the application of a reactive ion etching (RIE) argon (Ar) plasma

  15. Growth and characterization of straight InAs/GaAs nanowire heterostructures on Si substrate

    International Nuclear Information System (INIS)

    Yan Xin; Zhang Xia; Li Jun-Shuai; Lü Xiao-Long; Ren Xiao-Min; Huang Yong-Qing

    2013-01-01

    Vertical InAs/GaAs nanowire (NW) heterostructures with a straight InAs segment have been successfully fabricated on Si (111) substrate by using AlGaAs/GaAs buffer layers coupled with a composition grading InGaAs segment. Both the GaAs and InAs segments are not limited by the misfit strain induced critical diameter. The low growth rate of InAs NWs is attributed to the AlGaAs/GaAs buffer layers which dramatically decrease the adatom diffusion contribution to the InAs NW growth. The crystal structure of InAs NW can be tuned from zincblende to wurtzite by controlling its diameter as well as the length of GaAs NWs. This work helps to open up a road for the integration of high-quality III-V NW heterostructures with Si

  16. Preparation, characterization and infrared emissivity study of helical polyurethane-SiO2 core-shell composite

    International Nuclear Information System (INIS)

    Wang Zhiqiang; Zhou Yuming; Yao Qingzhao; Sun Yanqing

    2009-01-01

    Helical polyurethane-SiO 2 (HPU-SiO 2 ) core-shell composite was prepared after surface modification of SiO 2 nanoparticles. HPU-SiO 2 was characterized by Fourier-transform infrared (FT-IR) spectroscopy, X-ray photoelectron spectroscopy (XPS), ultraviolet (UV) spectroscopy, X-ray diffraction (XRD) and transmission electron microscopy (TEM). The results indicate that the helical polyurethane has been successfully grafted onto the surfaces of the modified SiO 2 . HPU-SiO 2 composite exhibits clearly core-shell structure. The ultraviolet absorption and crystallizability of HPU-SiO 2 are changed due to the shell of helical polyurethane, which possesses regular single-handed conformation and inter-chain hydrogen bonds. The infrared emissivity of HPU-SiO 2 was also investigated. The result indicates that the interfacial interactions between organic shell and inorganic core induce the infrared emissivity value being reduced from 0.781 for SiO 2 to 0.503 for HPU-SiO 2 .

  17. Nanostructured Si-substituted hydroxyapatite coatings for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Rau, Julietta V., E-mail: giulietta.rau@ism.cnr.it [Istituto di Struttura della Materia, Consiglio Nazionale delle Ricerche, Via del Fosso del Cavaliere, 100-00133 Rome (Italy); Fosca, Marco [Istituto di Struttura della Materia, Consiglio Nazionale delle Ricerche, Via del Fosso del Cavaliere, 100-00133 Rome (Italy); Cacciotti, Ilaria [Università di Roma “Tor Vergata”, Dipartimento di Ingegneria Industriale,UR INSTM “Roma Tor Vergata”, Via del Politecnico, 1-00133 Rome (Italy); Laureti, Sara [Istituto di Struttura della Materia, Consiglio Nazionale delle Ricerche, Via Salaria km 29.300-00016 Monterotondo Scalo (RM) (Italy); Bianco, Alessandra [Università di Roma “Tor Vergata”, Dipartimento di Ingegneria Industriale,UR INSTM “Roma Tor Vergata”, Via del Politecnico, 1-00133 Rome (Italy); Teghil, Roberto [Università della Basilicata, Dipartimento di Scienze, Via dell' Ateneo Lucano 10-85100, Potenza (Italy)

    2013-09-30

    In the present work, the Si-HAp coatings were deposited on titanium substrates by Pulsed Laser Deposition technique. For deposition, the Si-HAp targets (1.4 wt.% of Si), produced starting from wet synthesized powders, were used. The properties of coatings were investigated by X-ray diffraction, Fourier transform infrared spectroscopy, scanning electron microscopy, atomic force microscopy and Vickers microhardness. The obtained Si-HAp coatings presented a nanosized structure, proper thickness and hardness for applications in orthopedical and dental surgery, aimed at improving the stability and the osteointegration of bone implants. - Highlights: ► Pulsed Laser Deposition method was applied to coat heated Titanium supports. ► Films were deposited using a target of Silicon-Hydroxyapatite sintered ceramics. ► Nanostructured crystalline hard film was grown replicating target composition. ► Prepared coating could be used for orthopedic and dental implants applications.

  18. Nanostructured Si-substituted hydroxyapatite coatings for biomedical applications

    International Nuclear Information System (INIS)

    Rau, Julietta V.; Fosca, Marco; Cacciotti, Ilaria; Laureti, Sara; Bianco, Alessandra; Teghil, Roberto

    2013-01-01

    In the present work, the Si-HAp coatings were deposited on titanium substrates by Pulsed Laser Deposition technique. For deposition, the Si-HAp targets (1.4 wt.% of Si), produced starting from wet synthesized powders, were used. The properties of coatings were investigated by X-ray diffraction, Fourier transform infrared spectroscopy, scanning electron microscopy, atomic force microscopy and Vickers microhardness. The obtained Si-HAp coatings presented a nanosized structure, proper thickness and hardness for applications in orthopedical and dental surgery, aimed at improving the stability and the osteointegration of bone implants. - Highlights: ► Pulsed Laser Deposition method was applied to coat heated Titanium supports. ► Films were deposited using a target of Silicon-Hydroxyapatite sintered ceramics. ► Nanostructured crystalline hard film was grown replicating target composition. ► Prepared coating could be used for orthopedic and dental implants applications

  19. A homogeneous, high-throughput assay for phosphatidylinositol 5-phosphate 4-kinase with a novel, rapid substrate preparation.

    Directory of Open Access Journals (Sweden)

    Mindy I Davis

    Full Text Available Phosphoinositide kinases regulate diverse cellular functions and are important targets for therapeutic development for diseases, such as diabetes and cancer. Preparation of the lipid substrate is crucial for the development of a robust and miniaturizable lipid kinase assay. Enzymatic assays for phosphoinositide kinases often use lipid substrates prepared from lyophilized lipid preparations by sonication, which result in variability in the liposome size from preparation to preparation. Herein, we report a homogeneous 1536-well luciferase-coupled bioluminescence assay for PI5P4Kα. The substrate preparation is novel and allows the rapid production of a DMSO-containing substrate solution without the need for lengthy liposome preparation protocols, thus enabling the scale-up of this traditionally difficult type of assay. The Z'-factor value was greater than 0.7 for the PI5P4Kα assay, indicating its suitability for high-throughput screening applications. Tyrphostin AG-82 had been identified as an inhibitor of PI5P4Kα by assessing the degree of phospho transfer of γ-(32P-ATP to PI5P; its inhibitory activity against PI5P4Kα was confirmed in the present miniaturized assay. From a pilot screen of a library of bioactive compounds, another tyrphostin, I-OMe tyrphostin AG-538 (I-OMe-AG-538, was identified as an ATP-competitive inhibitor of PI5P4Kα with an IC(50 of 1 µM, affirming the suitability of the assay for inhibitor discovery campaigns. This homogeneous assay may apply to other lipid kinases and should help in the identification of leads for this class of enzymes by enabling high-throughput screening efforts.

  20. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands