WorldWideScience

Sample records for semiconductor manufacturing technology

  1. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  2. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  3. New era of silicon technologies due to radical reaction based semiconductor manufacturing

    International Nuclear Information System (INIS)

    Ohmi, Tadahiro; Hirayama, Masaki; Teramoto, Akinobu

    2006-01-01

    Current semiconductor technology, the so-called the molecule reaction based semiconductor manufacturing, now faces a very severe standstill due to the drastic increase of gate leakage currents and drain leakage currents. Radical reaction based semiconductor manufacturing has been developed to completely overcome the current standstill by introducing microwave excited high density plasma with very low electron temperatures and without accompanying charge-up damage. The introduction of radical reaction based semiconductor manufacturing has made it possible to fabricate LSI devices on any crystal orientation Si substrate surface as well as (100) Si substrate surfaces, and to eliminate a very severe limitation to the antenna ratio in the circuit layout patterns, which is strictly limited to less than 100-200 in order to obtain a relatively high production yield. (topical review)

  4. Automation and Integration in Semiconductor Manufacturing

    OpenAIRE

    Liao, Da-Yin

    2010-01-01

    Semiconductor automation originates from the prevention and avoidance of frauds in daily fab operations. As semiconductor technology and business continuously advance and grow, manufacturing systems must aggressively evolve to meet the changing technical and business requirements in this industry. Semiconductor manufacturing has been suffering pains from islands of automation. The problems associated with these systems are limited

  5. SETEC/Semiconductor Manufacturing Technologies Program: 1999 Annual and Final Report

    Energy Technology Data Exchange (ETDEWEB)

    MCBRAYER,JOHN D.

    2000-12-01

    This report summarizes the results of work conducted by the Semiconductor Manufacturing Technologies Program at Sandia National Laboratories (Sandia) during 1999. This work was performed by one working group: the Semiconductor Equipment Technology Center (SETEC). The group's projects included Numerical/Experimental Characterization of the Growth of Single-Crystal Calcium Fluoride (CaF{sub 2}); The Use of High-Resolution Transmission Electron Microscopy (HRTEM) Imaging for Certifying Critical-Dimension Reference Materials Fabricated with Silicon Micromachining; Assembly Test Chip for Flip Chip on Board; Plasma Mechanism Validation: Modeling and Experimentation; and Model-Based Reduction of Contamination in Gate-Quality Nitride Reactor. During 1999, all projects focused on meeting customer needs in a timely manner and ensuring that projects were aligned with the goals of the National Technology Roadmap for Semiconductors sponsored by the Semiconductor Industry Association and with Sandia's defense mission. This report also provides a short history of the Sandia/SEMATECH relationship and a brief on all projects completed during the seven years of the program.

  6. Advanced excimer laser technologies enable green semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Yoo, Youngsun; Minegishi, Yuji; Hisanaga, Naoto; Enami, Tatsuo

    2014-03-01

    "Green" has fast become an important and pervasive topic throughout many industries worldwide. Many companies, especially in the manufacturing industries, have taken steps to integrate green initiatives into their high-level corporate strategies. Governments have also been active in implementing various initiatives designed to increase corporate responsibility and accountability towards environmental issues. In the semiconductor manufacturing industry, there are growing concerns over future environmental impact as enormous fabs expand and new generation of equipments become larger and more powerful. To address these concerns, Gigaphoton has implemented various green initiatives for many years under the EcoPhoton™ program. The objective of this program is to drive innovations in technology and services that enable manufacturers to significantly reduce both the financial and environmental "green cost" of laser operations in high-volume manufacturing environment (HVM) - primarily focusing on electricity, gas and heat management costs. One example of such innovation is Gigaphoton's Injection-Lock system, which reduces electricity and gas utilization costs of the laser by up to 50%. Furthermore, to support the industry's transition from 300mm to the next generation 450mm wafers, technologies are being developed to create lasers that offer double the output power from 60W to 120W, but reducing electricity and gas consumption by another 50%. This means that the efficiency of lasers can be improve by up to 4 times in 450mm wafer production environments. Other future innovations include the introduction of totally Heliumfree Excimer lasers that utilize Nitrogen gas as its replacement for optical module purging. This paper discusses these and other innovations by Gigaphoton to enable green manufacturing.

  7. Rare resource supply crisis and solution technology for semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Hu, Sophia; Yoo, Youngsun; Takahisa, Kenji; Enami, Tatsuo

    2016-03-01

    There are growing concerns over future environmental impact and earth resource shortage throughout the world and in many industries. Our semiconductor industry is not excluded. "Green" has become an important topic as production volume become larger and more powerful. Especially, the rare gases are widely used in semiconductor manufacturing because of its inertness and extreme chemical stability. One major component of an Excimer laser system is Neon. It is used as a buffer gas for Argon (Ar) and Krypton (Kr) gases used in deep ultraviolet (DUV) lithography laser systems. Since Neon gas accounting for more than 96% of the laser gas mixture, a fairly large amount of neon gas is consumed to run these DUV lasers. However, due to country's instability both in politics and economics in Ukraine, the main producer of neon gas today, supply reduction has become an issue and is causing increasing concern. This concern is not only based on price increases, but has escalated to the point of supply shortages in 2015. This poses a critical situation for the semiconductor industry, which represents the leading consumer of neon gas in the world. Helium is another noble gas used for Excimer laser operation. It is used as a purge gas for optical component modules to prevent from being damaged by active gases and impurities. Helium has been used in various industries, including for medical equipment, linear motor cars, and semiconductors, and is indispensable for modern life. But consumption of helium in manufacturing has been increased dramatically, and its unstable supply and price rise has been a serious issue today. In this article, recent global supply issue of rare resources, especially Neon gas and Helium gas, and its solution technology to support semiconductor industry will be discussed.

  8. Fundamentals of semiconductor manufacturing and process control

    CERN Document Server

    May, Gary S

    2006-01-01

    A practical guide to semiconductor manufacturing from process control to yield modeling and experimental design Fundamentals of Semiconductor Manufacturing and Process Control covers all issues involved in manufacturing microelectronic devices and circuits, including fabrication sequences, process control, experimental design, process modeling, yield modeling, and CIM/CAM systems. Readers are introduced to both the theory and practice of all basic manufacturing concepts. Following an overview of manufacturing and technology, the text explores process monitoring methods, including those that focus on product wafers and those that focus on the equipment used to produce wafers. Next, the text sets forth some fundamentals of statistics and yield modeling, which set the foundation for a detailed discussion of how statistical process control is used to analyze quality and improve yields. The discussion of statistical experimental design offers readers a powerful approach for systematically varying controllable p...

  9. NICE3 SO3 Cleaning Process in Semiconductor Manufacturing

    International Nuclear Information System (INIS)

    Blazek, Steve

    1999-01-01

    This fact sheet explains how Anon, Inc., has developed a novel method of removing photoresist--a light-sensitive material used to produce semiconductor wafers for computers--from the computer manufacturing process at reduced cost and greater efficiency. The new technology is technically superior to existing semiconductor cleaning methods and results in reduced use of hazardous chemicals

  10. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  11. Semiconductor technology for reducing emissions and increasing efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Duffin, B.; Frank, R. [Motorola Semiconductor Products Sector, Phoenix, AZ (United States)

    1997-12-31

    The cooperation and support of all industries are required to significantly impact a worldwide reduction in gaseous emissions that may contribute to climate change. Each industry also is striving to more efficiently utilize the resources that it consumes since this is both conservation for good citizenship and an intelligent approach to business. The semiconductor industry is also extremely concerned with these issues. However, semiconductor manufacturer`s products provide solutions for reduced emissions and increased efficiency in their industry, other industries and areas that can realize significant improvements through control technology. This paper will focus on semiconductor technologies of digital control, power switching and sensing to improve efficiency and reduce emissions in automotive, industrial, and office/home applications. 10 refs., 13 figs.

  12. Method of manufacturing a semiconductor sensor device and semiconductor sensor device

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a method of manufacturing a semiconductor sensor device (10) for sensing a substance comprising a plurality of mutually parallel mesa-shaped semiconductor regions (1) which are formed on a surface of a semiconductor body (11) and which are connected at a first end to a first

  13. Microeconomics of process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Process window control enables accelerated design-rule shrinks for both logic and memory manufacturers, but simple microeconomic models that directly link the effects of process window control to maximum profitability are rare. In this work, we derive these links using a simplified model for the maximum rate of profit generated by the semiconductor manufacturing process. We show that the ability of process window control to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process variation at the lot, wafer, x-wafer, x-field, and x-chip levels. We conclude that x-wafer and x-field CD control strategies will be critical enablers of density, performance and optimum profitability at the 90 and 65nm technology nodes. These analyses correlate well with actual factory data and often identify millions of dollars in potential incremental revenue and cost savings. As an example, we show that a scatterometry-based CD Process Window Monitor is an economically justified, enabling technology for the 65nm node.

  14. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method of manufacturing a semiconductor device (10) with a semiconductor body (1) which is provided with at least one semiconductor element, wherein on the surface of the semiconductor body (1) a mesa- shaped semiconductor region (2) is formed, a masking layer (3) is

  15. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  16. Strengthening the Competitiveness and Sustainability of a Semiconductor Manufacturer with Cloud Manufacturing

    Directory of Open Access Journals (Sweden)

    Toly Chen

    2014-01-01

    Full Text Available Cloud manufacturing (CMfg is a new-generation service-oriented networked manufacturing model that provides distributed users centralized managed manufacturing resources, ability, and services. CMfg is applied here to a semiconductor manufacturing factory. Benefits are classified into five aspects: cost savings, efficiency, additional data analysis capabilities, flexibility, and closer partner relationships. A strength, weakness, opportunity, and threat (SWOT analysis is done which guides a semiconductor manufacturer in planning CMfg implementation projects. Simulation of a wafer fabrication factory (wafer fab is used as an example. Several CMfg services are proposed for assisting the fab simulation activities through the collaboration of cloud service providers, software vendors, equipment suppliers, and the wafer fab. The connection with the competitiveness and sustainability of a wafer fab is also stressed.

  17. Semiconductors integrated circuit design for manufacturability

    CERN Document Server

    Balasinki, Artur

    2011-01-01

    Because of the continuous evolution of integrated circuit manufacturing (ICM) and design for manufacturability (DfM), most books on the subject are obsolete before they even go to press. That's why the field requires a reference that takes the focus off of numbers and concentrates more on larger economic concepts than on technical details. Semiconductors: Integrated Circuit Design for Manufacturability covers the gradual evolution of integrated circuit design (ICD) as a basis to propose strategies for improving return-on-investment (ROI) for ICD in manufacturing. Where most books put the spotl

  18. Big Data Analytics for Smart Manufacturing: Case Studies in Semiconductor Manufacturing

    Directory of Open Access Journals (Sweden)

    James Moyne

    2017-07-01

    Full Text Available Smart manufacturing (SM is a term generally applied to the improvement in manufacturing operations through integration of systems, linking of physical and cyber capabilities, and taking advantage of information including leveraging the big data evolution. SM adoption has been occurring unevenly across industries, thus there is an opportunity to look to other industries to determine solution and roadmap paths for industries such as biochemistry or biology. The big data evolution affords an opportunity for managing significantly larger amounts of information and acting on it with analytics for improved diagnostics and prognostics. The analytics approaches can be defined in terms of dimensions to understand their requirements and capabilities, and to determine technology gaps. The semiconductor manufacturing industry has been taking advantage of the big data and analytics evolution by improving existing capabilities such as fault detection, and supporting new capabilities such as predictive maintenance. For most of these capabilities: (1 data quality is the most important big data factor in delivering high quality solutions; and (2 incorporating subject matter expertise in analytics is often required for realizing effective on-line manufacturing solutions. In the future, an improved big data environment incorporating smart manufacturing concepts such as digital twin will further enable analytics; however, it is anticipated that the need for incorporating subject matter expertise in solution design will remain.

  19. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  20. Method of manufacturing semiconductor devices

    International Nuclear Information System (INIS)

    Sun, Y.S.E.

    1980-01-01

    A method of improving the electrical characteristics of semiconductor devices such as SCR's, rectifiers and triacs during their manufacture is described. The system consists of electron irradiation at an energy in excess of 250 KeV and most preferably between 1.5 and 12 MeV, producing an irradiation dose of between 5.10 12 and 5.10 15 electrons per sq. cm., and at a temperature in excess of 100 0 C preferably between 150 and 375 0 C. (U.K.)

  1. Radiation effects in semiconductors: technologies for hardened integrated circuits

    International Nuclear Information System (INIS)

    Charlot, J.M.

    1984-01-01

    Various technologies are used to manufacture integrated circuits for electronic systems. But for specific applications, including those with radiation environment, it is necessary to choose an appropriate technology or to improve a specific one in order to reach a definite hardening level. The aim of this paper is to present the main effects induced by radiation (neutrons and gamma rays) into the basic semiconductor devices, to explain some physical degradation mechanisms and to propose solutions for hardened integrated circuit fabrication. The analysis involves essentially the monolithic structure of the integrated circuits and the isolation technology of active elements. In conclusion, the advantages of EPIC and SOS technologies are described and the potentialities of new technologies (GaAs and SOI) are presented. (author)

  2. 3D Ceramic Microfluidic Device Manufacturing

    International Nuclear Information System (INIS)

    Natarajan, Govindarajan; Humenik, James N

    2006-01-01

    Today, semiconductor processing serves as the backbone for the bulk of micromachined devices. Precision lithography and etching technology used in the semiconductor industry are also leveraged by alternate techniques like electroforming and molding. The nature of such processing is complex, limited and expensive for any manufacturing foundry. This paper details the technology elements developed to manufacture cost effective and versatile microfluidic devices for applications ranging from medical diagnostics to characterization of bioassays. Two applications using multilayer ceramic technology to manufacture complex 3D microfluidic devices are discussed

  3. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  4. Fiscal 1998 research achievement report. Development of key technology for high-efficiency semiconductor manufacturing process; 1998 nendo kokoritsu handotai seizo process kiban gijutsu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-05-01

    In the development of large-aperture/high-density plasma technology, research and development was carried out for balanced electron drift plasma technologies for uniform control of plasma density and the like, such as an excited plasma source and plasma drift to enable wide-range plasma generation in a chamber. In the development of high-efficiency exposure technology, studies were made for stable generation and control of short wavelength excimer laser and for higher-speed large-aperture mask writing by use of an electron beam. In the development of higher-speed processing and energy-efficient technologies, research and development was conducted involving probe card technology for increasing the speed of semiconductor inspection, software-aided virtual tester technology, local energy-efficient cleaning technology in wafer processing and transportation, sheet-type flexible manufacturing system, and the like. (NEDO)

  5. Radiation effects in semiconductors: technologies for hardened integrated circuits

    International Nuclear Information System (INIS)

    Charlot, J.M.

    1983-09-01

    Various technologies are used to manufacture integrated circuits for electronic systems. But for specific applications, including those with radiation environment, it is necessary to choose an appropriate technologie or to improve a specific one in order to reach a definite hardening level. The aim of this paper is to present the main effects induced by radiation (neutrons and gamma rays) into the basic semiconductor devices, to explain some physical degradation mechanisms and to propose solutions for hardened integrated circuit fabrication. The analysis involves essentially the monolithic structure of the integrated circuits and the isolation technology of active elements. In conclusion, the advantages of EPIC and SOS technologies are described and the potentialities of new technologies (GaAs and SOI) are presented

  6. Advanced Manufacturing Technologies

    Science.gov (United States)

    Fikes, John

    2016-01-01

    Advanced Manufacturing Technologies (AMT) is developing and maturing innovative and advanced manufacturing technologies that will enable more capable and lower-cost spacecraft, launch vehicles and infrastructure to enable exploration missions. The technologies will utilize cutting edge materials and emerging capabilities including metallic processes, additive manufacturing, composites, and digital manufacturing. The AMT project supports the National Manufacturing Initiative involving collaboration with other government agencies.

  7. Radiation processing of polymers and semiconductors at the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Zimek, Z.; Przybytniak, G.; Kaluska, I.

    2006-01-01

    R(and)D studies in the field of radiation technology in Poland are mostly concentrated at the Institute of Nuclear Chemistry and Technology (INCT). The results of the INCT works on polymer and semiconductor modification have been implemented in various branches of national economy, particularly in industry and medicine. Radiation technology for polymer modification was implemented in the middle of the 1970-ties. Among others, the processes of irradiation and heat shrinkable products expansion have been developed. The transfer of this technology to Polish industry was performed in the middle of the 1980-ties. The present study aims at the formulation of new PE composites better suited to new generation of heat shrinkable products, for example, a new generation of hot-melt adhesives has been developed to meet specific requirements of customers. Modified polypropylene was used for the production of medical devices sterilized by radiation, especially disposable syringes, to overcome the low radiation resistance of the basic material. Modified polypropylene (PP-M) has been formulated at the INCT to provide material suitable for medical application and radiation sterilization process. Modification of semiconductor devices by EB was applied on an industrial scale since 1978 when the INCT and the LAMINA semiconductor factory successfully adopted that technology to improve specific semiconductor devices. This activity is continued on commercial basis where the INCT facilities served to contract irradiation of certain semiconductor devices according to the manufacturing program of the Polish factory and customers from abroad. (author)

  8. Review of wide band-gap semiconductors technology

    Directory of Open Access Journals (Sweden)

    Jin Haiwei

    2016-01-01

    Full Text Available Silicon carbide (SiC and gallium nitride (GaN are typical representative of the wide band-gap semiconductor material, which is also known as third-generation semiconductor materials. Compared with the conventional semiconductor silicon (Si or gallium arsenide (GaAs, wide band-gap semiconductor has the wide band gap, high saturated drift velocity, high critical breakdown field and other advantages; it is a highly desirable semiconductor material applied under the case of high-power, high-temperature, high-frequency, anti-radiation environment. These advantages of wide band-gap devices make them a hot spot of semiconductor technology research in various countries. This article describes the research agenda of United States and European in this area, focusing on the recent developments of the wide band-gap technology in the US and Europe, summed up the facing challenge of the wide band-gap technology.

  9. A Systematic Cycle Time Reduction Procedure for Enhancing the Competitiveness and Sustainability of a Semiconductor Manufacturer

    Directory of Open Access Journals (Sweden)

    Toly Chen

    2013-11-01

    Full Text Available Cycle time reduction plays an important role in improving the competitiveness and sustainability of a semiconductor manufacturer. However, in the past, cycle time reduction was usually unplanned owing to the lack of a systematic and quantitative procedure. To tackle this problem, a systematic procedure was established in this study for planning cycle time reduction actions to enhance the competitiveness and sustainability of a semiconductor manufacturer. First, some controllable factors that are influential to the job cycle time are identified. Subsequently, the relationship between the controllable factors and the job cycle time is fitted with a back propagation network. Based on this relationship, actions to shorten the job cycle time can be planned. The feasibility and effectiveness of an action have to be assessed before it can be taken in practice. An example containing the real data of hundreds of jobs has been used to illustrate the applicability of the proposed methodology. In addition, the financial benefits of the cycle time reduction action were analyzed, which provided the evidence that the proposed methodology enabled the sustainable development of the semiconductor manufacturer, since capital adequacy is very important in the semiconductor manufacturing industry.

  10. Microeconomics of yield learning and process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Simple microeconomic models that directly link yield learning to profitability in semiconductor manufacturing have been rare or non-existent. In this work, we review such a model and provide links to inspection capability and cost. Using a small number of input parameters, we explain current yield management practices in 200mm factories. The model is then used to extrapolate requirements for 300mm factories, including the impact of technology transitions to 130nm design rules and below. We show that the dramatic increase in value per wafer at the 300mm transition becomes a driver for increasing metrology and inspection capability and sampling. These analyses correlate well wtih actual factory data and often identify millions of dollars in potential cost savings. We demonstrate this using the example of grating-based overlay metrology for the 65nm node.

  11. Technology-design-manufacturing co-optimization for advanced mobile SoCs

    Science.gov (United States)

    Yang, Da; Gan, Chock; Chidambaram, P. R.; Nallapadi, Giri; Zhu, John; Song, S. C.; Xu, Jeff; Yeap, Geoffrey

    2014-03-01

    How to maintain the Moore's Law scaling beyond the 193 immersion resolution limit is the key question semiconductor industry needs to answer in the near future. Process complexity will undoubtfully increase for 14nm node and beyond, which brings both challenges and opportunities for technology development. A vertically integrated design-technologymanufacturing co-optimization flow is desired to better address the complicated issues new process changes bring. In recent years smart mobile wireless devices have been the fastest growing consumer electronics market. Advanced mobile devices such as smartphones are complex systems with the overriding objective of providing the best userexperience value by harnessing all the technology innovations. Most critical system drivers are better system performance/power efficiency, cost effectiveness, and smaller form factors, which, in turns, drive the need of system design and solution with More-than-Moore innovations. Mobile system-on-chips (SoCs) has become the leading driver for semiconductor technology definition and manufacturing. Here we highlight how the co-optimization strategy influenced architecture, device/circuit, process technology and package, in the face of growing process cost/complexity and variability as well as design rule restrictions.

  12. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  13. Suitability of integrated protection diodes from diverse semiconductor technologies

    NARCIS (Netherlands)

    van Wanum, Maurice; Lebouille, Tom; Visser, Guido; van Vliet, Frank Edward

    2009-01-01

    Abstract In this article diodes from three different semiconductor technologies are compared based on their suitability to protect a receiver. The semiconductor materials involved are silicon, gallium arsenide and gallium nitride. The diodes in the diverse semiconductor technologies themselves are

  14. 75 FR 879 - National Semiconductor Corporation Arlington Manufacturing Site Including On-Site Leased Workers...

    Science.gov (United States)

    2010-01-06

    ... engaged in activities related to the production of integrated circuits. The company reports that on-site... Corporation Arlington Manufacturing Site Including On-Site Leased Workers From GCA, CMPA (Silverleaf), Custom..., applicable to workers of National Semiconductor Corporation, Arlington Manufacturing Site, including on-site...

  15. Based on Weibull Information Fusion Analysis Semiconductors Quality the Key Technology of Manufacturing Execution Systems Reliability

    Science.gov (United States)

    Huang, Zhi-Hui; Tang, Ying-Chun; Dai, Kai

    2016-05-01

    Semiconductor materials and Product qualified rate are directly related to the manufacturing costs and survival of the enterprise. Application a dynamic reliability growth analysis method studies manufacturing execution system reliability growth to improve product quality. Refer to classical Duane model assumptions and tracking growth forecasts the TGP programming model, through the failure data, established the Weibull distribution model. Combining with the median rank of average rank method, through linear regression and least squares estimation method, match respectively weibull information fusion reliability growth curve. This assumption model overcome Duane model a weakness which is MTBF point estimation accuracy is not high, through the analysis of the failure data show that the method is an instance of the test and evaluation modeling process are basically identical. Median rank in the statistics is used to determine the method of random variable distribution function, which is a good way to solve the problem of complex systems such as the limited sample size. Therefore this method has great engineering application value.

  16. Analytical chemistry in semiconductor manufacturing: Techniques, role of nuclear methods and need for quality control

    International Nuclear Information System (INIS)

    1989-06-01

    This report is the result of a consultants meeting held in Gaithersburg, USA, 2-3 October 1987. The meeting was hosted by the National Bureau of Standards and Technology, and it was attended by 18 participants from Denmark, Finland, India, Japan, Norway, People's Republic of China and the USA. The purpose of the meeting was to assess the present status of analytical chemistry in semiconductor manufacturing, the role of nuclear analytical methods and the need for internationally organized quality control of the chemical analysis. The report contains the three presentations in full and a summary report of the discussions. Thus, it gives an overview of the need of analytical chemistry in manufacturing of silicon based devices, the use of nuclear analytical methods, and discusses the need for quality control. Refs, figs and tabs

  17. Results from a beam test of silicon strip sensors manufactured by Infineon Technologies AG

    Energy Technology Data Exchange (ETDEWEB)

    Dragicevic, M., E-mail: marko.dragicevic@oeaw.ac.at [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Auzinger, G. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); CERN, Geneva (Switzerland); Bartl, U. [Infineon Technologies Austria AG, Villach (Austria); Bergauer, T. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Gamerith, S.; Hacker, J. [Infineon Technologies Austria AG, Villach (Austria); König, A. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Infineon Technologies Austria AG, Villach (Austria); Kröner, F.; Kucher, E.; Moser, J.; Neidhart, T. [Infineon Technologies Austria AG, Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, Munich (Germany); Schustereder, W. [Infineon Technologies Austria AG, Villach (Austria); Treberspurg, W. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Wübben, T. [Infineon Technologies Austria AG, Villach (Austria)

    2014-11-21

    Most modern particle physics experiments use silicon based sensors for their tracking systems. These sensors are able to detect particles generated in high energy collisions with high spatial resolution and therefore allow the precise reconstruction of particle tracks. So far only a few vendors were capable of producing silicon strip sensors with the quality needed in particle physics experiments. Together with the European-based semiconductor manufacturer Infineon Technologies AG (Infineon) the Institute of High Energy Physics of the Austrian Academy of Sciences (HEPHY) developed planar silicon strip sensors in p-on-n technology. This work presents the first results from a beam test of strip sensors manufactured by Infineon.

  18. Thermodynamic concepts in semiconductor quantum dot technology

    International Nuclear Information System (INIS)

    Shchukin, V.

    2001-01-01

    Major trends of the modern civilization are related to the changing of the industrial society into an information and knowledge-based society. This transformation is to a large extent based on the modern information and communication technology. The nobel prize-2000 in physics is a remarkable recognition of an extremely high significance of this kind of technology. The nobel prize has been awarded with one half jointly to Zhores I. Alferov and Herbert Kroemer for developing semiconductor heterostructures used in high-speed- and opto-electronics and one half to Jack St. Clair Kilby for this part in the invention of the integrated circuit. The development of the semiconductor heterostructures technology requires a profound understanding of the basic growth mechanisms involved in any technological process, including any type of epitaxy, either the liquid phase epitaxy (LPE), or the metalorganic vapor phase epitaxy (MOVPE), or the molecular beam epitaxy (MBE). Starting from this pioneering works on semiconductor heterostructures till present time, Professor Zh. Alferov has always paid much attention to complex and comprehensive study of the subject. This covers the growth - as well as the post-growth technology including the theoretical modeling of the technology, the characterization of the heterostructures, and the device design. Such complex approach has master mined the scientific and technological success of Abraham loffe Institute in the area of semiconductor heterostructures, and later, nano structures. (Orig../A.B.)

  19. Application of statistics to VLSI circuit manufacturing : test, diagnosis, and reliability

    NARCIS (Netherlands)

    Krishnan, Shaji

    2017-01-01

    Semiconductor product manufacturing companies strive to deliver defect free, and reliable products to their customers. However, with the down-scaling of technology, increasing the throughput at every stage of semiconductor product manufacturing becomes a harder challenge. To avoid process-related

  20. Forecasting the Success of Implementing Sensors Advanced Manufacturing Technology

    Directory of Open Access Journals (Sweden)

    Cheng-Shih Su

    2014-08-01

    Full Text Available This paper is presented fuzzy preference relations approach to forecast the success of implementing sensors advanced manufacturing technology (AMT. In the manufacturing environment, performance measurement is based on different quantitative and qualitative factors. This study proposes an analytic hierarchical prediction model based on fuzzy preference relations to help the organizations become aware of the essential factors affecting the AMT implementation, forecasting the chance of successful implementing sensors AMT, as well as identifying the actions necessary before implementing sensors AMT. Then predicted success/failure values are obtained to enable organizations to decide whether to initiate sensors AMT, inhibit adoption or take remedial actions to increase the possibility of successful sensors AMT initiatives. This proposed approach is demonstrated with a real case study involving six influential factors assessed by nine evaluators solicited from a semiconductor engineering incorporation located in Taiwan.

  1. A Review of Related Work on Machine Learning in Semiconductor Manufacturing and Assembly Lines

    OpenAIRE

    Stanisavljevic, Darko; Spitzer, Michael

    2017-01-01

    This paper deals with applications of machine learning algorithms in manufacturing. Machine learning can be defined as a field of computer science that gives computers the ability to learn without explicitly developing the needed algorithms. Manufacturing is the production of merchandise by manual labour, machines and tools. The focus of this paper is on automatic production lines. The areas of interest of this paper are semiconductor manufacturing and production on assembly lines. The purpos...

  2. Review of the Semiconductor Industry and Technology Roadmap.

    Science.gov (United States)

    Kumar, Sameer; Krenner, Nicole

    2002-01-01

    Points out that the semiconductor industry is extremely competitive and requires ongoing technological advances to improve performance while reducing costs to remain competitive and how essential it is to gain an understanding of important facets of the industry. Provides an overview of the initial and current semiconductor technology roadmap that…

  3. Thermal Management of Power Semiconductor Packages - Matching Cooling Technologies with Packaging Technologies (Presentation)

    Energy Technology Data Exchange (ETDEWEB)

    Bennion, K.; Moreno, G.

    2010-04-27

    Heat removal for power semiconductor devices is critical for robust operation. Because there are different packaging options, different thermal management technologies, and a range of applications, there is a need for a methodology to match cooling technologies and package configurations to target applications. To meet this need, a methodology was developed to compare the sensitivity of cooling technologies on the overall package thermal performance over a range of power semiconductor packaging configurations. The results provide insight into the trade-offs associated with cooling technologies and package configurations. The approach provides a method for comparing new developments in power semiconductor packages and identifying potential thermal control technologies for the package. The results can help users select the appropriate combination of packaging configuration and cooling technology for the desired application.

  4. Manufacturing technologies

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-09-01

    The Manufacturing Technologies Center is an integral part of Sandia National Laboratories, a multiprogram engineering and science laboratory, operated for the Department of Energy (DOE) with major facilities at Albuquerque, New Mexico, and Livermore, California. Our Center is at the core of Sandia`s Advanced Manufacturing effort which spans the entire product realization process.

  5. Advanced manufacturing: Technology diffusion

    Energy Technology Data Exchange (ETDEWEB)

    Tesar, A.

    1995-12-01

    In this paper we examine how manufacturing technology diffuses rom the developers of technology across national borders to those who do not have the capability or resources to develop advanced technology on their own. None of the wide variety of technology diffusion mechanisms discussed in this paper are new, yet the opportunities to apply these mechanisms are growing. A dramatic increase in technology diffusion occurred over the last decade. The two major trends which probably drive this increase are a worldwide inclination towards ``freer`` markets and diminishing isolation. Technology is most rapidly diffusing from the US In fact, the US is supplying technology for the rest of the world. The value of the technology supplied by the US more than doubled from 1985 to 1992 (see the Introduction for details). History shows us that technology diffusion is inevitable. It is the rates at which technologies diffuse to other countries which can vary considerably. Manufacturers in these countries are increasingly able to absorb technology. Their manufacturing efficiency is expected to progress as technology becomes increasingly available and utilized.

  6. Manufacturing engineering and technology

    CERN Document Server

    Kalpakjian, Serope; Vijai Sekar, K S

    2014-01-01

    For courses in manufacturing processes at two- or four-year schools. An up-to-date text that provides a solid background in manufacturing processes. Manufacturing Engineering and Technology, SI Edition, 7e, presents a mostly qualitative description of the science, technology, and practice of manufacturing. This includes detailed descriptions of manufacturing processes and the manufacturing enterprise that will help introduce students to important concepts. With a total of 120 examples and case studies, up-to-date and comprehensive coverage of all topics, and superior two-color graphics, this text provides a solid background for manufacturing students and serves as a valuable reference text for professionals. Teaching and Learning Experience To provide a better teaching and learning experience, for both instructors and students, this program will: * Apply Theory and/or Research: An excellent overview of manufacturing conceptswith a balance of relevant fundamentals and real-world practices. * Engage Students: E...

  7. Semiconductor technology program. Progress briefs

    Science.gov (United States)

    Bullis, W. M.

    1980-01-01

    Measurement technology for semiconductor materials, process control, and devices is reviewed. Activities include: optical linewidth and thermal resistance measurements; device modeling; dopant density profiles; resonance ionization spectroscopy; and deep level measurements. Standardized oxide charge terminology is also described.

  8. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    KAUST Repository

    Almuslem, A. S.; Hanna, Amir; Yapici, Tahir; Wehbe, N.; Diallo, Elhadj; Kutbee, Arwa T.; Bahabry, Rabab R.; Hussain, Muhammad Mustafa

    2017-01-01

    , in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured

  9. Cloud manufacturing distributed computing technologies for global and sustainable manufacturing

    CERN Document Server

    Mehnen, Jörn

    2013-01-01

    Global networks, which are the primary pillars of the modern manufacturing industry and supply chains, can only cope with the new challenges, requirements and demands when supported by new computing and Internet-based technologies. Cloud Manufacturing: Distributed Computing Technologies for Global and Sustainable Manufacturing introduces a new paradigm for scalable service-oriented sustainable and globally distributed manufacturing systems.   The eleven chapters in this book provide an updated overview of the latest technological development and applications in relevant research areas.  Following an introduction to the essential features of Cloud Computing, chapters cover a range of methods and applications such as the factors that actually affect adoption of the Cloud Computing technology in manufacturing companies and new geometrical simplification method to stream 3-Dimensional design and manufacturing data via the Internet. This is further supported case studies and real life data for Waste Electrical ...

  10. Composites Manufacturing Education and Technology Facility Expedites Manufacturing Innovation

    Energy Technology Data Exchange (ETDEWEB)

    2017-01-01

    The Composites Manufacturing Education and Technology facility (CoMET) at the National Wind Technology Center at the National Renewable Energy Laboratory (NREL) paves the way for innovative wind turbine components and accelerated manufacturing. Available for use by industry partners and university researchers, the 10,000-square-foot facility expands NREL's composite manufacturing research capabilities by enabling researchers to design, prototype, and test composite wind turbine blades and other components -- and then manufacture them onsite. Designed to work in conjunction with NREL's design, analysis, and structural testing capabilities, the CoMET facility expedites manufacturing innovation.

  11. Product manufacturing, quality, and reliability initiatives to maintain a competitive advantage and meet customer expectations in the semiconductor industry

    Science.gov (United States)

    Capps, Gregory

    Semiconductor products are manufactured and consumed across the world. The semiconductor industry is constantly striving to manufacture products with greater performance, improved efficiency, less energy consumption, smaller feature sizes, thinner gate oxides, and faster speeds. Customers have pushed towards zero defects and require a more reliable, higher quality product than ever before. Manufacturers are required to improve yields, reduce operating costs, and increase revenue to maintain a competitive advantage. Opportunities exist for integrated circuit (IC) customers and manufacturers to work together and independently to reduce costs, eliminate waste, reduce defects, reduce warranty returns, and improve quality. This project focuses on electrical over-stress (EOS) and re-test okay (RTOK), two top failure return mechanisms, which both make great defect reduction opportunities in customer-manufacturer relationship. Proactive continuous improvement initiatives and methodologies are addressed with emphasis on product life cycle, manufacturing processes, test, statistical process control (SPC), industry best practices, customer education, and customer-manufacturer interaction.

  12. Energetics Manufacturing Technology Center (EMTC)

    Data.gov (United States)

    Federal Laboratory Consortium — The Energetics Manufacturing Technology Center (EMTC), established in 1994 by the Office of Naval Research (ONR) Manufacturing Technology (ManTech) Program, is Navy...

  13. Tribology in Manufacturing Technology

    CERN Document Server

    2013-01-01

    The present book aims to provide research advances on tribology in manufacturing technology for modern industry. This book can be used as a research book for final undergraduate engineering course (for example, mechanical, manufacturing, materials, etc) or as a subject on manufacturing at the postgraduate level. Also, this book can serve as a useful reference for academics, manufacturing and tribology researchers, mechanical, mechanical, manufacturing and materials engineers, professionals in related industries with manufacturing and tribology.

  14. Training for New Manufacturing Technologies.

    Science.gov (United States)

    Jacobs, James

    1988-01-01

    Examines the effects of computer-based manufacturing technologies on employment opportunities and job skills. Describes the establishment of the Industrial Technology Institute in Michigan to develop and utilize advanced manufacturing technologies, and the institute's relationship to the state's community colleges. Reviews lessons learned from…

  15. Technological dispute for manufacturing population

    Directory of Open Access Journals (Sweden)

    B.M. Hevko

    2017-12-01

    Full Text Available New designs of technological equipment are presented – a variegated unit for adjusting the machine for precise manufacturing of a cut or on a tool of a tester, or on a workpiece, and also a design of a control device for measuring average diameters of taps. An important element in the manufacture of cutting tools is the manufacture of appropriate technological equipment. So for the manufacture of taps, it is necessary to develop the design of technological equipment for the adjustment of the necessary design parameters, as well as the design of control devices for their parameters. To provide these operations to instruments are the requirements of accuracy, productivity, stability, cost of production and a number of others. The new design of the technological equipment for the adjustment of the machine for precise manufacturing of the cutting is presented. The design of the control device for measuring the average diameter of the taps is also given. The advantages of the device include improving the quality of processing and working out the design on the technological.

  16. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  17. Semiconductor materials for solar photovoltaic cells

    CERN Document Server

    Wong-Ng, Winnie; Bhattacharya, Raghu

    2016-01-01

    This book reviews the current status of semiconductor materials for conversion of sunlight to electricity, and highlights advances in both basic science and manufacturing.  Photovoltaic (PV) solar electric technology will be a significant contributor to world energy supplies when reliable, efficient PV power products are manufactured in large volumes at low cost.  Expert chapters cover the full range of semiconductor materials for solar-to-electricity conversion, from crystalline silicon and amorphous silicon to cadmium telluride, copper indium gallium sulfide selenides, dye sensitized solar cells, organic solar cells, and environmentally friendly copper zinc tin sulfide selenides. The latest methods for synthesis and characterization of solar cell materials are described, together with techniques for measuring solar cell efficiency. Semiconductor Materials for Solar Photovoltaic Cells presents the current state of the art as well as key details about future strategies to increase the efficiency and reduce ...

  18. Radiation effects in technologies of semiconductor materials and devises

    International Nuclear Information System (INIS)

    Korshunov, F.P.; Bogatyrev, Yu.V.; Lastovskij, S.B.; Marchenko, I.G.; Zhdanovich, N.E.

    2003-01-01

    In the paper were considered the physical basics and practical results of using of penetrating radiations in technologies of nuclear transmutation of semiconductor materials (Si, GaAs) as well as in production of semiconductor devices including high-power silicon diodes, thyristors and transistors. It is shown the high efficiency of radiation technology for increasing of electronic device speed, exclusion of technological operations such as gold or platinum diffusions, increase of quality, decrease of prime cost and increase of good-to-bad device ratio yield

  19. A system approach for reducing the environmental impact of manufacturing and sustainability improvement of nano-scale manufacturing

    Science.gov (United States)

    Yuan, Yingchun

    This dissertation develops an effective and economical system approach to reduce the environmental impact of manufacturing. The system approach is developed by using a process-based holistic method for upstream analysis and source reduction of the environmental impact of manufacturing. The system approach developed consists of three components of a manufacturing system: technology, energy and material, and is useful for sustainable manufacturing as it establishes a clear link between manufacturing system components and its overall sustainability performance, and provides a framework for environmental impact reductions. In this dissertation, the system approach developed is applied for environmental impact reduction of a semiconductor nano-scale manufacturing system, with three case scenarios analyzed in depth on manufacturing process improvement, clean energy supply, and toxic chemical material selection. The analysis on manufacturing process improvement is conducted on Atomic Layer Deposition of Al2O3 dielectric gate on semiconductor microelectronics devices. Sustainability performance and scale-up impact of the ALD technology in terms of environmental emissions, energy consumption, nano-waste generation and manufacturing productivity are systematically investigated and the ways to improve the sustainability of the ALD technology are successfully developed. The clean energy supply is studied using solar photovoltaic, wind, and fuel cells systems for electricity generation. Environmental savings from each clean energy supply over grid power are quantitatively analyzed, and costs for greenhouse gas reductions on each clean energy supply are comparatively studied. For toxic chemical material selection, an innovative schematic method is developed as a visual decision tool for characterizing and benchmarking the human health impact of toxic chemicals, with a case study conducted on six chemicals commonly used as solvents in semiconductor manufacturing. Reliability of

  20. Achievement Report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Development of silicon mass-production manufacturing technology for solar cells; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    In order to manufacture silicon for solar cells, development is intended on a technology to manufacture silicon (SOG-Si) for solar cells by means of metallurgical methods using metallic silicon with purity generally available as an interim starting material. The silicon is required of p-type electric conductivity characteristics with specific resistance of 0.5 to 1.5 ohm per cm, to be sufficient even with 6-7N as compared to silicon for semiconductors (11-N), and to be low in cost. While the NEDO fluid bed process and the metallurgical NEDO direct reduction process have been developed based on the technology to manufacture silicon for semiconductors, the basic policy was established to develop a new manufacturing method using commercially available high-purity metallic silicon as an interim starting material, with an objective to achieve cost as low as capable of responding to small-quantity phase production for proliferation purpose. Removal of boron and phosphor has been the main issue in the development, whereas SOG-Si was manufactured in a laboratory scale by combining with the conventional component technologies in fiscal 1991 and 1992. The scale was expanded to 20 kg since fiscal 1993, and a five year plan starting fiscal 1996 was decided to develop the technology for industrial scale. Fiscal 1997 has promoted the development by using the 20-kg scale device, and introduced facilities to develop technology for mass-production scale. (NEDO)

  1. Technological assessment of local manufacturers for wind turbine blade manufacturing in Pakistan

    Science.gov (United States)

    Mahmood, Khurram; Haroon, General

    2012-11-01

    Composite materials manufacturing industry is one of the world's hi-tech industry. Manufacturing of wind turbine blades is one of the specialized fields requiring high degree of precision and composite manufacturing techniques. This paper identifies the industries specializing in the composite manufacturing and is able to manufacture wind turbines blades in Pakistan. In the second phase, their technology readiness level is determined, based on some factors and then a readiness level are assigned to them. The assigned technology readiness level will depict the absorptive capacity of each manufacturing unit and its capability to take on such projects. The individual readiness level of manufacturing unit will then be used to establish combined technology readiness level of Pakistan particularly for wind turbine blades manufacturing. The composite manufacturing industry provides many spin offs and a diverse range of products can be manufactured using this facility. This research will be helpful to categorize the strong points and flaws of local industry for the gap analysis. It can also be used as a prerequisite study before the evaluation of technologies and specialties to improve the industry of the country for the most favorable results. This will form a basic data base which can be used for the decision making related to transfer of technology, training of local skilled workers and general up-gradation of the local manufacturing units.

  2. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  3. Challenges in teaching modern manufacturing technologies

    Science.gov (United States)

    Ngaile, Gracious; Wang, Jyhwen; Gau, Jenn-Terng

    2015-07-01

    Teaching of manufacturing courses for undergraduate engineering students has become a challenge due to industrial globalisation coupled with influx of new innovations, technologies, customer-driven products. This paper discusses development of a modern manufacturing course taught concurrently in three institutions where students collaborate in executing various projects. Lectures are developed to contain materials featuring advanced manufacturing technologies, R&D trends in manufacturing. Pre- and post-surveys were conducted by an external evaluator to assess the impact of the course on increase in student's knowledge of manufacturing; increase students' preparedness and confidence in effective communication and; increase students' interest in pursuing additional academic studies and/or a career path in manufacturing and high technology. The surveyed data indicate that the students perceived significant gains in manufacturing knowledge and preparedness in effective communication. The study also shows that implementation of a collaborative course within multiple institutions requires a robust and collective communication platform.

  4. Emerging technologies in arthroplasty: additive manufacturing.

    Science.gov (United States)

    Banerjee, Samik; Kulesha, Gene; Kester, Mark; Mont, Michael A

    2014-06-01

    Additive manufacturing is an industrial technology whereby three-dimensional visual computer models are fabricated into physical components by selectively curing, depositing, or consolidating various materials in consecutive layers. Although initially developed for production of simulated models, the technology has undergone vast improvements and is currently increasingly being used for the production of end-use components in various aerospace, automotive, and biomedical specialties. The ability of this technology to be used for the manufacture of solid-mesh-foam monolithic and coated components of complex geometries previously considered unmanufacturable has attracted the attention of implant manufacturers, bioengineers, and orthopedic surgeons. Currently, there is a paucity of reports describing this fabrication method in the orthopedic literature. Therefore, we aimed to briefly describe this technology, some of the applications in other orthopedic subspecialties, its present use in hip and knee arthroplasty, and concerns with the present form of the technology. As there are few reports of clinical trials presently available, the true benefits of this technology can only be realized when studies evaluating the clinical and radiographic outcomes of cementless implants manufactured with additive manufacturing report durable fixation, less stress shielding, and better implant survivorship. Nevertheless, the authors believe that this technology holds great promise and may potentially change the conventional methods of casting, machining, and tooling for implant manufacturing in the future. Thieme Medical Publishers 333 Seventh Avenue, New York, NY 10001, USA.

  5. Agile Multi-Parallel Micro Manufacturing Using a Grid of Equiplets

    NARCIS (Netherlands)

    Leo van Moergestel; Ing. Erik Puik

    2010-01-01

    Abstract: Unlike manufacturing technology for semiconductors and printed circuit boards, the market for traditional micro assembly lacks a clear public roadmap. More agile manufacturing strategies are needed in an environment in which dealing with change becomes a rule instead of an exception. In

  6. An Assessment of Advanced Manufacturing Technologies Implementation in Manufacturing Enterprises

    Directory of Open Access Journals (Sweden)

    Ghulam Yasin Shaikh

    2011-04-01

    Full Text Available The implementation of AMTs (Advanced Manufacturing Technologies has always been the high interest and core issue for the manufacturing enterprises to get rapid production for global market place. The developed countries have achieved its competitive advantage by implementing this unique model of technologies with full range of systems. In developing countries, the implementation of such technologies is not much common due to so many reasons, (political, social, economical and technical but entrepreneurs of growing economies are contemplating to reshape long term strategy to adopt Computer systems oriented technologies in their manufacturing companies to meet the growing needs of their indigenous market on one hand and to make a place in the international market on the other. Although, very few manufacturing organization do meet the global market requirements. But there is still lot of efforts to be taken for world class competition. An attempt has been made in this paper to develop a conceptual model taking in to account the three parameters such as, Direct, Indirect and Administrative AMTs. This research work further attempts to present an empirical data analysis conducted in the manufacturing enterprises in province of Sindh, Pakistan. The overall indigenous progress of manufacturing enterprises as according to the data collected from 60 companies reveals that the AMTs systems are partially understood and practiced that is also one of the cause towards slow progress of national exchequer.

  7. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  8. Incorporating DSA in multipatterning semiconductor manufacturing technologies

    Science.gov (United States)

    Badr, Yasmine; Torres, J. A.; Ma, Yuansheng; Mitra, Joydeep; Gupta, Puneet

    2015-03-01

    Multi-patterning (MP) is the process of record for many sub-10nm process technologies. The drive to higher densities has required the use of double and triple patterning for several layers; but this increases the cost of the new processes especially for low volume products in which the mask set is a large percentage of the total cost. For that reason there has been a strong incentive to develop technologies like Directed Self Assembly (DSA), EUV or E-beam direct write to reduce the total number of masks needed in a new technology node. Because of the nature of the technology, DSA cylinder graphoepitaxy only allows single-size holes in a single patterning approach. However, by integrating DSA and MP into a hybrid DSA-MP process, it is possible to come up with decomposition approaches that increase the design flexibility, allowing different size holes or bar structures by independently changing the process for every patterning step. A simple approach to integrate multi-patterning with DSA is to perform DSA grouping and MP decomposition in sequence whether it is: grouping-then-decomposition or decomposition-then-grouping; and each of the two sequences has its pros and cons. However, this paper describes why these intuitive approaches do not produce results of acceptable quality from the point of view of design compliance and we highlight the need for custom DSA-aware MP algorithms.

  9. Handbook of manufacturing engineering and technology

    CERN Document Server

    2015-01-01

    The Springer Reference Work Handbook of Manufacturing Engineering and Technology provides overviews and in-depth and authoritative analyses on the basic and cutting-edge manufacturing technologies and sciences across a broad spectrum of areas. These topics are commonly encountered in industries as well as in academia. Manufacturing engineering curricula across universities are now essential topics covered in major universities worldwide.

  10. Advanced manufacturing: Technology and international competitiveness

    Energy Technology Data Exchange (ETDEWEB)

    Tesar, A.

    1995-02-01

    Dramatic changes in the competitiveness of German and Japanese manufacturing have been most evident since 1988. All three countries are now facing similar challenges, and these challenges are clearly observed in human capital issues. Our comparison of human capital issues in German, Japanese, and US manufacturing leads us to the following key judgments: Manufacturing workforces are undergoing significant changes due to advanced manufacturing technologies. As companies are forced to develop and apply these technologies, the constituency of the manufacturing workforce (especially educational requirements, contingent labor, job content, and continuing knowledge development) is being dramatically and irreversibly altered. The new workforce requirements which result due to advanced manufacturing require a higher level of worker sophistication and responsibility.

  11. EDITORIAL: Extreme Ultraviolet Light Sources for Semiconductor Manufacturing

    Science.gov (United States)

    Attwood, David

    2004-12-01

    The International Technology Roadmap for Semiconductors (ITRS) [1] provides industry expectations for high volume computer chip fabrication a decade into the future. It provides expectations to anticipated performance and requisite specifications. While the roadmap provides a collective projection of what international industry expects to produce, it does not specify the technology that will be employed. Indeed, there are generally several competing technologies for each two or three year step forward—known as `nodes'. Recent successful technologies have been based on KrF (248 nm), and now ArF (193 nm) lasers, combined with ultraviolet transmissive refractive optics, in what are known as step and scan exposure tools. Less fortunate technologies in the recent past have included soft x-ray proximity printing and, it appears, 157 nm wavelength F2 lasers. In combination with higher numerical aperture liquid emersion optics, 193 nm is expected to be used for the manufacture of leading edge chip performance for the coming five years. Beyond that, starting in about 2009, the technology to be employed is less clear. The leading candidate for the 2009 node is extreme ultraviolet (EUV) lithography, however this requires that several remaining challenges, including sufficient EUV source power, be overcome in a timely manner. This technology is based on multilayer coated reflective optics [2] and an EUV emitting plasma. Following Moore's Law [3] it is expected, for example, that at the 2009 `32 nm node' (printable patterns of 32 nm half-pitch), isolated lines with 18 nm width will be formed in resist (using threshold effects), and that these will be further narrowed to 13 nm in transfer to metalized electronic gates. These narrow features are expected to provide computer chips of 19 GHz clock frequency, with of the order of 1.5 billion transistors per chip [1]. This issue of Journal of Physics D: Applied Physics contains a cluster of eight papers addressing the critical

  12. ENABLING SMART MANUFACTURING TECHNOLOGIES FOR DECISION-MAKING SUPPORT

    Science.gov (United States)

    Helu, Moneer; Libes, Don; Lubell, Joshua; Lyons, Kevin; Morris, KC

    2017-01-01

    Smart manufacturing combines advanced manufacturing capabilities and digital technologies throughout the product lifecycle. These technologies can provide decision-making support to manufacturers through improved monitoring, analysis, modeling, and simulation that generate more and better intelligence about manufacturing systems. However, challenges and barriers have impeded the adoption of smart manufacturing technologies. To begin to address this need, this paper defines requirements for data-driven decision making in manufacturing based on a generalized description of decision making. Using these requirements, we then focus on identifying key barriers that prevent the development and use of data-driven decision making in industry as well as examples of technologies and standards that have the potential to overcome these barriers. The goal of this research is to promote a common understanding among the manufacturing community that can enable standardization efforts and innovation needed to continue adoption and use of smart manufacturing technologies. PMID:28649678

  13. Advances in 3D printing & additive manufacturing technologies

    CERN Document Server

    Pandey, Pulak; Kumar, L

    2017-01-01

    This edited volume comprises select chapters on advanced technologies for 3D printing and additive manufacturing and how these technologies have changed the face of direct, digital technologies for rapid production of models, prototypes and patterns. Because of its wide applications, 3D printing and additive manufacturing technology has become a powerful new industrial revolution in the field of manufacturing. The evolution of 3D printing and additive manufacturing technologies has changed design, engineering and manufacturing processes across industries such as consumer products, aerospace, medical devices and automotives. The objective of this book is to help designers, R&D personnel, and practicing engineers understand the state-of-the-art developments in the field of 3D Printing and Additive Manufacturing. .

  14. Composite fuselage crown panel manufacturing technology

    Science.gov (United States)

    Willden, Kurtis; Metschan, S.; Grant, C.; Brown, T.

    1992-01-01

    Commercial fuselage structures contain significant challenges in attempting to save manufacturing costs with advanced composite technology. Assembly issues, material costs, and fabrication of elements with complex geometry are each expected to drive the cost of composite fuselage structures. Boeing's efforts under the NASA ACT program have pursued key technologies for low-cost, large crown panel fabrication. An intricate bond panel design and manufacturing concepts were selected based on the efforts of the Design Build Team (DBT). The manufacturing processes selected for the intricate bond design include multiple large panel fabrication with the Advanced Tow Placement (ATP) process, innovative cure tooling concepts, resin transfer molding of long fuselage frames, and utilization of low-cost material forms. The process optimization for final design/manufacturing configuration included factory simulations and hardware demonstrations. These efforts and other optimization tasks were instrumental in reducing cost by 18 percent and weight by 45 percent relative to an aluminum baseline. The qualitative and quantitative results of the manufacturing demonstrations were used to assess manufacturing risks and technology readiness.

  15. Japan's technology and manufacturing infrastructure

    Science.gov (United States)

    Boulton, William R.; Meieran, Eugene S.; Tummala, Rao R.

    1995-02-01

    The JTEC panel found that, after four decades of development in electronics and manufacturing technologies, Japanese electronics companies are leaders in the development, support, and management of complex, low-cost packaging and assembly technologies used in the production of a broad range of consumer electronics products. The electronics industry's suppliers provide basic materials and equipment required for electronic packaging applications. Panelists concluded that some Japanese firms could be leading U.S. competitors by as much as a decade in these areas. Japan's technology and manufacturing infrastructure is an integral part of its microelectronics industry's success.

  16. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  17. 48 CFR 235.006-70 - Manufacturing Technology Program.

    Science.gov (United States)

    2010-10-01

    ... 48 Federal Acquisition Regulations System 3 2010-10-01 2010-10-01 false Manufacturing Technology... CONTRACTING 235.006-70 Manufacturing Technology Program. In accordance with 10 U.S.C. 2521(d), for acquisitions under the Manufacturing Technology Program— (a) Award all contracts using competitive procedures...

  18. Cancer and reproductive risks in the semiconductor industry.

    Science.gov (United States)

    LaDou, Joseph; Bailar, John C

    2007-01-01

    Although many reproductive toxicants and carcinogens are used in the manufacture of semiconductor chips, and worrisome findings have been reported, no broad epidemiologic study has been conducted to define possible risks in a comprehensive way. With few exceptions, the American semiconductor industry has not supported access for independent studies. Older technologies are exported to newly industrialized countries as newer technologies are installed in Japan, the United States, and Europe. Thus there is particular concern about the many workers, mostly in countries that are still industrializing, who have jobs that use chemicals, technologies, and equipment that are no longer in use in developed countries. Since most countries lack cancer registries and have inadequate reproductive and cancer reporting mechanisms, industry efforts to control exposures to carcinogens are of particular importance. Government agencies, the courts, industry, publishers, and academia, on occasion, collude to ignore or to downplay the importance of occupational diseases. Examples of how this happens in the semiconductor industry are presented.

  19. Life-cycle assessment of semiconductors

    CERN Document Server

    Boyd, Sarah B

    2012-01-01

    Life-Cycle Assessment of Semiconductors presents the first and thus far only available transparent and complete life cycle assessment of semiconductor devices. A lack of reliable semiconductor LCA data has been a major challenge to evaluation of the potential environmental benefits of information technologies (IT). The analysis and results presented in this book will allow a higher degree of confidence and certainty in decisions concerning the use of IT in efforts to reduce climate change and other environmental effects. Coverage includes but is not limited to semiconductor manufacturing trends by product type and geography, unique coverage of life-cycle assessment, with a focus on uncertainty and sensitivity analysis of energy and global warming missions for CMOS logic devices, life cycle assessment of flash memory and life cycle assessment of DRAM. The information and conclusions discussed here will be highly relevant and useful to individuals and institutions. The book also: Provides a detailed, complete a...

  20. Semiconductor terahertz technology devices and systems at room temperature operation

    CERN Document Server

    Carpintero, G; Hartnagel, H; Preu, S; Raisanen, A

    2015-01-01

    Key advances in Semiconductor Terahertz (THz) Technology now promises important new applications enabling scientists and engineers to overcome the challenges of accessing the so-called "terahertz gap".  This pioneering reference explains the fundamental methods and surveys innovative techniques in the generation, detection and processing of THz waves with solid-state devices, as well as illustrating their potential applications in security and telecommunications, among other fields. With contributions from leading experts, Semiconductor Terahertz Technology: Devices and Systems at Room Tempe

  1. Organizational Considerations for Advanced Manufacturing Technology

    Science.gov (United States)

    DeRuntz, Bruce D.; Turner, Roger M.

    2003-01-01

    In the last several decades, the United States has experienced a decline in productivity, while the world has seen a maturation of the global marketplace. Nations have moved manufacturing strategy and process technology issues to the top of management priority lists. The issues surrounding manufacturing technologies and their implementations have…

  2. Nano Manufacturing - Products and Technologies

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Alting, Leo

    2004-01-01

    The use of micro and nano technologies in components and products not only sets new demands to the manufacturing technologies. Product concepts have to be rethought and redefined in order to implement the micro and nano technologies into functional systems. Both a technology driven and a product ...

  3. Additive manufacturing technologies 3D printing, rapid prototyping, and direct digital manufacturing

    CERN Document Server

    Gibson, Ian; Stucker, Brent

    2015-01-01

    This book covers in detail the various aspects of joining materials to form parts. A conceptual overview of rapid prototyping and layered manufacturing is given,  beginning with the fundamentals so that readers can get up to speed quickly. Unusual and emerging applications such as micro-scale manufacturing, medical applications, aerospace, and rapid manufacturing are also discussed. This book provides a comprehensive overview of rapid prototyping technologies as well as support technologies such as software systems, vacuum casting, investment casting, plating, infiltration and other systems. This book also: Reflects recent developments and trends and adheres to the ASTM, SI, and other standards Includes chapters on automotive technology, aerospace technology and low-cost AM technologies Provides a broad range of technical questions to ensure comprehensive understanding of the concepts covered  

  4. Risk calculations in the manufacturing technology selection process

    DEFF Research Database (Denmark)

    Farooq, S.; O'Brien, C.

    2010-01-01

    Purpose - The purpose of this paper is to present result obtained from a developed technology selection framework and provide a detailed insight into the risk calculations and their implications in manufacturing technology selection process. Design/methodology/approach - The results illustrated...... in the paper are the outcome of an action research study that was conducted in an aerospace company. Findings - The paper highlights the role of risk calculations in manufacturing technology selection process by elaborating the contribution of risk associated with manufacturing technology alternatives...... in the shape of opportunities and threats in different decision-making environments. Practical implications - The research quantifies the risk associated with different available manufacturing technology alternatives. This quantification of risk crystallises the process of technology selection decision making...

  5. Where the chips fall: environmental health in the semiconductor industry.

    Science.gov (United States)

    Chepesiuk, R

    1999-09-01

    Three recent lawsuits are focusing public attention on the environmental and occupational health effects of the world's largest and fastest growing manufacturing sector-the $150 billion semiconductor industry. The suits allege that exposure to toxic chemicals in semiconductor manufacturing plants led to adverse health effects such as miscarriage and cancer among workers. To manufacture computer components, the semiconductor industry uses large amounts of hazardous chemicals including hydrochloric acid, toxic metals and gases, and volatile solvents. Little is known about the long-term health consequences of exposure to chemicals by semiconductor workers. According to industry critics, the semiconductor industry also adversely impacts the environment, causing groundwater and air pollution and generating toxic waste as a by-product of the semiconductor manufacturing process. In contrast, the U.S. Bureau of Statistics shows the semiconductor industry as having a worker illness rate of about one-third of the average of all manufacturers, and advocates defend the industry, pointing to recent research collaborations and product replacement as proof that semiconductor manufacturers adequately protect both their employees and the environment.

  6. Copper Disk Manufactured at the Space Optics Manufacturing and Technology Center

    Science.gov (United States)

    2001-01-01

    This photograph shows Wes Brown, Marshall Space Flight Center's (MSFC's) lead diamond tuner, an expert in the science of using diamond-tipped tools to cut metal, inspecting the mold's physical characteristics to ensure the uniformity of its more than 6,000 grooves. This king-size copper disk, manufactured at the Space Optics Manufacturing and Technology Center (SOMTC) at MSFC, is a special mold for making high resolution monitor screens. This master mold will be used to make several other molds, each capable of forming hundreds of screens that have a type of lens called a fresnel lens. Weighing much less than conventional optics, fresnel lenses have multiple concentric grooves, each formed to a precise angle, that together create the curvature needed to focus and project images. The MSFC leads NASA's space optics manufacturing technology development as a technology leader for diamond turning. The machine used to manufacture this mold is among many one-of-a-kind pieces of equipment of MSFC's SOMTC.

  7. USABILITY OF ADDITIVE MANUFACTURING (THREEDIMENSIONAL PRINTING) TECHNOLOGIES IN EDUCATION

    OpenAIRE

    ÖZSOY, KORAY; DUMAN, BURHAN

    2017-01-01

    Additive manufacturing technologies which are emerge in 1980’s years, they are using for prototip production in first time for that reason their denomination rapid prototyping . Nowadays it called additive manufacturing because of it using for end use functional part’s production. In additive manufacturing, the basic rationales the same, but many different technologies have been developed to manufacture with different approaches. The most common additive manufacturing technologies are stereol...

  8. Single-use disposable technologies for biopharmaceutical manufacturing.

    Science.gov (United States)

    Shukla, Abhinav A; Gottschalk, Uwe

    2013-03-01

    The manufacture of protein biopharmaceuticals is conducted under current good manufacturing practice (cGMP) and involves multiple unit operations for upstream production and downstream purification. Until recently, production facilities relied on the use of relatively inflexible, hard-piped equipment including large stainless steel bioreactors and tanks to hold product intermediates and buffers. However, there is an increasing trend towards the adoption of single-use technologies across the manufacturing process. Technical advances have now made an end-to-end single-use manufacturing facility possible, but several aspects of single-use technology require further improvement and are continually evolving. This article provides a perspective on the current state-of-the-art in single-use technologies and highlights trends that will improve performance and increase the market penetration of disposable manufacturing in the future. Copyright © 2012 Elsevier Ltd. All rights reserved.

  9. Flexible, Photopatterned, Colloidal CdSe Semiconductor Nanocrystal Integrated Circuits

    Science.gov (United States)

    Stinner, F. Scott

    As semiconductor manufacturing pushes towards smaller and faster transistors, a parallel goal exists to create transistors which are not nearly as small. These transistors are not intended to match the performance of traditional crystalline semiconductors; they are designed to be significantly lower in cost and manufactured using methods that can make them physically flexible for applications where form is more important than speed. One of the developing technologies for this application is semiconductor nanocrystals. We first explore methods to develop CdSe nanocrystal semiconducting "inks" into large-scale, high-speed integrated circuits. We demonstrate photopatterned transistors with mobilities of 10 cm2/Vs on Kapton substrates. We develop new methods for vertical interconnect access holes to demonstrate multi-device integrated circuits including inverting amplifiers with 7 kHz bandwidths, ring oscillators with NFC) link. The device draws its power from the NFC transmitter common on smartphones and eliminates the need for a fixed battery. This allows for the mass deployment of flexible, interactive displays on product packaging.

  10. Development of zirconium alloy tube manufacturing technology

    International Nuclear Information System (INIS)

    Kim, In Kyu; Park, Chan Hyun; Lee, Seung Hwan; Chung, Sun Kyo

    2009-01-01

    In late 2004, Korea Nuclear Fuel Company (KNF) launched a government funded joint development program with Westinghouse Electric Co. (WEC) to establish zirconium alloy tube manufacturing technology in Korea. Through this program, KNF and WEC have developed a state of the art facility to manufacture high quality nuclear tubes. KNF performed equipment qualification tests for each manufacturing machine with the support of WEC, and independently carried out product qualification tests for each tube product to be commercially produced. Apart from those tests, characterization test program consisting of specification test and characterization test was developed by KNF and WEC to demonstrate to customers of KNF the quality equivalency of products manufactured by KNF and WEC plants respectively. As part of establishment of performance evaluation technology for zirconium alloy tube in Korea, KNF carried out analyses of materials produced for the characterization test program using the most advanced techniques. Thanks to the accomplishment of the development of zirconium alloy tube manufacturing technology, KNF is expected to acquire positive spin off benefits in terms of technology and economy in the near future

  11. Analysis and simulation of semiconductor devices

    CERN Document Server

    Selberherr, Siegfried

    1984-01-01

    The invention of semiconductor devices is a fairly recent one, considering classical time scales in human life. The bipolar transistor was announced in 1947, and the MOS transistor, in a practically usable manner, was demonstrated in 1960. From these beginnings the semiconductor device field has grown rapidly. The first integrated circuits, which contained just a few devices, became commercially available in the early 1960s. Immediately thereafter an evolution has taken place so that today, less than 25 years later, the manufacture of integrated circuits with over 400.000 devices per single chip is possible. Coincident with the growth in semiconductor device development, the literature concerning semiconductor device and technology issues has literally exploded. In the last decade about 50.000 papers have been published on these subjects. The advent of so called Very-Large-Scale-Integration (VLSI) has certainly revealed the need for a better understanding of basic device behavior. The miniaturization of the s...

  12. High energy beam manufacturing technologies

    International Nuclear Information System (INIS)

    Geskin, E.S.; Leu, M.C.

    1989-01-01

    Technological progress continues to enable us to utilize ever widening ranges of physical and chemical conditions for material processing. The increasing cost of energy, raw materials and environmental control make implementation of advanced technologies inevitable. One of the principal avenues in the development of material processing is the increase of the intensity, accuracy, flexibility and stability of energy flow to the processing site. The use of different forms of energy beams is an effective way to meet these sometimes incompatible requirements. The first important technological applications of high energy beams were welding and flame cutting. Subsequently a number of different kinds of beams have been used to solve different problems of part geometry control and improvement of surface characteristics. Properties and applications of different specific beams were subjects of a number of fundamental studies. It is important now to develop a generic theory of beam based manufacturing. The creation of a theory dealing with general principles of beam generation and beam-material interaction will enhance manufacturing science as well as practice. For example, such a theory will provide a format approach for selection and integration of different kinds of beams for a particular application. And obviously, this theory will enable us to integrate the knowledge bases of different manufacturing technologies. The War of the Worlds by H. G. Wells, as well as a number of more technical, although less exciting, publications demonstrate both the feasibility and effectiveness of the generic approach to the description of beam oriented technology. Without any attempt to compete with Wells, we still hope that this volume will contribute to the creation of the theory of beam oriented manufacturing

  13. Measures of International Manufacturing and Trade of Clean Energy Technologies

    Energy Technology Data Exchange (ETDEWEB)

    Engel-Cox, Jill; Sandor, Debbie; Keyser, David; Mann, Margaret

    2017-05-25

    The technologies that produce clean energy, such as solar photovoltaic panels and lithium ion batteries for electric vehicles, are globally manufactured and traded. As demand and deployment of these technologies grows exponentially, the innovation to reach significant economies of scale and drive down energy production costs becomes less in the technology and more in the manufacturing of the technology. Manufacturing innovations and other manufacturing decisions can reduce costs of labor, materials, equipment, operating costs, and transportation, across all the links in the supply chain. To better understand the manufacturing aspect of the clean energy economy, we have developed key metrics for systematically measuring and benchmarking international manufacturing of clean energy technologies. The metrics are: trade, market size, manufacturing value-added, and manufacturing capacity and production. These metrics were applied to twelve global economies and four representative technologies: wind turbine components, crystalline silicon solar photovoltaic modules, vehicle lithium ion battery cells, and light emitting diode packages for efficient lighting and other consumer products. The results indicated that clean energy technologies are being developed via complex, dynamic, and global supply chains, with individual economies benefiting from different technologies and links in the supply chain, through both domestic manufacturing and global trade.

  14. Micro-manufacturing: design and manufacturing of micro-products

    National Research Council Canada - National Science Library

    Koç, Muammer; Özel, Tuğrul

    2011-01-01

    .... After addressing the fundamentals and non-metallic-based micro-manufacturing processes in the semiconductor industry, it goes on to address specific metallic-based micro-manufacturing processes...

  15. Development of Advanced Ceramic Manufacturing Technology; FINAL

    International Nuclear Information System (INIS)

    Pujari, V.K.

    2001-01-01

    Advanced structural ceramics are enabling materials for new transportation engine systems that have the potential for significantly reducing energy consumption and pollution in automobiles and heavy vehicles. Ceramic component reliability and performance have been demonstrated in previous U.S. DOE initiatives, but high manufacturing cost was recognized as a major barrier to commercialization. Norton Advanced Ceramics (NAC), a division of Saint-Gobain Industrial Ceramics, Inc. (SGIC), was selected to perform a major Advanced Ceramics Manufacturing Technology (ACMT) Program. The overall objectives of NAC's program were to design, develop, and demonstrate advanced manufacturing technology for the production of ceramic exhaust valves for diesel engines. The specific objectives were (1) to reduce the manufacturing cost by an order of magnitude, (2) to develop and demonstrate process capability and reproducibility, and (3) to validate ceramic valve performance, durability, and reliability. I n order to achieve these objectives, NAC, a leading U.S. advanced ceramics component manufacturer, assembled a multidisciplinary, vertically integrated team. This team included: a major diesel engine builder, Detroit Diesel Corporation (DDC); a corporate ceramics research division, SGIC's Northboro R and D Center; intelligent processing system developers, BDM Federal/MATSYS; a furnace equipment company, Centorr/Vacuum Industries; a sintering expert, Wittmer Consultants; a production OEM, Deco-Grand; a wheel manufacturer and grinding operation developer, Norton Company's Higgins Grinding Technology Center (HGTC); a ceramic machine shop, Chand Kare Technical Ceramics; and a manufacturing cost consultant, IBIS Associates. The program was divided into four major tasks: Component Design and Specification, Component Manufacturing Technology Development, Inspection and Testing, and Process Demonstration

  16. Prolonged menstrual cycles in female workers exposed to ethylene glycol ethers in the semiconductor manufacturing industry.

    Science.gov (United States)

    Hsieh, G-Y; Wang, J-D; Cheng, T-J; Chen, P-C

    2005-08-01

    It has been shown that female workers exposed to ethylene glycol ethers (EGEs) in the semiconductor industry have higher risks of spontaneous abortion, subfertility, and menstrual disturbances, and prolonged waiting time to pregnancy. To examine whether EGEs or other chemicals are associated with long menstrual cycles in female workers in the semiconductor manufacturing industry. Cross-sectional questionnaire survey during the annual health examination at a wafer manufacturing company in Taiwan in 1997. A three tiered exposure-assessment strategy was used to analyse the risk. A short menstrual cycle was defined to be a cycle less than 24 days and a long cycle to be more than 35 days. There were 606 valid questionnaires from 473 workers in fabrication jobs and 133 in non-fabrication areas. Long menstrual cycles were associated with workers in fabrication areas compared to those in non-fabrication areas. Using workers in non-fabrication areas as referents, workers in photolithography and diffusion areas had higher risks for long menstrual cycles. Workers exposed to EGEs and isopropanol, and hydrofluoric acid, isopropanol, and phosphorous compounds also showed increased risks of a long menstrual cycle. Exposure to multiple chemicals, including EGEs in photolithography, might be associated with long menstrual cycles, and may play an important role in a prolonged time to pregnancy in the wafer manufacturing industry; however, the prevalence in the design, possible exposure misclassification, and chance should be considered.

  17. Manufacturing technology for practical Josephson voltage normals

    International Nuclear Information System (INIS)

    Kohlmann, Johannes; Kieler, Oliver

    2016-01-01

    In this contribution we present the manufacturing technology for the fabrication of integrated superconducting Josephson serial circuits for voltage normals. First we summarize some foundations for Josephson voltage normals and sketch the concept and the setup of the circuits, before we describe the manufacturing technology form modern practical Josephson voltage normals.

  18. Benchmarking foreign electronics technologies

    Energy Technology Data Exchange (ETDEWEB)

    Bostian, C.W.; Hodges, D.A.; Leachman, R.C.; Sheridan, T.B.; Tsang, W.T.; White, R.M.

    1994-12-01

    This report has been drafted in response to a request from the Japanese Technology Evaluation Center`s (JTEC) Panel on Benchmarking Select Technologies. Since April 1991, the Competitive Semiconductor Manufacturing (CSM) Program at the University of California at Berkeley has been engaged in a detailed study of quality, productivity, and competitiveness in semiconductor manufacturing worldwide. The program is a joint activity of the College of Engineering, the Haas School of Business, and the Berkeley Roundtable on the International Economy, under sponsorship of the Alfred P. Sloan Foundation, and with the cooperation of semiconductor producers from Asia, Europe and the United States. Professors David A. Hodges and Robert C. Leachman are the project`s Co-Directors. The present report for JTEC is primarily based on data and analysis drawn from that continuing program. The CSM program is being conducted by faculty, graduate students and research staff from UC Berkeley`s Schools of Engineering and Business, and Department of Economics. Many of the participating firms are represented on the program`s Industry Advisory Board. The Board played an important role in defining the research agenda. A pilot study was conducted in 1991 with the cooperation of three semiconductor plants. The research plan and survey documents were thereby refined. The main phase of the CSM benchmarking study began in mid-1992 and will continue at least through 1997. reports are presented on the manufacture of integrated circuits; data storage; wireless technology; human-machine interfaces; and optoelectronics. Selected papers are indexed separately for inclusion in the Energy Science and Technology Database.

  19. Information technology in fuel manufacturing

    International Nuclear Information System (INIS)

    Seshagiri Rao, G.R.; Arora, U.K.; Mohanty, Deepak; Siva Kumar, G.V.S.M.; Banerjee, P.K.

    2012-01-01

    NFC, Hyderabad is engaged in manufacturing of fuel assemblies required for Indian Nuclear Power Programme. During the manufacturing process, the basic Uranium Fuel and Zirconium alloy cladding tubes travels through several work centers, machines and exposes to various process parameters. For analyzing the fuel performance these parameters are indicators and is a requirement to record such history by both manufacturer and customer. NFC has planned to deploy Information Technology (IT) Systems from MDU/UOC Dissolution to Finished Fuel Assembly dispatch stage by using Radio Frequency IDentification (RFID)/Barcode Technologies. IT Systems are connected to electronic weigh balances to acquire material weight data automatically. The IT Systems are also designed to receive data from small Island Systems like Helium leak testing equipments. As a pilot project the system is initially implemented from empty tube Tray preparation stage to Fuel Assembly Packing and dispatch stage, containing about more than 14 processes. The system was built using open source technology platform and was deployed on a cost effective Hardware environment. The present paper describes the development process of the system, Implementation challenges faced and change management. The paper also discusses about fruits of implementation and productivity improvements. (author)

  20. Multimedia Image Technology and Computer Aided Manufacturing Engineering Analysis

    Science.gov (United States)

    Nan, Song

    2018-03-01

    Since the reform and opening up, with the continuous development of science and technology in China, more and more advanced science and technology have emerged under the trend of diversification. Multimedia imaging technology, for example, has a significant and positive impact on computer aided manufacturing engineering in China. From the perspective of scientific and technological advancement and development, the multimedia image technology has a very positive influence on the application and development of computer-aided manufacturing engineering, whether in function or function play. Therefore, this paper mainly starts from the concept of multimedia image technology to analyze the application of multimedia image technology in computer aided manufacturing engineering.

  1. Treatment of exhaust gas from the semiconductor manufacturing process. 3; Handotai seizo sochi kara no hai gas shori. 3

    Energy Technology Data Exchange (ETDEWEB)

    Fukunaga, A. [Ebara Research Co. Ltd., Kanagawa (Japan); Mori, Y.; Osato, M.; Tsujimura, M. [Ebara Corp., Tokyo (Japan)

    1995-10-20

    Demand has been building up for an individual dry type scrubber for treating exhaust gas from the semiconductor manufacturing process. Some factors for the wide acceptance of such a scrubber would be the capability for complete treatment, easy maintenance and safety features, etc. Practical gas analysis and optimum scrubbing techniques would have to be applied, as well as effective monitoring, alarm, and fail-safe techniques. The overall exhaust gas line, i.e. the line connecting the scrubber system and the upstream process, including that extending to pump system, has to be fully considered for enabling effective scrubbing performance. Such factors, which have until now not been given any priority, would have to be fully studied for the development of a practical, individual dry type scrubber. Cooperation on this matter from the semiconductor manufacturing industry would also be essential. 6 refs., 3 figs., 5 tabs.

  2. Challenges in Teaching Modern Manufacturing Technologies

    Science.gov (United States)

    Ngaile, Gracious; Wang, Jyhwen; Gau, Jenn-Terng

    2015-01-01

    Teaching of manufacturing courses for undergraduate engineering students has become a challenge due to industrial globalisation coupled with influx of new innovations, technologies, customer-driven products. This paper discusses development of a modern manufacturing course taught concurrently in three institutions where students collaborate in…

  3. Contemporary design and manufacturing technology

    CERN Document Server

    Wang, Taiyong; Zuo, Dunwen

    2013-01-01

    The special topic volume communicates the latest progress and research results of new theory, new technology, method, equipment and so on in Engineering Technology, and to grasp the updated technological and research trends in internationally. The major topics covered by the special volumes include Advanced Materials and Manufacturing Technologies, Control, Automation and Detection Systems, Advanced Design Technology, Optimization and Modeling. In 80 invited and peer-reviewed papers, mechanical and other engineers describe their recent and current research and results in advanced materials and

  4. Productivity improvement through industrial engineering in the semiconductor industry

    Science.gov (United States)

    Meyersdorf, Doron

    1996-09-01

    Industrial Engineering is fairly new to the semiconductor industry, though the awareness to its importance has increased in recent years. The US semiconductor industry in particular has come to the realization that in order to remain competitive in the global market it must take the lead not only in product development but also in manufacturing. Industrial engineering techniques offer one ofthe most effective strategies for achieving manufacturing excellence. Industrial engineers play an important role in the success of the manufacturing facility. This paper defines the Industrial engineers role in the IC facility, set the visions of excellence in semiconductor manufacturing and highlights 10 roadblocks on the journey towards manufacturing excellence.

  5. Prototyping of Individual Ankle Orthosis Using Additive Manufacturing Technologies

    Directory of Open Access Journals (Sweden)

    Natalia Wierzbicka

    2017-09-01

    Full Text Available The paper presents design and manufacturing process of an individualized ankle orthosis using additive manufacturing technologies and reverse engineering. Conventional processes of manufacturing of orthosesareexpensive and time consuming -an alternative method was proposed. The patient’s leg was 3D scanned and the orthosis was designed using a CAD system. It was then manufactured using the Fused Deposition Modelling technology, assembled and fully tested. Positive results were obtained.

  6. Selection of Technology in Global Manufacturing Industries

    DEFF Research Database (Denmark)

    Bruun, Peter

    1997-01-01

    not work well. The host country's business and cultural environment must be taken into account in designing a production system which requires attention to the management elements of technology transfer. This paper presents a model for transfer of manufacturing technology to a foreign site based......Transferring a manufacturing system to another country has proven to be problematic for most multinational firms. Selection of the appropriate production process and technology is a critical decision as transplantation of an existing system from another country without adaptation usually does...... on a sociotechnical system approach. It views the decisions for selecting and implementing a production system as a five stage process. The first step is determining the strategic goals of the foreign plant followed by selection of the production process and manufacturing system types. The last stages involve...

  7. Manufacturing Technology Information Analysis Center: Knowledge Is Strength

    Science.gov (United States)

    Safar, Michal

    1992-01-01

    The Center's primary function is to facilitate technology transfer within DoD, other government agencies and industry. The DoD has recognized the importance of technology transfer, not only to support specific weapon system manufacture, but to strengthen the industrial base that sustains DoD. MTIAC uses an experienced technical staff of engineers and information specialists to acquire, analyze, and disseminate technical information. Besides ManTech project data, MTIAC collects manufacturing technology from other government agencies, commercial publications, proceedings, and various international sources. MTIAC has various means of disseminating this information. Much of the technical data is on user accessible data bases. The Center researches and writes a number of technical reports each year and publishes a newsletter monthly. Customized research is performed in response to specific inquiries from government and industry. MTIAC serves as a link between Government and Industry to strengthen the manufacturing technology base through the dissemination of advanced manufacturing information.

  8. 10 CFR 611.202 - Advanced Technology Vehicle Manufacturing Facility Award Program.

    Science.gov (United States)

    2010-01-01

    ... 10 Energy 4 2010-01-01 2010-01-01 false Advanced Technology Vehicle Manufacturing Facility Award... TECHNOLOGY VEHICLES MANUFACTURER ASSISTANCE PROGRAM Facility/Funding Awards § 611.202 Advanced Technology Vehicle Manufacturing Facility Award Program. DOE may issue, under the Advanced Technology Vehicle...

  9. Evolution of Ion Implantation Technology and its Contribution to Semiconductor Industry

    International Nuclear Information System (INIS)

    Tsukamoto, Katsuhiro; Kuroi, Takashi; Kawasaki, Yoji

    2011-01-01

    Industrial aspects of the evolution of ion implantation technology will be reviewed, and their impact on the semiconductor industry will be discussed. The main topics will be the technology's application to the most advanced, ultra scaled CMOS, and to power devices, as well as productivity improvements in implantation technology. Technological insights into future developments in ion-related technologies for emerging industries will also be presented.

  10. Space Technology Mission Directorate Game Changing Development Program FY2015 Annual Program Review: Advanced Manufacturing Technology

    Science.gov (United States)

    Vickers, John; Fikes, John

    2015-01-01

    The Advance Manufacturing Technology (AMT) Project supports multiple activities within the Administration's National Manufacturing Initiative. A key component of the Initiative is the Advanced Manufacturing National Program Office (AMNPO), which includes participation from all federal agencies involved in U.S. manufacturing. In support of the AMNPO the AMT Project supports building and Growing the National Network for Manufacturing Innovation through a public-private partnership designed to help the industrial community accelerate manufacturing innovation. Integration with other projects/programs and partnerships: STMD (Space Technology Mission Directorate), HEOMD, other Centers; Industry, Academia; OGA's (e.g., DOD, DOE, DOC, USDA, NASA, NSF); Office of Science and Technology Policy, NIST Advanced Manufacturing Program Office; Generate insight within NASA and cross-agency for technology development priorities and investments. Technology Infusion Plan: PC; Potential customer infusion (TDM, HEOMD, SMD, OGA, Industry); Leverage; Collaborate with other Agencies, Industry and Academia; NASA roadmap. Initiatives include: Advanced Near Net Shape Technology Integrally Stiffened Cylinder Process Development (launch vehicles, sounding rockets); Materials Genome; Low Cost Upper Stage-Class Propulsion; Additive Construction with Mobile Emplacement (ACME); National Center for Advanced Manufacturing.

  11. Semiconductor device and method of manufacturing the same

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a semiconductor device (10) with a semiconductor body (12) comprising a bipolar transistor with an emitter region, a base region and a collector region (1, 2, 3) of, respectively, a first conductivity type, a second conductivity type opposite to the first conductivity type,

  12. Development of steam generator manufacturing technology

    International Nuclear Information System (INIS)

    Grant, J.A.

    1979-01-01

    In 1968 Babcock and Wilcox (Operations) Ltd., received an order from the CEGB to design, manufacture, install and commission 16 Steam Generators for 2 x 660 Mw (e) Advanced Gas Cooled Reactor Power Station at Hartlepool. This order was followed in 1970 by a similar order for the Heysham Power Station. The design and manufacture of the Steam Generators represented a major advance in technology and the paper discusses the methods by which a manufacturing facility was developed, by the Production Division of Babcock, to produce components to a quality, complexity and accuracy unique in the U.K. commercial boilermaking industry. The discussion includes a brief design background, a description of the Steam Generators and a view of the Production Division background. This is followed by a description of the organisation of the technological development and a consideration of the results. (author)

  13. Electronics practice technology

    International Nuclear Information System (INIS)

    1995-01-01

    This book concentrates on electronic technology. It deals with kinds of terminal and mounting such as teflon terminal, steatite terminal, and harmonica terminal, small parts like connector, plug jack, vernier dial, and coupling, termination of wiring, kinds of switch and mounting, a condenser, fixed resistor, trance coil, loading of semiconductor, mounting of high input impedance circuit, mounting of electric power circuit, manufacturing of print substrate and practice of manufacturing for print substrate. This is one of series books on electronic technology.

  14. Turbine airfoil manufacturing technology

    Energy Technology Data Exchange (ETDEWEB)

    Kortovich, C. [PCC Airfoils, Inc., Beachwood, OH (United States)

    1995-12-31

    The specific goal of this program is to define manufacturing methods that will allow single crystal technology to be applied to complex-cored airfoils components for power generation applications. Tasks addressed include: alloy melt practice to reduce the sulfur content; improvement of casting process; core materials design; and grain orientation control.

  15. Use of digital technologies for nasal prosthesis manufacturing.

    Science.gov (United States)

    Palousek, David; Rosicky, Jiri; Koutny, Daniel

    2014-04-01

    Digital technology is becoming more accessible for common use in medical applications; however, their expansion in prosthetic and orthotic laboratories is not large because of the persistent image of difficult applicability to real patients. This article aims to offer real example in the area of human facial prostheses. This article describes the utilization of optical digitization, computational modelling, rapid prototyping, mould fabrication and manufacturing of a nasal silicone prosthesis. This technical note defines the key points of the methodology and aspires to contribute to the introduction of a certified manufacturing procedure. The results show that the used technologies reduce the manufacturing time, reflect patient's requirements and allow the manufacture of high-quality prostheses for missing facial asymmetric parts. The methodology provides a good position for further development issues and is usable for clinical practice. Clinical relevance Utilization of digital technologies in facial prosthesis manufacturing process can be a good contribution for higher patient comfort and higher production efficiency but with higher initial investment and demands for experience with software tools.

  16. Development of Advanced Ceramic Manufacturing Technology

    Energy Technology Data Exchange (ETDEWEB)

    Pujari, V.K.

    2001-04-05

    Advanced structural ceramics are enabling materials for new transportation engine systems that have the potential for significantly reducing energy consumption and pollution in automobiles and heavy vehicles. Ceramic component reliability and performance have been demonstrated in previous U.S. DOE initiatives, but high manufacturing cost was recognized as a major barrier to commercialization. Norton Advanced Ceramics (NAC), a division of Saint-Gobain Industrial Ceramics, Inc. (SGIC), was selected to perform a major Advanced Ceramics Manufacturing Technology (ACMT) Program. The overall objectives of NAC's program were to design, develop, and demonstrate advanced manufacturing technology for the production of ceramic exhaust valves for diesel engines. The specific objectives were (1) to reduce the manufacturing cost by an order of magnitude, (2) to develop and demonstrate process capability and reproducibility, and (3) to validate ceramic valve performance, durability, and reliability. The program was divided into four major tasks: Component Design and Specification, Component Manufacturing Technology Development, Inspection and Testing, and Process Demonstration. A high-power diesel engine valve for the DDC Series 149 engine was chosen as the demonstration part for this program. This was determined to be an ideal component type to demonstrate cost-effective process enhancements, the beneficial impact of advanced ceramics on transportation systems, and near-term commercialization potential. The baseline valve material was NAC's NT451 SiAION. It was replaced, later in the program, by an alternate silicon nitride composition (NT551), which utilized a lower cost raw material and a simplified powder-processing approach. The material specifications were defined based on DDC's engine requirements, and the initial and final component design tasks were completed.

  17. Electron beam writing on semiconductors

    International Nuclear Information System (INIS)

    Bierhenke, H.; Kutzer, E.; Pascher, A.; Plitzner, H.; Rummel, P.; Siemens A.G., Muenchen; Siemens A.G., Muenchen

    1979-08-01

    Reported are the results of the 3 1/2 year research project 'Electron beam Writing on Semiconductors'. Work has been done in the field of direct wafer exposure techniques, and of mask making. Described are resist technology, setting up of a research device, exploration of alignment procedures, manufacturing of devices and their radiation influence. Furthermore, investigations and measurements of an electron beam machine bought for mask making purposes, the development of LSI-circuits with this machine, the software necessary and important developments of digital subsystems are reported. (orig.) [de

  18. Additive Manufacturing Technology for Biomedical Components: A review

    Science.gov (United States)

    Aimi Zaharin, Haizum; Rani, Ahmad Majdi Abdul; Lenggo Ginta, Turnad; Azam, Farooq I.

    2018-03-01

    Over the last decades, additive manufacturing has shown potential application in ranging fields. No longer a prototyping technology, it is now being utilised as a manufacturing technology for giant industries such as the automotive, aircraft and recently in the medical industry. It is a very successful method that provides health-care solution in biomedical sectors by producing patient-specific prosthetics, improve tissues engineering and facilitate pre-operating session. This paper thus presents a brief overview of the most commercially important additive manufacturing technologies, which is currently available for fabricating biomedical components such as Stereolithography (SLA), Selective Laser Sintering (SLS), Selective Laser Melting (SLM), Fused Deposition Modelling (FDM) and Electron Beam Melting (EBM). It introduces the basic principles of the main process, highlights some of the beneficial applications in medical industry and the current limitation of applied technology.

  19. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    KAUST Repository

    Almuslem, A. S.

    2017-02-14

    In the recent past, with the advent of transient electronics for mostly implantable and secured electronic applications, the whole field effect transistor structure has been dissolved in a variety of chemicals. Here, we show simple water soluble nano-scale (sub-10 nm) germanium oxide (GeO) as the dissolvable component to remove the functional structures of metal oxide semiconductor devices and then reuse the expensive germanium substrate again for functional device fabrication. This way, in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured and billions are disposed, which extend the harmful impact to our environment. Therefore, this is a key study to show a pragmatic approach for water soluble high performance electronics for environmentally friendly manufacturing and bioresorbable electronic applications.

  20. Advanced manufacturing technologies for improved competitiveness of the South African manufacturing industry

    CSIR Research Space (South Africa)

    Tlale, NS

    2008-11-01

    Full Text Available In this paper the manufacturing environment with regards to technology and market is discussed. Both the South African and global view are given, together with technology management strategies. Value added products are described and determined...

  1. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  2. Future semiconductor material requirements and innovations as projected in the ITRS 2005 roadmap

    International Nuclear Information System (INIS)

    Arden, Wolfgang

    2006-01-01

    The international technology roadmap for semiconductors (ITRS) is a joint global effort of the semiconductor industry, the manufacturing equipment and material industry and the research community and consortia to define the future requirements and development of the semiconductor technology for the next 15 years. The ITRS started in 1992 as a US-national roadmap and became an international effort in 1998 with all major five industrial global regions (US, Japan, Taiwan, Korea and Europe) participating in its definition. The outlook in semiconductor manufacturing expects the continuous application of silicon technology for the next 15 years where complementary metal oxide semiconductor (CMOS) based devices will carry the development of the industry at least for one more decade. New device architectures and concepts based on silicon wafer material are being developed to support the development of the IC industry for another one or two decade. The major section of the ITRS contains technical information about frontend processing and interconnects, device structures and memory concepts, lithography and metrology as well as factory integration and environmental issues. This paper will review the material requirements and the expected material innovations for the industry as outlined in the ITRS Version 2005. Materials to be discussed are, for example, high permittivity gate dielectrics, insulating layers with low dielectric constants for interconnects, and capacitor dielectrics for dynamic memories. In addition, the paper will address, for example, new transistor gate materials, new solutions for interconnect systems beyond copper as well as new starting materials for wafer sizes beyond 300 mm. This publication was presented as an invited paper in the Symposium V of the 2006 spring meeting of the European Materials Research Society (E-MRS) in Nice, May 29th

  3. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  4. Continuous roll-to-roll a-Si photovoltaic manufacturing technology. Final subcontract report, 1 April 1992--30 September 1995

    Energy Technology Data Exchange (ETDEWEB)

    Izu, M. [Energy Conversion Devices, Inc., Troy, MI (US)

    1996-02-01

    ECD has made important progress in the development of materials, device designs, and manufacturing processes required for the continued advancement of practical photovoltaic technology{sub 1-23}. ECD has pioneered and continues further development of two key proprietary technologies, with significant potential for achieving the cost goals necessary for widespread growth of the photovoltaic market: (1) a low cost, roll-to- roll continuous substrate thin-film solar cell manufacturing process; (2) a high efficiency, monolithic, multiple-junction, spectrum- splitting thin-film amorphous silicon alloy device structure. Commercial production of multiple-junction a-Si alloy modules has been underway at ECD and its joint venture company for a number of years using ECD's proprietary roll-to-roll process and numerous advantages of this technology have been demonstrated. These include relatively low semiconductor material cost, relatively low process cost, a light-weight, rugged and flexible substrate that results in lowered installed costs of PV systems, and environmentally safe materials. Nevertheless, the manufacturing cost per watt of PV modules from our current plant remains high. In order to achieve high stable efficiency and low manufacturing cost, ECD has, at ECD's expense, engineered and constructed a 2 MW production line and a 200 kW pilot line, incorporating earlier ECD research advances in device efficiency through the use of multi-junction spectrum-splitting and high performance back-reflector cell design. Under this subcontract six tasks were directed towards achieving this goal. They are: Task I: Optimization of back-reflector system; Task II: Optimization of the Si-Ge narrow bandgap solar cells; Task III: Optimization of the stable efficiency of photovoltaic modules; Task IV: Demonstration of serpentine web continuous roll-to-roll deposition technology; Task V: Material cost reductions; and Task VI: Improving the module assembly process.

  5. Technological and organizational diversity and technical advance in the early history of the American semiconductor industry

    Science.gov (United States)

    Cohen, W.; Holbrook, D.; Klepper, S.

    1994-06-01

    This study examines the early years of the semiconductor industry and focuses on the roles played by different size firms in technologically innovative processes. A large and diverse pool of firms participated in the growth of the industry. Three related technological areas were chosen for in-depth analysis: integrated circuits, materials technology, and device packaging. Large business producing vacuum tubes dominated the early production of semiconductor devices. As the market for new devices grew during the 1950's, new firms were founded and existing firms from other industries, e.g. aircraft builders and instrument makers, began to pursue semiconductor electronics. Small firms began to cater to the emerging industry by supplying materials and equipment. These firms contributed to the development of certain aspects of one thousand firms that were playing some part in the semiconductor industry.

  6. Photovoltaic industry manufacturing technology. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Vanecek, D.; Diver, M.; Fernandez, R. [Automation and Robotics Research Inst., Fort Worth, TX (United States)

    1998-08-01

    This report contains the results of the Photovoltaic (PV) Industry Manufacturing Technology Assessment performed by the Automation and Robotics Research Institute (ARRI) of the University of Texas at Arlington for the National Renewable Energy laboratory. ARRI surveyed eleven companies to determine their state-of-manufacturing in the areas of engineering design, operations management, manufacturing technology, equipment maintenance, quality management, and plant conditions. Interviews with company personnel and plant tours at each of the facilities were conducted and the information compiled. The report is divided into two main segments. The first part of the report presents how the industry as a whole conforms to ``World Class`` manufacturing practices. Conclusions are drawn from the results of a survey as to the areas that the PV industry can improve on to become more competitive in the industry and World Class. Appendix A contains the questions asked in the survey, a brief description of the benefits to performing this task and the aggregate response to the questions. Each company participating in the assessment process received the results of their own facility to compare against the industry as a whole. The second part of the report outlines opportunities that exist on the shop floor for improving Process Equipment and Automation Strategies. Appendix B contains the survey that was used to assess each of the manufacturing processes.

  7. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  8. Additive manufacturing technology in reconstructive surgery.

    Science.gov (United States)

    Fuller, Scott C; Moore, Michael G

    2016-10-01

    Technological advances have been part and parcel of modern reconstructive surgery, in that practitioners of this discipline are continually looking for innovative ways to perfect their craft and improve patient outcomes. We are currently in a technological climate wherein advances in computers, imaging, and science have coalesced with resulting innovative breakthroughs that are not merely limited to improved outcomes and enhanced patient care, but may provide novel approaches to training the next generation of reconstructive surgeons. New developments in software and modeling platforms, imaging modalities, tissue engineering, additive manufacturing, and customization of implants are poised to revolutionize the field of reconstructive surgery. The interface between technological advances and reconstructive surgery continues to expand. Additive manufacturing techniques continue to evolve in an effort to improve patient outcomes, decrease operative time, and serve as instructional tools for the training of reconstructive surgeons.

  9. Advanced Manufacturing Technologies (AMT): Advanced Near Net Shape Technology

    Data.gov (United States)

    National Aeronautics and Space Administration — The objective of the Advanced Near Net Shape Technology (ANNST) project is to radically improve near net shape manufacturing methods from the current...

  10. Recent Advances in Precision Machinery and Manufacturing Technology

    DEFF Research Database (Denmark)

    Liu, Chien-Hung; Hsieh, Wen-Hsiang; Chang, Zong-Yu

    2014-01-01

    Precision machinery and manufacturing technology are be- coming more important in current and future technologies. New knowledge in this field will aid in the advancement of various technologies that are needed to gain industrial competitiveness. To this end, the special issue aims to disseminate...... the latest advancements of relevant fundamental and applied research works of high quality to the inter- national community. The topics of the accepted articles in the special issue include precision manufacturing pro- cesses, measurements and control, robotics and automation, machine tools, advanced...

  11. Nanoimprint system development and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Hiura, Hiromi; Takabayashi, Yukio; Takashima, Tsuneo; Emoto, Keiji; Choi, Jin; Schumaker, Phil

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography* (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. For imprint lithography, recent attention has been given to the areas of overlay, throughput, defectivity, and mask replication. This paper reviews progress in these critical areas. Recent demonstrations have proven that mix and match overlay of less than 5nm can achieved. Further reductions require a higher order correction system. Modeling and experimental data are presented which provide a path towards reducing the overlay errors to less than 3nm. Throughput is mainly impacted by the fill time of the relief images on the mask. Improvement in resist materials provides a solution that allows 15 wafers per hour per station, or a tool throughput of 60 wafers per hour. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. Finally, on the mask side, a new replication tool, the FPA-1100NR2 is

  12. Semiconductor technology in protein kinase research and drug discovery: sensing a revolution.

    Science.gov (United States)

    Bhalla, Nikhil; Di Lorenzo, Mirella; Estrela, Pedro; Pula, Giordano

    2017-02-01

    Since the discovery of protein kinase activity in 1954, close to 600 kinases have been discovered that have crucial roles in cell physiology. In several pathological conditions, aberrant protein kinase activity leads to abnormal cell and tissue physiology. Therefore, protein kinase inhibitors are investigated as potential treatments for several diseases, including dementia, diabetes, cancer and autoimmune and cardiovascular disease. Modern semiconductor technology has recently been applied to accelerate the discovery of novel protein kinase inhibitors that could become the standard-of-care drugs of tomorrow. Here, we describe current techniques and novel applications of semiconductor technologies in protein kinase inhibitor drug discovery. Copyright © 2016 Elsevier Ltd. All rights reserved.

  13. Safety procedures used during the manufacturing of amorphous silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Dickson, C R

    1987-01-01

    The Solarex Thin Film Division is a leader in the manufacturing of amorphous-silicon products for sale in domestic and foreign markets. Similarly, Solarex assumes a leadership role in recognizing the importance of safety in a manufacturing environment. Although many of the safety issues are similar to those in the semiconductor industry, this paper presents topics specific to amorphous silicon technology and the manufacturing ,f amorphous-silicon products. These topics are deposition of conducting transparent oxides (CTOs), amorphous silicon deposition, laser scribing, processing chemicals, fire prevention and administrative responsibilities.

  14. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  15. Advanced Manufacturing Office Clean Water Processing Technologies

    Energy Technology Data Exchange (ETDEWEB)

    None

    2018-03-01

    The DOE Office of Energy Efficiency and Renewable Energy (EERE)’s Advanced Manufacturing Office partners with industry, small business, universities, and other stakeholders to identify and invest in emerging technologies with the potential to create high-quality domestic manufacturing jobs and enhance the global competitiveness of the United States.

  16. Technology transfer and international development: Materials and manufacturing technology

    Science.gov (United States)

    1982-01-01

    Policy oriented studies on technological development in several relatively advanced developing countries were conducted. Priority sectors defined in terms of technological sophistication, capital intensity, value added, and export potential were studied in Brazil, Venezuela, Israel, and Korea. The development of technological policy alternatives for the sponsoring country is assessed. Much emphasis is placed on understanding the dynamics of the sectors through structured interviews with a large sample of firms in the leading manufacturing and materials processing sectors.

  17. Intelligent Processing Equipment Developments Within the Navy's Manufacturing Technology Centers of Excellence

    Science.gov (United States)

    Nanzetta, Philip

    1992-01-01

    The U.S. Navy has had an active Manufacturing Technology (MANTECH) Program aimed at developing advanced production processes and equipment since the late-1960's. During the past decade, however, the resources of the MANTECH program were concentrated in Centers of Excellence. Today, the Navy sponsors four manufacturing technology Centers of Excellence: the Automated Manufacturing Research Facility (AMRF); the Electronics Manufacturing Productivity Facility (EMPF); the National Center for Excellence in Metalworking Technology (NCEMT); and the Center of Excellence for Composites Manufacturing Technology (CECMT). This paper briefly describes each of the centers and summarizes typical Intelligent Equipment Processing (IEP) projects that were undertaken.

  18. Radiation immune RAM semiconductor technology for the 80's. [Random Access Memory

    Science.gov (United States)

    Hanna, W. A.; Panagos, P.

    1983-01-01

    This paper presents current and short term future characteristics of RAM semiconductor technologies which were obtained by literature survey and discussions with cognizant Government and industry personnel. In particular, total ionizing dose tolerance and high energy particle susceptibility of the technologies are addressed. Technologies judged compatible with spacecraft applications are ranked to determine the best current and future technology for fast access (less than 60 ns), radiation tolerant RAM.

  19. Photovoltaic technology, performance, manufacturing cost and markets

    International Nuclear Information System (INIS)

    Maycock, P.D.

    1999-01-01

    A comprehensive discussion of key aspects of photovoltaic energy conversion systems will provide the basis for forecasting PV module shipments from 1999 to 2010. Principal areas covered include: (1) Technology and Performance Status: The module efficiency and performance are described for commercial cell technologies including single crystal silicon, polycrystal silicon, ribbon silicon, film silicon on low cost substrate, amorphous silicon, copper indium diselenide, and cadmium telluride; (2) Manufacturing cost: 1999 costs for PV technologies in production (single crystal silicon, polycrystal silicon, and amorphous silicon) are developed. Manufacturing costs for 10--25 MW plants and 100 MW plants will be estimated; (3) The world PV market is summarized by region, top ten companies, and technology; and (4) Forecast of the World Market (seven market sectors) to 2010 will be presented. Key assumptions, price of modules, incentive programs, price of competing electricity generation will be detailed

  20. Micro-manufacturing technologies and their applications a theoretical and practical guide

    CERN Document Server

    Shipley, David

    2017-01-01

    This book provides in-depth theoretical and practical information on recent advances in micro-manufacturing technologies and processes, covering such topics as micro-injection moulding, micro-cutting, micro-EDM, micro-assembly, micro-additive manufacturing, moulded interconnected devices, and microscale metrology. It is designed to provide complementary material for the related e-learning platform on micro-manufacturing developed within the framework of the Leonardo da Vinci project 2013-3748/542424: MIMAN-T: Micro-Manufacturing Training System for SMEs. The book is mainly addressed to technicians and prospective professionals in the sector and will serve as an easily usable tool to facilitate the translation of micro-manufacturing technologies into tangible industrial benefits. Numerous examples are included to assist readers in learning and implementing the described technologies. In addition, an individual chapter is devoted to technological foresight, addressing market analysis and business models for mic...

  1. 2013 International Symposium on Optomechatronic Technologies

    CERN Document Server

    Cho, Young-June; Wang, Wei-Chih; Cho, Hyungsuck

    2014-01-01

    Optomechatronics, as a fusion of optical and mechatronic engineering, have played a key role in developing innovative products such as high precision instruments, defence, photonic systems, measurements, diagnostics, semiconductors, and so on. And optomechatronics technologies have greatly contributed to the state of the art industries in optics design, manufacturing, optical imaging, metrology, and other applications. This book covers a multitude of optomechatronics advantages and solutions. It includes 20 contributions featuring laser and fiber optics, nitride semiconductors, LIDAR technology, machine vision, optical imaging, micro optoelectro mechanical systems, optical metrology, optical-based sensors and actuators, optomechatronics for microscopes, optical pattern and fiber, optomechatronics for bio-medical applications, optomechatronics for manufacturing applications, robotics for micro and nano scales, and other applications. As revised and extended versions, the contributed articles are selected from ...

  2. A review of the Technologies Enabling Agile Manufacturing program

    Energy Technology Data Exchange (ETDEWEB)

    Gray, W.H.; Neal, R.E.; Cobb, C.K.

    1996-10-01

    Addressing a technical plan developed in consideration with major US manufacturers, software and hardware providers, and government representatives, the Technologies Enabling Agile Manufacturing (TEAM) program is leveraging the expertise and resources of industry, universities, and federal agencies to develop, integrate, and deploy leap-ahead manufacturing technologies. One of the TEAM program`s goals is to transition products from design to production faster, more efficiently, and at less cost. TEAM`s technology development strategy also provides all participants with early experience in establishing and working within an electronic enterprise that includes access to high-speed networks and high-performance computing and storage systems. The TEAM program uses the cross-cutting tools it collects, develops, and integrates to demonstrate and deploy agile manufacturing capabilities for three high-priority processes identified by industry: material removal, sheet metal forming, electro-mechanical assembly. This paper reviews the current status of the TEAM program with emphasis upon TEAM`s information infrastructure.

  3. An integrated semiconductor device enabling non-optical genome sequencing.

    Science.gov (United States)

    Rothberg, Jonathan M; Hinz, Wolfgang; Rearick, Todd M; Schultz, Jonathan; Mileski, William; Davey, Mel; Leamon, John H; Johnson, Kim; Milgrew, Mark J; Edwards, Matthew; Hoon, Jeremy; Simons, Jan F; Marran, David; Myers, Jason W; Davidson, John F; Branting, Annika; Nobile, John R; Puc, Bernard P; Light, David; Clark, Travis A; Huber, Martin; Branciforte, Jeffrey T; Stoner, Isaac B; Cawley, Simon E; Lyons, Michael; Fu, Yutao; Homer, Nils; Sedova, Marina; Miao, Xin; Reed, Brian; Sabina, Jeffrey; Feierstein, Erika; Schorn, Michelle; Alanjary, Mohammad; Dimalanta, Eileen; Dressman, Devin; Kasinskas, Rachel; Sokolsky, Tanya; Fidanza, Jacqueline A; Namsaraev, Eugeni; McKernan, Kevin J; Williams, Alan; Roth, G Thomas; Bustillo, James

    2011-07-20

    The seminal importance of DNA sequencing to the life sciences, biotechnology and medicine has driven the search for more scalable and lower-cost solutions. Here we describe a DNA sequencing technology in which scalable, low-cost semiconductor manufacturing techniques are used to make an integrated circuit able to directly perform non-optical DNA sequencing of genomes. Sequence data are obtained by directly sensing the ions produced by template-directed DNA polymerase synthesis using all-natural nucleotides on this massively parallel semiconductor-sensing device or ion chip. The ion chip contains ion-sensitive, field-effect transistor-based sensors in perfect register with 1.2 million wells, which provide confinement and allow parallel, simultaneous detection of independent sequencing reactions. Use of the most widely used technology for constructing integrated circuits, the complementary metal-oxide semiconductor (CMOS) process, allows for low-cost, large-scale production and scaling of the device to higher densities and larger array sizes. We show the performance of the system by sequencing three bacterial genomes, its robustness and scalability by producing ion chips with up to 10 times as many sensors and sequencing a human genome.

  4. Implementing the South African additive manufacturing technology roadmap - the role of an additive manufacturing centre of competence

    Directory of Open Access Journals (Sweden)

    Du Preez, Willie Bouwer

    2015-08-01

    Full Text Available The Rapid Product Development Association of South Africa (RAPDASA expressed the need for a national Additive Manufacturing Roadmap. Consequentially, the South African Department of Science and Technology commissioned the development of a South African Additive Manufacturing Technology Roadmap. This was intended to guide role-players in identifying business opportunities, addressing technology gaps, focusing development programmes, and informing investment decisions that would enable local companies and industry sectors to become global leaders in selected areas of additive manufacturing. The challenge remains now for South Africa to decide on an implementation approach that will maximize the impact in the shortest possible time. This article introduces the concept of a national Additive Manufacturing Centre of Competence (AMCoC as a primary implementation vehicle for the roadmap. The support of the current leading players in additive manufacturing in South Africa for such a centre of competence is shared and their key roles are indicated. A summary of the investments that the leading players have already made in the focus areas of the AMCoC over the past two decades is given as confirmation of their commitment towards the advancement of the additive manufacturing technology. An exposition is given of how the AMCoC could indeed become the primary initiative for achieving the agreed national goals on additive manufacturing. The conclusion is that investment by public and private institutions in an AMCoC would be the next step towards ensuring South Africa’s continued progress in the field.

  5. Manufacturing and joining technologies for helium cooled divertors

    International Nuclear Information System (INIS)

    Aktaa, J.; Basuki, W.W.; Weber, T.; Norajitra, P.; Krauss, W.; Konys, J.

    2014-01-01

    Highlights: • The manufacturing and joining technologies developed at KIT for helium cooled divertors are reviewed and critically discussed. • Various technologies have been pursued and further developed aiming divertor components with very high quality and sufficient reliability. • Very promising routes have been found for which however still R and D works are necessary. • Technologies developed are also useful for other divertor and even blanket concepts, particularly those with tungsten armor. - Abstract: In the helium cooled (HC) divertor, developed at KIT for a fusion power plant, tungsten has been selected as armor as well as structural material due to its crucial properties: high melting point, very low sputtering yield, good thermal conductivity, high temperature strength, low thermal expansion and low activation. Thereby the armor tungsten is attached to the structural tungsten by thermally conductive joint. Due to the brittleness of tungsten at low temperatures its use as structural material is limited to the high temperature part of the component and a structural joint to the reduced activation ferritic martensitic steel EUROFER97 is foreseen. Hence, to realize the selected hybrid material concept reliable tungsten–steel and tungsten–tungsten joints have been developed and will be reported in this paper. In addition, the modular design of the HC divertor requires tungsten armor tiles and tungsten structural thimbles to be manufactured in high numbers with very high quality. Due to the high strength and low temperature brittleness of tungsten special manufacturing techniques need to be developed for the production of parts with no cavities inside and/or surface flaws. The main achievement in developing the respective manufacturing technologies will be presented and discussed. To achieve the objectives mentioned above various manufacturing and joining technologies are pursued. Their later applicability depends on the level of development

  6. Proceedings of defect engineering in semiconductor growth, processing and device technology

    International Nuclear Information System (INIS)

    Ashok, S.; Chevallier, J.; Sumino, K.; Weber, E.

    1992-01-01

    This volume results from a symposium that was part of the 1992 Spring Meeting of the Materials Research Society, held in San Francisco from April 26 to May 1, 1992. The symposium, entitled Defect Engineering in Semiconductor Growth, Processing and Device Technology, was the first of its kind at MRS and brought together academic and industrial researchers with varying perspectives on defects in semiconductors. Its aim was to go beyond defect control, and focus instead on deliberate and controlled introduction and manipulation of defects in order to engineer some desired properties in semiconductor materials and devices. While the concept of defect engineering has at least a vague perception in techniques such as impurity/defect gettering and the use of the EL2 level in GaAs, more extensive as well as subtle uses of defects are emerging to augment the field. This symposium was intended principally to encourage creative new applications of defects in all aspects of semiconductor technology. The organization of this proceedings volume closely follows the topics around which the sessions were built. The papers on grown-in defects in bulk crystals deal with overviews of intrinsic and impurity-related defects, their influence on electrical, optical and mechanical properties, as well as the use of impurities to arrest certain types of defects during growth and defects to control growth. The issues addressed by the papers on defects in thin films include impurity and stoichiometry control, defects created by plasmas and the use of electron/ion irradiation for doping control

  7. Cyber physical systems role in manufacturing technologies

    Science.gov (United States)

    Al-Ali, A. R.; Gupta, Ragini; Nabulsi, Ahmad Al

    2018-04-01

    Empowered by the recent development in single System-on-Chip, Internet of Things, and cloud computing technologies, cyber physical systems are evolving as a major controller during and post the manufacturing products process. In additional to their real physical space, cyber products nowadays have a virtual space. A product virtual space is a digital twin that is attached to it to enable manufacturers and their clients to better manufacture, monitor, maintain and operate it throughout its life time cycles, i.e. from the product manufacturing date, through operation and to the end of its lifespan. Each product is equipped with a tiny microcontroller that has a unique identification number, access code and WiFi conductivity to access it anytime and anywhere during its life cycle. This paper presents the cyber physical systems architecture and its role in manufacturing. Also, it highlights the role of Internet of Things and cloud computing in industrial manufacturing and factory automation.

  8. Tests for manufacturing technology of disposal canisters for nuclear spent fuel

    International Nuclear Information System (INIS)

    Raiko, H.; Salonen, T.; Meuronen, I.; Lehto, K.

    1999-06-01

    The summary and status of the results of the manufacturing technology programmes concerning the disposal canister for spent nuclear fuel conducted by Posiva Oy are given in this report. Posiva has maintained a draft plan for a disposal canister design and an assessment of potential manufacturing technologies for about ten years in Finland. Now, during the year 1999, the first full scale demonstration canister is manufactured in Finland. The technology used for manufacturing of this prototype is developed by Posiva Oy mainly in co-operation with domestic industry. The main partner in developing the manufacturing technology for the copper shell has been Outokumpu Poricopper Oy, Pori, Finland, and the main partner in developing the technology for the iron insert of the canister has been Valmet Oyj Rautpohja Foundry, Jyvaeskylae, Finland. In both areas many subcontractors have been used, predominantly domestic engineering workshops, but also some foreign subcontractors, e.g. for EB-welding, who have had large enough welding equipment. This report describes the developing programmes for canister manufacturing, evaluates the results and presents some alternative methods, and tries to evaluate the pros and contras of them. In addition, the adequacy of the achieved technological know-how is assessed in respect of the required quality of the disposal canister. The following manufacturing technologies have been the concrete topics of the development programme: Electron beam welding technology development for thick-walled copper, Casting of massive copper billets, Hot rolling of thick-walled copper plates, Hot pressing and forging in lid manufacture, Extrusion and drawing of copper tubes, Bending of copper plates by roller or press, Machining of copper, Residual stress removal by heat treatment, Non-destructive testing, Long-term strength of EB-welds, Casting and machining of the iron insert of the canister The specialists from all the main developing partner companies have

  9. Technology, International Trade, and Pollution from US Manufacturing

    OpenAIRE

    Arik Levinson

    2009-01-01

    Pollution emitted by US manufacturers declined markedly over the past several decades, even as real manufacturing output increased. I first show that most of the decline in US manufacturing pollution has resulted from changing production processes ("technology"), rather than changes in the mix of goods produced. I then show that increased net imports of polluting goods ("international trade") accounts for only a small portion of the pollution reductions from the changing mix of goods. Togethe...

  10. Advanced Manufacturing Technologies (AMT): Composites Integrated Modeling

    Data.gov (United States)

    National Aeronautics and Space Administration — The Composites Integrated Modeling (CIM) Element developed low cost, lightweight, and efficient composite structures, materials and manufacturing technologies with...

  11. New technology for the control of narrow-gap semiconductors

    International Nuclear Information System (INIS)

    Antoniou, I.; Bozhevolnov, V.; Melnikov, Yu.; Yafyasov, A.

    2003-01-01

    We present the results of the year work in the frame of the EU ESPRIT Project 28890 NTCONGS 'New technology for the control of narrow-gap semiconductors'. This work has involved both theoretical and experimental study, as well as the development of new specific equipment, towards the creation of a new generation of nanoelectronic devices able to operate at 77 K and even at room temperature

  12. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  13. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  14. Centers for manufacturing technology: Industrial Advisory Committee Review

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-10-01

    An advisory committee, composed of senior managers form industrial- sector companies and major manufacturing trade associations and representatives from appropriate educational institutions, meets semi-annually to review and advise the Oak Ridge Centers for Manufacturing Technology (ORCMT) on its economic security program. Individual papers have been indexed separately for the database.

  15. Offshoring in the Semiconductor Industry: Historical Perspectives

    OpenAIRE

    Brown, Clair; Linden, Greg

    2005-01-01

    Semiconductor design is a frequently-cited example of the new wave of offshoring and foreign-outsourcing of service sector jobs. It is certainly a concern to U.S. design engineers themselves. In addition to the current wave of white-collar outsourcing, the industry also has a rich experience with offshoring of manufacturing activity. Semiconductor companies were among the first to invest in offshore facilities to manufacture goods for imports back to the U.S. A brief review of these earlie...

  16. Report on achievement in the preceding research related to global industry technologies for the global industry technology research and development project. Research on gas systems substituting global warming gases such as PFC used in manufacturing semiconductors; 1998 nendo chikyu kankyo sangyo gijutsu ni kakawaru sendo kenkyu. Handotai seizo nado ni shiyosuru PFC nado no chikyu ondanka gas no daitai gas system no kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    The present semiconductor manufacturing process uses a great amount of PFC having large global warming coefficients and extremely long atmospheric life. A research was made particularly on reduction of its emission from etching processes. After introducing how the semiconductor industry has been working conventionally on protection of the global environment, this paper makes clear the purpose and positioning of this preceding research, as well as how it is moved forward. The paper also reports the results of analyzing and discussing the exhaust gases from etching devices using several kinds of substitute PFC gases. Survey results are reported on the possibilities of new substitute gases, plasma decomposition and treatment of exhaust gases, reaction process simulation, and in-situ analyzing and evaluating technologies. Investigations were made on the possibility of using no PFC in wiring processes which consume greater amount of PFC, as well as on wiring techniques using inter-layer insulation film with low dielectric rate, a new wiring structure forming technology, new functional elements, circuits and systems in a wide range. Proposals were given on specific research and development themes and plans that begin in fiscal 1999. (NEDO)

  17. Composites Materials and Manufacturing Technologies for Space Applications

    Science.gov (United States)

    Vickers, J. H.; Tate, L. C.; Gaddis, S. W.; Neal, R. E.

    2016-01-01

    Composite materials offer significant advantages in space applications. Weight reduction is imperative for deep space systems. However, the pathway to deployment of composites alternatives is problematic. Improvements in the materials and processes are needed, and extensive testing is required to validate the performance, qualify the materials and processes, and certify components. Addressing these challenges could lead to the confident adoption of composites in space applications and provide spin-off technical capabilities for the aerospace and other industries. To address the issues associated with composites applications in space systems, NASA sponsored a Technical Interchange Meeting (TIM) entitled, "Composites Materials and Manufacturing Technologies for Space Applications," the proceedings of which are summarized in this Conference Publication. The NASA Space Technology Mission Directorate and the Game Changing Program chartered the meeting. The meeting was hosted by the National Center for Advanced Manufacturing (NCAM)-a public/private partnership between NASA, the State of Louisiana, Louisiana State University, industry, and academia, in association with the American Composites Manufacturers Association. The Louisiana Center for Manufacturing Sciences served as the coordinator for the TIM.

  18. A new application for food customization with additive manufacturing technologies

    Science.gov (United States)

    Serenó, L.; Vallicrosa, G.; Delgado, J.; Ciurana, J.

    2012-04-01

    Additive Manufacturing (AM) technologies have emerged as a freeform approach capable of producing almost any complete three dimensional (3D) objects from computer-aided design (CAD) data by successively adding material layer by layer. Despite the broad range of possibilities, commercial AM technologies remain complex and expensive, making them suitable only for niche applications. The developments of the Fab@Home system as an open AM technology discovered a new range of possibilities of processing different materials such as edible products. The main objective of this work is to analyze and optimize the manufacturing capacity of this system when producing 3D edible objects. A new heated syringe deposition tool was developed and several process parameters were optimized to adapt this technology to consumers' needs. The results revealed in this study show the potential of this system to produce customized edible objects without qualified personnel knowledge, therefore saving manufacturing costs compared to traditional technologies.

  19. A feasibility study for a manufacturing technology deployment center

    Energy Technology Data Exchange (ETDEWEB)

    1994-10-31

    The Automation & Robotics Research Institute (ARRI) and the Texas Engineering Extension Service (TEEX) were funded by the U.S. Department of Energy to determine the feasibility of a regional industrial technology institute to be located at the Superconducting Super Collider (SSC) Central Facility in Waxahachie, Texas. In response to this opportunity, ARRI and TEEX teamed with the DOE Kansas City Plant (managed by Allied Signal, Inc.), Los Alamos National Laboratory (managed by the University of California), Vought Aircraft Company, National Center for Manufacturing Sciences (NCMS), SSC Laboratory, KPMG Peat Marwick, Dallas County Community College, Navarro Community College, Texas Department of Commerce (TDOC), Texas Manufacturing Assistance Center (TMAC), Oklahoma Center for the Advancement of Science and Technology, Arkansas Science and Technology Authority, Louisiana Productivity Center, and the NASA Mid-Continent Technology Transfer Center (MCTTC) to develop a series of options, perform the feasibility analysis and secure industrial reviews of the selected concepts. The final report for this study is presented in three sections: Executive Summary, Business Plan, and Technical Plan. The results from the analysis of the proposed concept support the recommendation of creating a regional technology alliance formed by the states of Texas, New Mexico, Oklahoma, Arkansas and Louisiana through the conversion of the SSC Central facility into a Manufacturing Technology Deployment Center (MTDC).

  20. Manufacturing technology and process for BWR fuel

    International Nuclear Information System (INIS)

    Kato, Shigeru

    1996-01-01

    Following recent advanced technologies, processes and requests of the design changes of BWR fuel, Nuclear Fuel Industries, Ltd. (NFI) has upgraded the manufacturing technology and honed its own skills to complete its brand-new automated facility in Tokai in the latter half of 1980's. The plant uses various forms of automation throughout the manufacturing process: the acceptance of uranium dioxide powder, pelletizing, fuel rod assembling, fuel bundle assembling and shipment. All processes are well computerized and linked together to establish the integrated control system with three levels of Production and Quality Control, Process Control and Process Automation. This multi-level system plays an important role in the quality assurance system which generates the highest quality of fuels and other benefits. (author)

  1. Offshoring in the Semiconductor Industry: A Historical Perspective

    OpenAIRE

    Brown, Clair; Linden, Greg

    2005-01-01

    Semiconductor design is a frequently-cited example of the new wave of offshoring and foreign-outsourcing of service sector jobs. It is certainly a concern to U.S. design engineers themselves. In addition to the current wave of white-collar outsourcing, the industry also has a rich experience with offshoring of manufacturing activity. Semiconductor companies were among the first to invest in offshore facilities to manufacture goods for imports back to the U.S. A brief review of these...

  2. Research on Digital Product Modeling Key Technologies of Digital Manufacturing

    Institute of Scientific and Technical Information of China (English)

    DING Guoping; ZHOU Zude; HU Yefa; ZHAO Liang

    2006-01-01

    With the globalization and diversification of the market and the rapid development of Information Technology (IT) and Artificial Intelligence (AI), the digital revolution of manufacturing is coming. One of the key technologies in digital manufacturing is product digital modeling. This paper firstly analyzes the information and features of the product digital model during each stage in the product whole lifecycle, then researches on the three critical technologies of digital modeling in digital manufacturing-product modeling, standard for the exchange of product model data and digital product data management. And the potential signification of the product digital model during the process of digital manufacturing is concluded-product digital model integrates primary features of each stage during the product whole lifecycle based on graphic features, applies STEP as data exchange mechanism, and establishes PDM system to manage the large amount, complicated and dynamic product data to implement the product digital model data exchange, sharing and integration.

  3. Exploring the evolution of investment pattern on advanced manufacturing technology

    DEFF Research Database (Denmark)

    Yang, Cheng; Matthiesen, Rikke Vestergaard; Johansen, John

    2014-01-01

    This paper explores the evolution of investment pattern on advanced manufacturing technology in a manner that builds on a longitudinal perspective. Based on the data of investments in AMTs from 567 manufacturing companies this paper develops a longitudinal taxonomy defined by the evolution of inv...... of technology management, which is comprised primarily of cross-sectional studies that do not address the dynamic nature of investments in AMTs.......This paper explores the evolution of investment pattern on advanced manufacturing technology in a manner that builds on a longitudinal perspective. Based on the data of investments in AMTs from 567 manufacturing companies this paper develops a longitudinal taxonomy defined by the evolution...... of investment patterns on AMT followed by companies over time; identifies the possible evolutionary features of different groups of companies; and suggests the possible explanatory and outcome factors on the evolution of investment pattern on AMTs. By doing so, this study seeks to fill a void in the area...

  4. Impact of nano particles on semiconductor manufacturing

    NARCIS (Netherlands)

    Wali, F.; Knotter, D.M.; Kuper, F.G.

    2008-01-01

    Semiconductor industry faces a continuous challenge to decrease the transistor size as well as to increase the yield by eliminating defect sources. One of the sources of particle defects is ultra pure water used in different production tools at different stages of processing. In this paper, particle

  5. Raman spectroscopy as a process analytical technology for pharmaceutical manufacturing and bioprocessing.

    Science.gov (United States)

    Esmonde-White, Karen A; Cuellar, Maryann; Uerpmann, Carsten; Lenain, Bruno; Lewis, Ian R

    2017-01-01

    Adoption of Quality by Design (QbD) principles, regulatory support of QbD, process analytical technology (PAT), and continuous manufacturing are major factors effecting new approaches to pharmaceutical manufacturing and bioprocessing. In this review, we highlight new technology developments, data analysis models, and applications of Raman spectroscopy, which have expanded the scope of Raman spectroscopy as a process analytical technology. Emerging technologies such as transmission and enhanced reflection Raman, and new approaches to using available technologies, expand the scope of Raman spectroscopy in pharmaceutical manufacturing, and now Raman spectroscopy is successfully integrated into real-time release testing, continuous manufacturing, and statistical process control. Since the last major review of Raman as a pharmaceutical PAT in 2010, many new Raman applications in bioprocessing have emerged. Exciting reports of in situ Raman spectroscopy in bioprocesses complement a growing scientific field of biological and biomedical Raman spectroscopy. Raman spectroscopy has made a positive impact as a process analytical and control tool for pharmaceutical manufacturing and bioprocessing, with demonstrated scientific and financial benefits throughout a product's lifecycle.

  6. Advanced Manufacturing Technology: The Perceived Impact on Producer’s Value

    Directory of Open Access Journals (Sweden)

    Rohani Abdullah

    2012-09-01

    Full Text Available The purpose of this study is to determine which AMT has the greatest perceived impact on producer’s value and to identify which AMTs has been most successfully employed. The study population consists of senior manufacturing executives in electrical and electronic firms located in the northern region of Malaysia. The study addresses the senior manufacturing executives’ perceptions on how well specific AMTs have achieved the expectation of the firms implementing them. They are selected as respondents because of their understanding of the technology and their effects, and because as top manufacturing decision makers, their opinions are likely to shape the future technology of the organization. This study found that the type of AMT that perceived the greatest impact on producer’s value is Flexible Manufacturing System, due to its high effects on two dimensions of producer’s value: quality and cost while Just-in-Time is found to be the most successfully employed AMT among respondents. The findings of this study are significant as they contribute to the AMT literature especially in the context of Electrical and Electronic firms. Keywords: advanced manufacturing technology, producer’s value

  7. A Technology Selection Framework for Integrating Manufacturing within a Supply Chain

    DEFF Research Database (Denmark)

    Farooq, Sami; O' Brien, Chris

    2012-01-01

    This paper describes a structured analytical approach for selecting a manufacturing technology. A framework consisting of six integrated steps is proposed by considering the growing importance of supply chains in manufacturing organisations. The framework makes use of Analytical Hierarchy (AHP......) approach combined with Strategic Assessment Model (SAM) to evaluate and select the technologies appropriate for providing overall competitive advantage. The framework is intended to assist industrial managers in promoting manufacturing and supply chain collaboration and coordination by including intra...

  8. Environmental and workplace contamination in the semiconductor industry: implications for future health of the workforce and community.

    Science.gov (United States)

    Edelman, P

    1990-01-01

    The semiconductor industry has been an enormous worldwide growth industry. At the heart of computer and other electronic technological advances, the environment in and around these manufacturing facilities has not been scrutinized to fully detail the health effects to the workers and the community from such exposures. Hazard identification in this industry leads to the conclusion that there are many sources of potential exposure to chemicals including arsenic, solvents, photoactive polymers and other materials. As the size of the semiconductor work force expands, the potential for adverse health effects, ranging from transient irritant symptoms to reproductive effects and cancer, must be determined and control measures instituted. Risk assessments need to be effected for areas where these facilities conduct manufacturing. The predominance of women in the manufacturing areas requires evaluating the exposures to reproductive hazards and outcomes. Arsenic exposures must also be evaluated and minimized, especially for maintenance workers; evaluation for lung and skin cancers is also appropriate. PMID:2401268

  9. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  10. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  11. Blasting detonators incorporating semiconductor bridge technology

    Energy Technology Data Exchange (ETDEWEB)

    Bickes, R.W. Jr.

    1994-05-01

    The enormity of the coal mine and extraction industries in Russia and the obvious need in both Russia and the US for cost savings and enhanced safety in those industries suggests that joint studies and research would be of mutual benefit. The author suggests that mine sites and well platforms in Russia offer an excellent opportunity for the testing of Sandia`s precise time-delay semiconductor bridge detonators, with the potential for commercialization of the detonators for Russian and other world markets by both US and Russian companies. Sandia`s semiconductor bridge is generating interest among the blasting, mining and perforation industries. The semiconductor bridge is approximately 100 microns long, 380 microns wide and 2 microns thick. The input energy required for semiconductor bridge ignition is one-tenth the energy required for conventional bridgewire devices. Because semiconductor bridge processing is compatible with other microcircuit processing, timing and logic circuits can be incorporated onto the chip with the bridge. These circuits can provide for the precise timing demanded for cast effecting blasting. Indeed tests by Martin Marietta and computer studies by Sandia have shown that such precise timing provides for more uniform rock fragmentation, less fly rock, reduce4d ground shock, fewer ground contaminants and less dust. Cost studies have revealed that the use of precisely timed semiconductor bridges can provide a savings of $200,000 per site per year. In addition to Russia`s vast mineral resources, the Russian Mining Institute outside Moscow has had significant programs in rock fragmentation for many years. He anticipated that collaborative studies by the Institute and Sandia`s modellers would be a valuable resource for field studies.

  12. The Clean Energy Manufacturing Analysis Center (CEMAC): Providing Analysis and Insights on Clean Technology Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, Nicholi S [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-09-28

    The U.S. Department of Energy's Clean Energy Manufacturing Analysis Center (CEMAC) provides objective analysis and up-to-date data on global supply chains and manufacturing of clean energy technologies. Policymakers and industry leaders seek CEMAC insights to inform choices to promote economic growth and the transition to a clean energy economy.

  13. Surface passivation technology for III-V semiconductor nanoelectronics

    International Nuclear Information System (INIS)

    Hasegawa, Hideki; Akazawa, Masamichi

    2008-01-01

    The present status and key issues of surface passivation technology for III-V surfaces are discussed in view of applications to emerging novel III-V nanoelectronics. First, necessities of passivation and currently available surface passivation technologies for GaAs, InGaAs and AlGaAs are reviewed. Then, the principle of the Si interface control layer (ICL)-based passivation scheme by the authors' group is introduced and its basic characterization is presented. Ths Si ICL is a molecular beam epitaxy (MBE)-grown ultrathin Si layer inserted between III-V semiconductor and passivation dielectric. Finally, applications of the Si ICL method to passivation of GaAs nanowires and GaAs nanowire transistors and to realization of pinning-free high-k dielectric/GaAs MOS gate stacks are presented

  14. Small Scale Turbopump Manufacturing Technology and Material Processes

    Science.gov (United States)

    Alvarez, Erika; Morgan, Kristin; Wells, Doug; Zimmerman, Frank

    2011-01-01

    As part of an internal research and development project, NASA Marshall Space Flight Center (MSFC) has been developing a high specific impulse 9,000-lbf LOX/LH2 pump-fed engine testbed with the capability to throttle 10:1. A Fuel Turbopump (FTP) with the ability to operate across a speed range of 30,000-rpm to 100,000-rpm was developed and analyzed. This small size and flight-like Fuel Turbopump has completed the design and analysis phase and is currently in the manufacturing phase. This paper highlights the manufacturing and processes efforts to fabricate an approximately 20-lb turbopump with small flow passages, intricately bladed components and approximately 3-in diameter impellers. As a result of the small scale and tight tolerances of the hardware on this turbopump, several unique manufacturing and material challenges were encountered. Some of the technologies highlighted in this paper include the use of powder metallurgy technology to manufacture small impellers, electron beam welding of a turbine blisk shroud, and casting challenges. The use of risk reduction efforts such as non-destructive testing (NDT) and evaluation (NDE), fractography, material testing, and component spin testing are also discussed in this paper.

  15. Factors influencing the technology upgrading and catch-up of Chinese wind turbine manufacturers: Technology acquisition mechanisms and government policies

    International Nuclear Information System (INIS)

    Qiu, Yueming; Ortolano, Leonard; David Wang, Yi

    2013-01-01

    This paper uses firm level data for the Chinese wind turbine manufacturing industry from 1998 to 2009 to quantify the effects of technology acquisition mechanisms – purchasing production licenses from foreign manufacturers, joint design with foreign design firms, joint-ventures and domestic R and D – on wind turbine manufacturers' technology levels (as measured by turbine size, in megawatts). It also examines the impacts of government policies on manufacturer technology levels. Technology upgrading (measured by increase of turbine size) and catch-up (measured by decrease in the distance to the world technology frontier in terms of turbine size) are used to measure advances in technology level. Results from econometric modeling studies indicate that firms' technology acquisition mechanisms and degree of business diversification are statistically significant factors in influencing technology upgrading. Similar results were found for the catch-up variable (i.e., distance to the world technology frontier). The influence of government policies is significant for technology upgrading but not catch-up. These and other modeling results are shown to have implications for both policymakers and wind turbine manufacturers. - Highlights: ► Technology acquired through joint design has the highest level. ► Technology acquired through purchasing production license has the lowest level. ► Technology acquired through domestic R and D has the level in between. ► A firm with related other businesses tends to have a higher level of technology. ► The influence of policies is significant for technology upgrade but not catch-up

  16. Rapid thermal processing and beyond applications in semiconductor processing

    CERN Document Server

    Lerch, W

    2008-01-01

    Heat-treatment and thermal annealing are very common processing steps which have been employed during semiconductor manufacturing right from the beginning of integrated circuit technology. In order to minimize undesired diffusion, and other thermal budget-dependent effects, the trend has been to reduce the annealing time sharply by switching from standard furnace batch-processing (involving several hours or even days), to rapid thermal processing involving soaking times of just a few seconds. This transition from thermal equilibrium, to highly non-equilibrium, processing was very challenging a

  17. Filter optimization of Si and SiC semiconductor-based H5 and Conergy-NPC transformerless PV inverters

    DEFF Research Database (Denmark)

    Saridakis, Stefanos; Koutroulis, Eftichios; Blaabjerg, Frede

    2013-01-01

    Single-phase transformerless Photovoltaic (PV) inverters are synthesized by combining available solutions in terms of the power section topology, power semiconductors manufacturing technology and structure of the output filter. A design method is presented in this paper for optimizing the power......C-based PV inverters will inject more energy into the electric grid, compared to the Si-based structures and enable the reduction of the output filter size, weight and cost. Employing an LLCL-type output filter and simultaneously reducing the cost of SiC power semiconductors to the level of their Si...

  18. CSIR R&D in emerging manufacturing technologies

    CSIR Research Space (South Africa)

    Trollip, Neil

    2017-10-01

    Full Text Available This presentation discusses Advanced Robotics and Emerging manufacturing technologies by Dr Neil Trollip, at the 6th CSIR Conference: Ideas that work for industrial development, 5-6 October 2017, CSIR International Convention Centre, Pretoria...

  19. Technological innovation capability in Malaysian-owned resource-based manufacturing companies: Early findings

    Science.gov (United States)

    Razali, Nur Fhathyhah; Mohd Suradi, Nur Riza; Ahmad Shahabuddin, Faridatul Azna; Ismail, Wan Rosmanira; Abidin, Norkisme Zainal; Ahmad, Nor Amalina; Mustafa, Zainol

    2013-04-01

    This study aims to identify the determinants of technological innovation capability of Malaysian-owned companies in the resources-based manufacturing, to identify the relationship between technological innovation capability (TIC) and technological innovation performance (TIP) for the resource-based manufacturing. Furthermore, this study also aims to identify innovation capability factors that need more emphasis and improvements from the respective authority. The scope of the study covers four industries which are petrochemical industries, pharmaceutical industries, palm oil-based industries and food processing industries which are located in the state of Selangor. Descriptive analysis, correlation analysis and performance capability analysis were used in this study. It was found that, technological innovation capabilities (TIC) for companies in the resource-based manufacturing are moderate. Factors such as policies capability, human resources capability and facilities capability have a positive relationship with the performance of technological innovation (TIP). These findings will help the government in making decisions and better implementation of policies to strengthen the competitiveness of the company, particularly in resource-based manufacturing.

  20. Additive manufacturing technologies of porous metal implants

    Directory of Open Access Journals (Sweden)

    Yang Quanzhan

    2014-06-01

    Full Text Available Biomedical metal materials with good corrosion resistance and mechanical properties are widely used in orthopedic surgery and dental implant materials, but they can easily cause stress shielding due to the significant difference in elastic modulus between the implant and human bones. The elastic modulus of porous metals is lower than that of dense metals. Therefore, it is possible to adjust the pore parameters to make the elastic modulus of porous metals match or be comparable with that of the bone tissue. At the same time, the open porous metals with pores connected to each other could provide the structural condition for bone ingrowth, which is helpful in strengthening the biological combination of bone tissue with the implants. Therefore, the preparation technologies of porous metal implants and related research have been drawing more and more attention due to the excellent features of porous metals. Selective laser melting (SLM and electron beam melting technology (EBM are important research fields of additive manufacturing. They have the advantages of directly forming arbitrarily complex shaped metal parts which are suitable for the preparation of porous metal implants with complex shape and fine structure. As new manufacturing technologies, the applications of SLM and EBM for porous metal implants have just begun. This paper aims to understand the technology status of SLM and EBM, the research progress of porous metal implants preparation by using SLM and EBM, and the biological compatibility of the materials, individual design and manufacturing requirements. The existing problems and future research directions for porous metal implants prepared by SLM and EBM methods are discussed in the last paragraph.

  1. A system approach to controlling semiconductor manufacturing operations

    OpenAIRE

    Σταυράκης, Γιώργος Δ.

    1987-01-01

    Semicoductor manufacturers, faced with stiffening competition in both product cost and quality, require improved utilization of their development and manufacturing resources. Manufacturing philosophy must be changed, from focusing on short term results, to support continuous improvements in both output and quality. Such improvements demand better information management to monitor and control the manufacturing process. From these considerations, a process control methodology was develope...

  2. The application of welding technology in power plant manufacture

    International Nuclear Information System (INIS)

    Price, A.T.; Chew, B.

    1989-02-01

    A strategy is presented for the application of welding technology in the manufacture of power plant. A flow chart description is adopted in the present paper to provide a general framework outlining the sequence of activities leading to manufacture. The broad chronological order of events is treated under the three headings, Component Design, Welding Development, and Implementation. Important factors that have to be considered at each of these stages are shown in subsidiary flow charts together with short notes to provide context and an aide memoire for those involved in welding technology. (author)

  3. Terrestrial photovoltaic technologies - Recent progress in manufacturing R&D

    Energy Technology Data Exchange (ETDEWEB)

    Witt, C. E.; Surek, T.; Mitchell, R. L.; Symko-Davies, M.; Thomas, H. P.

    2000-05-15

    This paper describes photovoltaics (PV) as used for energy generation in terrestrial applications. A brief historical perspective of PV development is provided. Solar-to-electricity conversion efficiencies for various photovoltaic materials are presented, as well as expectations for further material improvements. Recent progress in reducing manufacturing costs through process R&D and product improvements are described. Applications that are most suitable for the different technologies are discussed. Finally, manufacturing capacities and current and projected module manufacturing costs are presented.

  4. Materials and Reliability Handbook for Semiconductor Optical and Electron Devices

    CERN Document Server

    Pearton, Stephen

    2013-01-01

    Materials and Reliability Handbook for Semiconductor Optical and Electron Devices provides comprehensive coverage of reliability procedures and approaches for electron and photonic devices. These include lasers and high speed electronics used in cell phones, satellites, data transmission systems and displays. Lifetime predictions for compound semiconductor devices are notoriously inaccurate due to the absence of standard protocols. Manufacturers have relied on extrapolation back to room temperature of accelerated testing at elevated temperature. This technique fails for scaled, high current density devices. Device failure is driven by electric field or current mechanisms or low activation energy processes that are masked by other mechanisms at high temperature. The Handbook addresses reliability engineering for III-V devices, including materials and electrical characterization, reliability testing, and electronic characterization. These are used to develop new simulation technologies for device operation and ...

  5. Welding and manufacture technology of three-layer bellows

    International Nuclear Information System (INIS)

    Dou Zhengping; Jiang Yunbo; Xie Zhiqiang; Pei Qiusheng; Wang Jindong; Zhang Zhiqiang

    2005-01-01

    Using argon tungsten arc welding the technology research and manufacture was carried out for three-layer bellows with the diameter of 350 mm or 230 mm. The theory rigidity and fatigue intensity of welded bellows were experimentally confirmed, the reasonable welding parameters was selected, and three-layer welded bellows with the diameter of 350 mm or 230 mm were manufactured. Quality of welded bellows accords with techniques standard. (authors)

  6. PV Cz silicon manufacturing technology improvements

    Science.gov (United States)

    Jester, T.

    1995-09-01

    This describes work done in the final phase of a 3-y, 3-phase contract to demonstrate cost reductions and improvements in manufacturing technology. The work focused on near-term projects in the SSI (Siemens Solar Industries) Czochralski (Cz) manufacturing facility in Camarillo, CA; the final phase was concentrated in areas of crystal growth, wafer technology, and environmental, safety, and health issues. During this period: (1) The crystal-growing operation improved with increased growth capacity; (2) Wafer processing with wire saws continued to progress; the wire saws yielded almost 50 percent more wafers per inch in production. The wire saws needs less etching, too; (3) Cell processing improvements focused on better handling and higher mechanical yield. The cell electrical distribution improved with a smaller standard deviation in the distribution; and (4) Module designs for lower material and labor costs continued, with focus on a new junction box, larger modules with larger cells, and less costly framing techniques. Two modules demonstrating these cost reductions were delivered during this phase.

  7. Advances in solid dosage form manufacturing technology.

    Science.gov (United States)

    Andrews, Gavin P

    2007-12-15

    Currently, the pharmaceutical and healthcare industries are moving through a period of unparalleled change. Major multinational pharmaceutical companies are restructuring, consolidating, merging and more importantly critically assessing their competitiveness to ensure constant growth in an ever-more demanding market where the cost of developing novel products is continuously increasing. The pharmaceutical manufacturing processes currently in existence for the production of solid oral dosage forms are associated with significant disadvantages and in many instances provide many processing problems. Therefore, it is well accepted that there is an increasing need for alternative processes to dramatically improve powder processing, and more importantly to ensure that acceptable, reproducible solid dosage forms can be manufactured. Consequently, pharmaceutical companies are beginning to invest in innovative processes capable of producing solid dosage forms that better meet the needs of the patient while providing efficient manufacturing operations. This article discusses two emerging solid dosage form manufacturing technologies, namely hot-melt extrusion and fluidized hot-melt granulation.

  8. Changing Manufacturing Technology and Jobs in Defense Industries.

    Science.gov (United States)

    Oliver, Richard P.

    1983-01-01

    Provides information on the current status of computer-assisted manufacturing, current employment, and plans for new technology in three defense-related industries: aircraft, shipbuilding, and ordnance. (SK)

  9. Factors that influence the rejection of new manufacturing technologies and concepts

    Science.gov (United States)

    Kristen G. Hoff; Timothy J. Greene; Timothy J. Greene

    1998-01-01

    New manufacturing technologies or concepts often are adopted to improve a firm's competitive advantage over other firms in the same industry. The benefits that a firm expects to receive as a result of that adoption are presumed to outweigh the risk factors that accompany the adoption of a new manufacturing technology. Much research has been conducted to...

  10. Development of Manufacturing Technology to Accelerate Cost Reduction of Low Concentration and

    Energy Technology Data Exchange (ETDEWEB)

    Detrick, Adam [The Solaria Corporation, Fremont, CA (United States)

    2017-09-27

    The purpose of this project was to accelerate deployment of cost-effective US-based manufacturing of Solaria’s unique c-Si module technology. This effort successfully resulted in the development of US-based manufacturing technology to support two highly-differentiated, market leading product platforms. The project was initially predicated on developing Solaria’s low-concentration PV (LCPV) module technology which at the time of the award was uniquely positioned to exceed the SunShot price goal of $0.50/Wp for standard c-Si modules. The Solaria LCPV module is a 2.5x concentrator that leverages proven, high-reliability PV module materials and low silicon cell usage into a technology package that already had the lowest direct material cost and leading Levelized Cost of Electricity (LCOE). With over 25 MW commercially deployed globally, the Solaria module was well positioned to continue to lead in PV module cost reduction. Throughout the term of the contract, market conditions changed dramatically and so to did Solaria’s product offerings to support this. However, the manufacturing technology developed for the LCPV module was successfully leveraged and optimized to support two new and different product platforms. BIPV “PowerVision” and High-efficiency “PowerXT” modules. The primary barrier to enabling high-volume PV module manufacturing in the US is the high manual labor component in certain unique aspects of our manufacturing process. The funding was used to develop unique manufacturing automation which makes the manual labor components of these key processes more efficient and increase throughput. At the core of Solaria’s product offerings are its unique and proprietary techniques for dicing and re-arranging solar cells into modules with highly-differentiated characteristics that address key gaps in the c-Si market. It is these techniques that were successfully evolved and deployed into US-based manufacturing site with SunShot funding. Today, Solaria

  11. National Manufacturing Strategy: Is a National Manufacturing Strategy Essential to National Security?

    Science.gov (United States)

    2011-05-01

    cycle found nearly a quarter of all homeowners owning more than their home was worth. 11 Both Paul Volcker and Warren Buffet arrived at similar...November 15, 2010; Warren Buffet , Testimony, Financial Crisis Inquiry Commission, June 2, 2010; “Subprime Mortgage Crisis,” http://en.wikipedia.org...overseas manufacturing. Case Study: Semiconductor Wafer Industry. The history of the semiconductor industry is an instructive account . It begins with

  12. Sustainable In-Space Manufacturing through Rapid Prototyping Technology

    Data.gov (United States)

    National Aeronautics and Space Administration — In space manufacturing is crucial to humanity’s continued exploration and habitation of space. While new spacecraft and propulsion technologies promise higher...

  13. State-of-the-art of fiber-reinforced polymers in additive manufacturing technologies

    DEFF Research Database (Denmark)

    Hofstätter, Thomas; Pedersen, David Bue; Tosello, Guido

    2017-01-01

    Additive manufacturing technologies have received a lot of attention in recent years for their use in multiple materials such as metals, ceramics, and polymers. The aim of this review article is to analyze the technology of fiber-reinforced polymers and its implementation with additive...... manufacturing. This article reviews recent developments, ideas, and state-of-the-art technologies in this field. Moreover, it gives an overview of the materials currently available for fiber-reinforced material technology....

  14. CMOS technology: a critical enabler for free-form electronics-based killer applications

    KAUST Repository

    Hussain, Muhammad Mustafa; Hussain, Aftab M.; Hanna, Amir

    2016-01-01

    Complementary metal oxide semiconductor (CMOS) technology offers batch manufacturability by ultra-large-scaleintegration (ULSI) of high performance electronics with a performance/cost advantage and profound reliability. However, as of today

  15. Make disruptive technological change happen - The case of additive manufacturing

    DEFF Research Database (Denmark)

    Maresch, Daniela; Gartner, Johannes

    2018-01-01

    Disruptive technological change can contribute to a more abundant world. However, potentially disruptive technologies often struggle to significantly influence practice. One prominent example is additive manufacturing (AM). Although AM is often regarded as the next great technological revolution...

  16. Advanced Manufacturing Technology Adoption In SMEs: An Integrative Model

    Directory of Open Access Journals (Sweden)

    Mirmahdi Darbanhosseiniamirkhiz

    2012-12-01

    Full Text Available The objective of this study is to assess the critical factors which influence adoption of  Advanced Manufacturing Technologies (AMTs and identify hurdles and barriers which prevent small- and medium-sized enterprises (SMEs from accomplishing the desired goals of AMTs utilization. The proposed framework has synthesized previous studies and integrated related studies through conducting a comprehensive literature review. This paper is a theoretical construction that synthesizes previous studies, and centers on three context (Environmental, Organizational, and Technological which influence  adoption of AMTs. This model can provide managers with practical solutions through granting in-depth understanding of whole internal, external, and technological environments, and awarding empirical insight into overcoming barriers to the adoption and implementation of AMT and other process innovations in manufacturing organizations.

  17. A state of the Art report on Manufacturing technology of high burn-up fuel cladding

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kyeong Ho; Nam, Cheol; Baek, Jong Hyuk; Choi, Byung Kwon; Park, Sang Yoon; Lee, Myung Ho; Jeong, Yong Hwan

    1999-09-01

    In order to manufacturing the prototype fuel cladding, overall manufacturing processes and technologies should be thoroughly understood on the manufacturing processes and technologies of foreign cladding tubes. Generally, the important technology related to fuel cladding tube manufacturing processes for PWRs/PHWRs is divided into three stages. The first stage is to produce the zirconium sponge from zirconium sand, the second stage is to produce the zircaloy shell or TREX from zirconium sponge ingot and finally, cladding is produced from TREX or zircaloy shell. Therefore, the manufacturing processes including the first and second stages are described in brief in this technology report in order to understand the whole fuel cladding manufacturing processes. (author)

  18. Additive Manufacturing and Casting Technology Comparison: Mechanical Properties, Productivity and Cost Benchmark

    Science.gov (United States)

    Vevers, A.; Kromanis, A.; Gerins, E.; Ozolins, J.

    2018-04-01

    The casting technology is one of the oldest production technologies in the world but in the recent years metal additive manufacturing also known as metal 3D printing has been evolving with huge steps. Both technologies have capabilities to produce parts with internal holes and at first glance surface roughness is similar for both technologies, which means that for precise dimensions parts have to be machined in places where precise fit is necessary. Benchmark tests have been made to find out if parts which are produced with metal additive manufacturing can be used to replace parts which are produced with casting technology. Most of the comparative tests have been made with GJS-400-15 grade which is one of the most popular cast iron grades. To compare mechanical properties samples have been produced using additive manufacturing and tested for tensile strength, hardness, surface roughness and microstructure and then the results have been compared with the samples produced with casting technology. In addition, both technologies have been compared in terms of the production time and production costs to see if additive manufacturing is competitive with the casting technology. The original paper has been written in the Latvian language as part of the Master Thesis within the framework of the production technology study programme at Riga Technical University.

  19. Manufacturing capability as a technological development indicator in the pharmaceutical industry

    Directory of Open Access Journals (Sweden)

    John Jairo Gallo Castro

    2010-01-01

    Full Text Available The pharmaceutical industrial has five subsectors: medicines, cosmetics, phytotherapeutics, cleaning products and medical devices. The medicine subsector consists of organisations producing, importing and selling these products. Most studies about this industry have been guided by economic interests without assessing technological aspects of production. This article was aimed at proposing a methodology for assessing and describing the medicine sector according to its technological development by using the manufacturing capability concept. The main information was taken from the Colombian Medicaments and Food Surveillance Institute’s (Instituto Nacional de Vigilancia de Medicamentos y Alimentos - INVIMA databases related to pharmaceutical plant production in Bogotá, including material transformation facilities. This study led to three characteristics being identified for defining the pharmaceutical industry’s manufacturing capability: that related to the pharmacological group to which active pharmaceutical ingredients belong, that linked to specifications regarding medicines’ sterility and that related to the technology required for manufacturing each pharmaceutical product. An analysis of these features has thus been presented and some technologies have been identified which have not been transferred or assimilated by the organisations being studied. It was found that manufacturing capability should be considered as being an indicator of the degree of technological development in these subsectors in Colombia.

  20. Physics and technology development of multilayer EUV reflective optics

    NARCIS (Netherlands)

    Louis, Eric

    2012-01-01

    This thesis describes the development of molybdenum/silicon based multilayer reflective elements for the Extreme UV wavelength range, as motivated by their application in photolithography for semiconductor manufacturing. The thesis reflects the basic thin film physics, technological developments,

  1. Launching the dialogue: Safety and innovation as partners for success in advanced manufacturing.

    Science.gov (United States)

    Geraci, C L; Tinkle, S S; Brenner, S A; Hodson, L L; Pomeroy-Carter, C A; Neu-Baker, N

    2018-06-01

    Emerging and novel technologies, materials, and information integrated into increasingly automated and networked manufacturing processes or into traditional manufacturing settings are enhancing the efficiency and productivity of manufacturing. Globally, there is a move toward a new era in manufacturing that is characterized by: (1) the ability to create and deliver more complex designs of products; (2) the creation and use of materials with new properties that meet a design need; (3) the employment of new technologies, such as additive and digital techniques that improve on conventional manufacturing processes; and (4) a compression of the time from initial design concept to the creation of a final product. Globally, this movement has many names, but "advanced manufacturing" has become the shorthand for this complex integration of material and technology elements that enable new ways to manufacture existing products, as well as new products emerging from new technologies and new design methods. As the breadth of activities associated with advanced manufacturing suggests, there is no single advanced manufacturing industry. Instead, aspects of advanced manufacturing can be identified across a diverse set of business sectors that use manufacturing technologies, ranging from the semiconductors and electronics to the automotive and pharmaceutical industries. The breadth and diversity of advanced manufacturing may change the occupational and environmental risk profile, challenge the basic elements of comprehensive health and safety (material, process, worker, environment, product, and general public health and safety), and provide an opportunity for development and dissemination of occupational and environmental health and safety (OEHS) guidance and best practices. It is unknown how much the risk profile of different elements of OEHS will change, thus requiring an evolution of health and safety practices. These changes may be accomplished most effectively through multi

  2. Quantifying Adoption Rates and Energy Savings Over Time for Advanced Manufacturing Technologies

    Energy Technology Data Exchange (ETDEWEB)

    Hanes, Rebecca [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Carpenter Petri, Alberta C [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Riddle, Matt [Argonne National Laboratory; Graziano, Diane [Argonne National Laboratory

    2017-10-09

    Energy-efficient manufacturing technologies can reduce energy consumption and lower operating costs for an individual manufacturing facility, but increased process complexity and the resulting risk of disruption means that manufacturers may be reluctant to adopt such technologies. In order to quantify potential energy savings at scales larger than a single facility, it is necessary to account for how quickly and how widely the technology will be adopted by manufacturers. This work develops a methodology for estimating energy-efficient manufacturing technology adoption rates using quantitative, objectively measurable technology characteristics, including energetic, economic and technical criteria. Twelve technology characteristics are considered, and each characteristic is assigned an importance weight that reflects its impact on the overall technology adoption rate. Technology characteristic data and importance weights are used to calculate the adoption score, a number between 0 and 1 that represents how quickly the technology is likely to be adopted. The adoption score is then used to estimate parameters for the Bass diffusion curve, which quantifies the change in the number of new technology adopters in a population over time. Finally, energy savings at the sector level are calculated over time by multiplying the number of new technology adopters at each time step with the technology's facility-level energy savings. The proposed methodology will be applied to five state-of-the-art energy-efficient technologies in the carbon fiber composites sector, with technology data obtained from the Department of Energy's 2016 bandwidth study. Because the importance weights used in estimating the Bass curve parameters are subjective, a sensitivity analysis will be performed on the weights to obtain a range of parameters for each technology. The potential energy savings for each technology and the rate at which each technology is adopted in the sector are quantified

  3. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  4. Emerging technology: A key enabler for modernizing pharmaceutical manufacturing and advancing product quality.

    Science.gov (United States)

    O'Connor, Thomas F; Yu, Lawrence X; Lee, Sau L

    2016-07-25

    Issues in product quality have produced recalls and caused drug shortages in United States (U.S.) in the past few years. These quality issues were often due to outdated manufacturing technologies and equipment as well as lack of an effective quality management system. To ensure consistent supply of safe, effective and high-quality drug products available to the patients, the U.S. Food and Drug Administration (FDA) supports modernizing pharmaceutical manufacturing for improvements in product quality. Specifically, five new initiatives are proposed here to achieve this goal. They include: (i) advancing regulatory science for pharmaceutical manufacturing; (ii) establishing a public-private institute for pharmaceutical manufacturing innovation; (iii) creating incentives for investment in the technological upgrade of manufacturing processes and facilities; (iv) leveraging external expertise for regulatory quality assessment of emerging technologies; and (v) promoting the international harmonization of approaches for expediting the global adoption of emerging technologies. Published by Elsevier B.V.

  5. The Effect of the Implementation of Advanced Manufacturing Technologies on Training in the Manufacturing Sector

    Science.gov (United States)

    Castrillon, Isabel Dieguez; Cantorna, Ana I. Sinde

    2005-01-01

    Purpose: The aim of this article is to gain insight into some of the factors that determine personnel-training efforts in companies introducing advanced manufacturing technologies (AMTs). The study provides empirical evidence from a sector with high rates of technological modernisation. Design/methodology/approach: "Ad hoc" survey of 90…

  6. Crossword Puzzle Makes It Fun: Introduce Green Manufacturing in Wood Technology Courses

    Science.gov (United States)

    Iley, John L.; Hague, Doug

    2012-01-01

    Sustainable, or "green," manufacturing and its practices are becoming more and more a part of today's industry, including wood product manufacturing. This article provides introductory information on green manufacturing in wood technology and a crossword puzzle based on green manufacturing terms. The authors use the puzzle at the college level to…

  7. The transfer of accelerator technology to industry

    International Nuclear Information System (INIS)

    Favale, A.

    1992-01-01

    The national laboratories and universities are sources for innovative accelerator technology developments. With the growing application of accelerators in such fields as semiconductor manufacturing, medical therapy isotope production, nuclear waste transmutation, materials testing, bomb detection, pure science, etc., it is becoming more important to transfer these technologies and build an accelerator industrial base. In this talk the methods of technology transfer, the issues involved in working with the labs and examples of successful technology transfers are discussed. (Author)

  8. Locally manufactured wind power technology for sustainable rural electrification

    International Nuclear Information System (INIS)

    Leary, J.; While, A.; Howell, R.

    2012-01-01

    To date, the use of wind power for rural electrification has been limited. However the fact that micro-wind turbines can be manufactured using only basic workshop tools, techniques and materials, and therefore can be produced locally is often overlooked. Local manufacture has the potential to boost the local economy, build local capacity, reduce costs and produce resilient and flexible energy systems. However, locally manufactured technology must be seen as socially embedded due to the variety of local knowledge, skills, equipment and materials needed to construct and maintain such systems, as well as the organisational structures needed to ensure their long term sustainability. Evidence from successful initiatives suggests that stable institutional support from intermediaries such as the local/national government or NGOs is necessary to foster the development of a wind power industry based on local manufacture. The roles of these intermediaries include identifying and targeting windy areas with favourable environmental conditions, conducting research and development, collecting feedback from end users, creating supply chains for new parts and materials and developing relevant knowledge and skills. In this paper, three case studies of specific initiatives are analysed to draw out the social, economic and technical factors that could facilitate wider adoption of the technology. - Highlights: ► Local manufacture of wind turbines often overlooked for rural electrification. ► Flexible to adapt to local context and benefits local economy, capacity and supply chain. ► Development of technology discussed and 3 case studies of dissemination analysed. ► Critical factors: institutional support, system level planning, continuity of supply. ► Dissemination successful in Inner Mongolia; work continues elsewhere.

  9. Manufacturing technology for practical Josephson voltage normals; Fertigungstechnologie fuer praxistaugliche Josephson-Spannungsnormale

    Energy Technology Data Exchange (ETDEWEB)

    Kohlmann, Johannes; Kieler, Oliver [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Arbeitsgruppe 2.43 ' ' Josephson-Schaltungen' '

    2016-09-15

    In this contribution we present the manufacturing technology for the fabrication of integrated superconducting Josephson serial circuits for voltage normals. First we summarize some foundations for Josephson voltage normals and sketch the concept and the setup of the circuits, before we describe the manufacturing technology form modern practical Josephson voltage normals.

  10. Manufacturing technologies for direct methanol fuel cells (DMFCs)

    Energy Technology Data Exchange (ETDEWEB)

    Gluesen, Andreas; Mueller, Martin; Kimiaie, Nicola; Konradi, Irene; Mergel, Juergen; Stolten, Detlef [Forschungszentrum Juelich (Germany). Inst. of Energy Research - IEF-3: Fuel Cells

    2010-07-01

    Fuel cell research is focussing on increasing power density and lifetime and reducing costs of the whole fuel cell system. In order to reach these aims, it is necessary to develop appropriately designed components outgoing from high quality materials, a suitable manufacturing process and a well balanced system. To make use of the advantages that can be obtained by developing production technology, we are mainly improving the coating and assembling techniques for polymer electrolyte fuel cells, especially Direct Methanol Fuel Cells (DMFCs). Coating is used for making fuel cell electrodes as well as highly conductive contacts. Assembling is used to join larger components like membrane electrode assemblies (MEAs) and bipolar units consisting of flow fields and the separator plate, as well as entire stacks. On the one hand a reproducible manufacturing process is required to study fine differences in fuel cell performance affected by new materials or new designs. On the other hand a change in each parameter of the manufacturing process itself can change product properties and therefore affect fuel cell performance. As a result, gas diffusion electrodes (GDEs) are now produced automatically in square-meter batches, the hot-pressing of MEAs is a fully automated process and by pre-assembling the number of parts that have to be assembled in a stack was reduced by a factor of 10. These achievements make DMFC manufacturing more reproducible and less error-prone. All these and further developments of manufacturing technology are necessary to make DMFCs ready for the market. (orig.)

  11. Ramp Technology and Intelligent Processing in Small Manufacturing

    Science.gov (United States)

    Rentz, Richard E.

    1992-01-01

    To address the issues of excessive inventories and increasing procurement lead times, the Navy is actively pursuing flexible computer integrated manufacturing (FCIM) technologies, integrated by communication networks to respond rapidly to its requirements for parts. The Rapid Acquisition of Manufactured Parts (RAMP) program, initiated in 1986, is an integral part of this effort. The RAMP program's goal is to reduce the current average production lead times experienced by the Navy's inventory control points by a factor of 90 percent. The manufacturing engineering component of the RAMP architecture utilizes an intelligent processing technology built around a knowledge-based shell provided by ICAD, Inc. Rules and data bases in the software simulate an expert manufacturing planner's knowledge of shop processes and equipment. This expert system can use Product Data Exchange using STEP (PDES) data to determine what features the required part has, what material is required to manufacture it, what machines and tools are needed, and how the part should be held (fixtured) for machining, among other factors. The program's rule base then indicates, for example, how to make each feature, in what order to make it, and to which machines on the shop floor the part should be routed for processing. This information becomes part of the shop work order. The process planning function under RAMP greatly reduces the time and effort required to complete a process plan. Since the PDES file that drives the intelligent processing is 100 percent complete and accurate to start with, the potential for costly errors is greatly diminished.

  12. Ubiquitous Robotic Technology for Smart Manufacturing System.

    Science.gov (United States)

    Wang, Wenshan; Zhu, Xiaoxiao; Wang, Liyu; Qiu, Qiang; Cao, Qixin

    2016-01-01

    As the manufacturing tasks become more individualized and more flexible, the machines in smart factory are required to do variable tasks collaboratively without reprogramming. This paper for the first time discusses the similarity between smart manufacturing systems and the ubiquitous robotic systems and makes an effort on deploying ubiquitous robotic technology to the smart factory. Specifically, a component based framework is proposed in order to enable the communication and cooperation of the heterogeneous robotic devices. Further, compared to the service robotic domain, the smart manufacturing systems are often in larger size. So a hierarchical planning method was implemented to improve the planning efficiency. A test bed of smart factory is developed. It demonstrates that the proposed framework is suitable for industrial domain, and the hierarchical planning method is able to solve large problems intractable with flat methods.

  13. Problems and Instruments of Product and Technological Diversification of Manufacturing

    Directory of Open Access Journals (Sweden)

    Kuzmin Oleg Ye.

    2015-03-01

    Full Text Available The purpose of the article involves identification of objectives and development of instruments for product and technological diversification aimed at updating the range of products and introducing innovative technologies, which will ensure a high level of competitiveness and create preconditions for steady development of the enterprise. As a result of studying the literary sources the objectives and instruments for development of enterprises by means of product and technological diversification have been defined. The article suggests effective instruments of product and technological diversification of manufacturing, namely: the model of expansion of the product range, multi-criteria model of optimization of the product range, a modified model of Kantorovich-Koopmans for implementing new production technologies with set limits on the product output. Further research relate to formation of instruments for manufacturing diversification by means of introducing new types of production.

  14. Studies on applications of functional organic-thin-films for lithography on semiconductor device production

    International Nuclear Information System (INIS)

    Ogawa, Kazufumi

    1988-12-01

    This report describes some experimental results of studies in an attempt to contribute to the development of ultra-fine lithography which is used for the manufacture of semiconductor devices with design rule below 0.5 μm, and contains (1) manufacture of the exposure apparatus, (2) establishment of the resist process technology, and (3) preparation of the resist materials. The author designed and manufactured the KrF excimer laser stepper which is supposed to be most promising for practical uses. In the resist processing technology, the water-soluble contrast enhanced lithography (CEL) process was developed and this process has advantages is that high pattern contrast and large focus depth latitude were easily obtained. Finally, for resist materials, use of Langmuir-Blodgett (LB) films was investigated since the LB technique provides the method to prepare extremely thin organic films which are uniform in molecular level, and the reaction mechanism of the LB films of unsaturated compounds under irradiation with high energy beams was elucidated. (author)

  15. SUPPLIER SELECTION STRATEGY AND MANUFACTURING FLEXIBILITY: IMPACT OF QUALITY AND TECHNOLOGY ROADMAPS

    Directory of Open Access Journals (Sweden)

    Muhamad Jantan

    2006-01-01

    Full Text Available The study evaluates the relationship between technology, quality, cost and delivery performance-based, supplier selection strategies, and manufacturing flexibilities namely, product flexibility, launch flexibility, and volume flexibility. Moreover, the moderating impact of supplier management strategies, namely quality roadmap and technology roadmap on the above relationships were also explored. The data for the study was drawn from a sample of companies listed in the factory directory published by the Penang Development Corporation (PDC. A postal survey of 120 manufacturers provided a return of 92 usable responses. The results reveal that the selection of suppliers based on technological and quality performance positively affects all the three dimensions of manufacturing flexibility, with complementary effects of good technology and quality roadmaps. Technology and quality roadmaps act as predictors for product and volume flexibilities. However, when launch flexibility is the focus, both technology and quality roadmaps moderate the impact of supplier selection strategies. Details of the findings, theoretical and practical implications, and the research limitation are discussed.

  16. Dissolved air flotation of polishing wastewater from semiconductor manufacturer.

    Science.gov (United States)

    Liu, J C; Lien, C Y

    2006-01-01

    The feasibility of the dissolved air flotation (DAF) process in treating chemical mechanical polishing (CMP) wastewater was evaluated in this study. Wastewater from a local semiconductor manufacturer was sampled and characterised. Nano-sized silica (77.6 nm) with turbidity of 130 +/- 3 NTU was found in the slightly alkaline wastewater with traces of other pollutants. Experimental results indicated removal efficiency of particles, measured as suspended particle or turbidity, increased with increasing concentration of cationic collector cetyltrimethyl ammonium bromide (CTAB). When CTAB concentration was 30 mg/L, pH of 6.5 +/- 0.1 and recycle ratio of 30%, very effective removal of particles (> 98%) was observed in saturation pressure range of 4 to 6 kg/cm2, and the reaction proceeded faster under higher pressure. Similarly, the reaction was faster under the higher recycle ratio, while final removal efficiency improved slightly as the recycle ratio increased from 20 to 40%. An insignificant effect of pH on treatment efficiency was found as pH varied from 4.5 to 8.5. The presence of activator, Al3+ and Fe3+, enhanced the system performance. It is proposed that CTAB adsorbs on silica particles in polishing wastewater through electrostatic interaction and makes particles more hydrophobic. The increase in hydrophobicity results in more effective bubble-particle collisions. In addition, flocculation of silica particles through bridging effect of collector was found; it is believed that flocculation of particles also contributed to flotation. Better attachment between gas bubble and solid, higher buoyancy and higher air to solid ratio all lead to effective flotation.

  17. Manufacturing Concepts of the Future – Upcoming Technologies Solving Upcoming Challenges

    DEFF Research Database (Denmark)

    Hadar, Ronen; Bilberg, Arne

    concepts and technologies that are being developed today which may be used to solve manufacturing challenges in the future, such as: (self) reconfigurable manufacturing systems, (focused) flexible manufacturing systems, and AI inspired manufacturing. The paper will try to offer a critical point of view......This paper presents an examination of Western European manufacturers’ future challenges as can be predicted today. Some of the challenges analyzed in the paper are: globalization, individualism and customization and agility challenges. Hereafter, the paper presents a broad analysis on manufacturing...

  18. Tungsten and other heavy metal contamination in aquatic environments receiving wastewater from semiconductor manufacturing

    International Nuclear Information System (INIS)

    Hsu, Shih-Chieh; Hsieh, Hwey-Lian; Chen, Chang-Po; Tseng, Chun-Mao; Huang, Shou-Chung; Huang, Chou-Hao; Huang, Yi-Tang; Radashevsky, Vasily; Lin, Shuen-Hsin

    2011-01-01

    Through analyses of water and sediments, we investigate tungsten and 14 other heavy metals in a stream receiving treated effluents from a semiconductor manufacturer-clustered science park in Taiwan. Treated effluents account for ∼50% of total annual river discharge and <1% of total sediment discharge. Dissolved tungsten concentrations in the effluents abnormally reach 400 μg/L, as compared to the world river average concentration of <0.1 μg/L. Particulate tungsten concentrations are up to 300 μg/g in suspended and deposited sediments, and the corresponding enrichment factors are three orders of magnitude higher than average crust composition. Surprisingly, the estimated amount of tungsten exported to the adjacent ocean is 23.5 t/yr, which can approximate the amount from the Yangtze River should it be unpolluted. This study highlights the urgency of investigating the biological effect of such contamination.

  19. 7/5nm logic manufacturing capabilities and requirements of metrology

    Science.gov (United States)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  20. Present Status and Future Growth of Advanced Maintenance Technology and Strategy in US Manufacturing.

    Science.gov (United States)

    Jin, Xiaoning; Weiss, Brian A; Siegel, David; Lee, Jay

    2016-01-01

    The goals of this paper are to 1) examine the current practices of diagnostics, prognostics, and maintenance employed by United States (U.S.) manufacturers to achieve productivity and quality targets and 2) to understand the present level of maintenance technologies and strategies that are being incorporated into these practices. A study is performed to contrast the impact of various industry-specific factors on the effectiveness and profitability of the implementation of prognostics and health management technologies, and maintenance strategies using both surveys and case studies on a sample of U.S. manufacturing firms ranging from small to mid-sized enterprises (SMEs) to large-sized manufacturing enterprises in various industries. The results obtained provide important insights on the different impacts of specific factors on the successful adoption of these technologies between SMEs and large manufacturing enterprises. The varying degrees of success with respect to current maintenance programs highlight the opportunity for larger manufacturers to improve maintenance practices and consider the use of advanced prognostics and health management (PHM) technology. This paper also provides the existing gaps, barriers, future trends, and roadmaps for manufacturing PHM technology and maintenance strategy.

  1. Adapted Technology for Small-scale Manufacture of Caerphilly-Type ...

    African Journals Online (AJOL)

    Adapted Technology for Small-scale Manufacture of Caerphilly-Type Cheese from Cow's Milk in the Western Highlands Region of Cameroon. ... The production of the cheese should be encouraged at the household level. The Journal of Food Technology in Africa Volume 5 Number 4 (October - December 2000), pp. 120- ...

  2. Forecasting the Success of Implementing Sensors Advanced Manufacturing Technology

    OpenAIRE

    Cheng-Shih Su; Shu-Chen Hsu

    2014-01-01

    This paper is presented fuzzy preference relations approach to forecast the success of implementing sensors advanced manufacturing technology (AMT). In the manufacturing environment, performance measurement is based on different quantitative and qualitative factors. This study proposes an analytic hierarchical prediction model based on fuzzy preference relations to help the organizations become aware of the essential factors affecting the AMT implementation, forecasting the chance of successf...

  3. Metallurgy and purification of semiconductor materials

    International Nuclear Information System (INIS)

    Mughal, G.R.; Ali, M.M.; Ali, I.

    1996-01-01

    In this article the metallurgical aspects of semiconductor science and technology have been stressed here rather than of the physical and electronic aspect of the subject. Semiconductor technology has not merely presented the metallurgist with new challenges. The ease with which the semiconductor planes cleave make possible, the preparation and study of virgin surface. Semiconductor materials were being widely employed in the study of sub-boundaries and structures and can largely contribute to the study of certain aspects of nucleation and growth, precipitation phenomena, mechanical behaviour, in metallurgy. (A.B.)

  4. Advanced Microelectronics Technologies for Future Small Satellite Systems

    Science.gov (United States)

    Alkalai, Leon

    1999-01-01

    Future small satellite systems for both Earth observation as well as deep-space exploration are greatly enabled by the technological advances in deep sub-micron microelectronics technologies. Whereas these technological advances are being fueled by the commercial (non-space) industries, more recently there has been an exciting new synergism evolving between the two otherwise disjointed markets. In other words, both the commercial and space industries are enabled by advances in low-power, highly integrated, miniaturized (low-volume), lightweight, and reliable real-time embedded systems. Recent announcements by commercial semiconductor manufacturers to introduce Silicon On Insulator (SOI) technology into their commercial product lines is driven by the need for high-performance low-power integrated devices. Moreover, SOI has been the technology of choice for many space semiconductor manufacturers where radiation requirements are critical. This technology has inherent radiation latch-up immunity built into the process, which makes it very attractive to space applications. In this paper, we describe the advanced microelectronics and avionics technologies under development by NASA's Deep Space Systems Technology Program (also known as X2000). These technologies are of significant benefit to both the commercial satellite as well as the deep-space and Earth orbiting science missions. Such a synergistic technology roadmap may truly enable quick turn-around, low-cost, and highly capable small satellite systems for both Earth observation as well as deep-space missions.

  5. Manufacturing Demonstration Facility: Roll-to-Roll Processing

    Energy Technology Data Exchange (ETDEWEB)

    Datskos, Panos G [ORNL; Joshi, Pooran C [ORNL; List III, Frederick Alyious [ORNL; Duty, Chad E [ORNL; Armstrong, Beth L [ORNL; Ivanov, Ilia N [ORNL; Jacobs, Christopher B [ORNL; Graham, David E [ORNL; Moon, Ji Won [ORNL

    2015-08-01

    This Manufacturing Demonstration Facility (MDF)e roll-to-roll processing effort described in this report provided an excellent opportunity to investigate a number of advanced manufacturing approaches to achieve a path for low cost devices and sensors. Critical to this effort is the ability to deposit thin films at low temperatures using nanomaterials derived from nanofermentation. The overarching goal of this project was to develop roll-to-roll manufacturing processes of thin film deposition on low-cost flexible substrates for electronics and sensor applications. This project utilized ORNL s unique Pulse Thermal Processing (PTP) technologies coupled with non-vacuum low temperature deposition techniques, ORNL s clean room facility, slot dye coating, drop casting, spin coating, screen printing and several other equipment including a Dimatix ink jet printer and a large-scale Kyocera ink jet printer. The roll-to-roll processing project had three main tasks: 1) develop and demonstrate zinc-Zn based opto-electronic sensors using low cost nanoparticulate structures manufactured in a related MDF Project using nanofermentation techniques, 2) evaluate the use of silver based conductive inks developed by project partner NovaCentrix for electronic device fabrication, and 3) demonstrate a suite of low cost printed sensors developed using non-vacuum deposition techniques which involved the integration of metal and semiconductor layers to establish a diverse sensor platform technology.

  6. Use of radioactive tracers in the semiconductor industry

    International Nuclear Information System (INIS)

    Akerman, Karol

    1975-01-01

    Manufacture of the semiconductor materials comprises production and purification of the raw materials (GeC14 or SiHC13), purification of the elemental semiconductors by metallurgical methods (including zone melting), production and doping of single crystals, dividing the crystals into slices of suitable size, formation of p-n junctions and fabrication of the finished semiconductor devices. In the sequence of operations, the behavior of very small quantities of an element must be monitored, and radioactive tracers are often used to solve these problems. Examples are given of the use of radioactive tracers in the semiconductor industry

  7. [Application of next-generation semiconductor sequencing technologies in genetic diagnosis of inherited cardiomyopathies].

    Science.gov (United States)

    Zhao, Yue; Zhang, Hong; Xia, Xue-shan

    2015-07-01

    Inherited cardiomyopathy is the most common hereditary cardiac disease. It also causes a significant proportion of sudden cardiac deaths in young adults and athletes. So far, approximately one hundred genes have been reported to be involved in cardiomyopathies through different mechanisms. Therefore, the identification of the genetic basis and disease mechanisms of cardiomyopathies are important for establishing a clinical diagnosis and genetic testing. Next-generation semiconductor sequencing (NGSS) technology platform is a high-throughput sequencer capable of analyzing clinically derived genomes with high productivity, sensitivity and specificity. It was launched in 2010 by Life Technologies of USA, and it is based on a high density semiconductor chip, which was covered with tens of thousands of wells. NGSS has been successfully used in candidate gene mutation screening to identify hereditary disease. In this review, we summarize these genetic variations, challenge and application of NGSS in inherited cardiomyopathy, and its value in disease diagnosis, prevention and treatment.

  8. AIM Photonics: Tomorrow’s Technology at the Speed of Light

    Science.gov (United States)

    2016-09-01

    information ) for automotive, body sensing and holographic user interfaces are all disruptive photonic technologies that should change how people com...manufacturing technologies . The semiconductor industry has enjoyed decades of constantly increasing integration and miniaturization, often referred...contribute to productivity. These include the formation of “fabless” ( outsourced fabrication) companies, dedicated foundries, independent electronic

  9. Production planning and control for semiconductor wafer fabrication facilities modeling, analysis, and systems

    CERN Document Server

    Mönch, Lars; Mason, Scott J

    2012-01-01

    Over the last fifty-plus years, the increased complexity and speed of integrated circuits have radically changed our world. Today, semiconductor manufacturing is perhaps the most important segment of the global manufacturing sector. As the semiconductor industry has become more competitive, improving planning and control has become a key factor for business success. This book is devoted to production planning and control problems in semiconductor wafer fabrication facilities. It is the first book that takes a comprehensive look at the role of modeling, analysis, and related information systems

  10. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  11. Risk for work-related fatigue among the employees on semiconductor manufacturing lines.

    Science.gov (United States)

    Lin, Yu-Cheng; Chen, Yen-Cheng; Hsieh, Hui-I; Chen, Pau-Chung

    2015-03-01

    To examine the potential risk factors for work-related fatigue (WRF) among workers in modern industries, the authors analyzed the records of need-for-recovery questionnaires and health checkup results for 1545 employees. Compared with regular daytime workers, and after adjusting for confounders, the workers adapting to day-and-night rotating shift work (RSW) had a 4.0-fold (95% confidence interval [CI] = 2.7-5.9) increased risk for WRF, higher than the 2.2-fold risk (95% CI = 1.5-3.3) for persistent shift workers. Based on highest education level, the male employees with university degrees had the highest adjusted odds ratio (a-OR) 2.8 (95% CI = 1.0-7.8) for complaining of WRF versus compulsory education group. For female workers, currently married/cohabiting status was inversely associated with WRF (a-OR = 0.5; 95% CI = 0.2-0.9), and child-rearing responsibility moderately increased WRF risk (a-OR = 1.9; 95% CI = 1.0-3.7). Day-and-night RSW and the adaptation, educational levels of males, and domestic factors for females contributed to WRF among semiconductor manufacturing employees. © 2013 APJPH.

  12. Manufacturing technology development for vacuum vessel and plasma facing components

    International Nuclear Information System (INIS)

    Laitinen, Arttu; Liimatainen, Jari; Hallila, Pentti

    2005-01-01

    Vacuum vessel and plasma facing components of the ITER construction including shield modules and primary first wall panels have great impact on the production costs and reliability of the installation. From the manufacturing technology point of view, accuracy of shape, properties of the various austenitic stainless steel/austenitic stainless steel interfaces or CuCrZr/austenitic stainless steel interfaces as well as those of the base materials are crucial for technical reliability of the construction. The current approach in plasma facing components has been utilisation of solid-HIP technology and solid-powder-HIP technology. Due to the large size of especially shield modules shape, control of the internal cavities and cooling channels is extremely demanding. This requires strict control of the raw materials and manufacturing parameters

  13. Emerging Materials Technologies That Matter to Manufacturers

    Science.gov (United States)

    Misra, Ajay K.

    2015-01-01

    A brief overview of emerging materials technologies. Exploring the weight reduction benefit of replacing Carbon Fiber with Carbon Nanotube (CNT) in Polymer Composites. Review of the benign purification method developed for CNT sheets. The future of manufacturing will include the integration of computational material design and big data analytics, along with Nanomaterials as building blocks.

  14. 5th International Conference on Advanced Manufacturing Engineering and Technologies

    CERN Document Server

    Jakovljevic, Zivana; NEWTECH2017

    2017-01-01

    This book presents the proceedings from the 5th NEWTECH conference (Belgrade, Serbia, 5–9 June 2017), the latest in a series of high-level conferences that bring together experts from academia and industry in order to exchange knowledge, ideas, experiences, research results, and information in the field of manufacturing. The range of topics addressed is wide, including, for example, machine tool research and in-machine measurements, progress in CAD/CAM technologies, rapid prototyping and reverse engineering, nanomanufacturing, advanced material processing, functional and protective surfaces, and cyber-physical and reconfigurable manufacturing systems. The book will benefit readers by providing updates on key issues and recent progress in manufacturing engineering and technologies and will aid the transfer of valuable knowledge to the next generation of academics and practitioners. It will appeal to all who work or conduct research in this rapidly evolving field.

  15. Development of Self-Luminous Glass Tube (SLGT) Manufacturing Technology

    International Nuclear Information System (INIS)

    Kim, Kwang Sin; Kim, Kyeong Sook; Chung, Eun Su; Song, Kyu Min; Lee, Sook Kyung; Son, Soon Hwan

    2005-01-01

    Tritium produced from the Wolsong Tritium Removal Facility (WTRF) will be a radioactive waste when it is stored in the vault inside the WTRF, which requires maintenance cost and is a troublesome waste such that it cannot be sent to the radioactive waste disposal facility. However, when tritium is utilized it can be valuable resource for many applications. As a starting point to utilize tritium we tried to domesticate the selfluminous glass tube (SLGT) manufacturing technology. As a hydrogen isotope, tritium has similar chemical properties to hydrogen but slightly different physical properties. Due to its unstable nature, tritium emits beta rays, which are streams of electrons, with 0∼18.6 keV (5.7 keV in average) energies and 12.323 years of a half-life. The energy level of tritium is relatively low and the biological effects of tritium to the human body are not significant, which makes tritium a popular radioactive isotope for use in industries. The electrons in a beta ray collide with phosphor to produce light so that tritium sealed in phosphor coated glass tubes can make the tubes glow without an external supply of energy. To manufacture these SLGTs, 4 core technologies are needed: coating technology, tritium injection technology, laser sealing/cutting technology and tritium handling technology

  16. Manufacture of ribbon and solar cells of material of semiconductor grade

    International Nuclear Information System (INIS)

    1980-01-01

    A method is described of producing ribbon-like substantially monocrystalline bodies of silicon or other materials of semiconductor grade suitable for use in solar cells or other semiconductor devices. A tube of the material is made and a photovoltaic junction formed in it. The tube is then divided lengthwise into a number of ribbon-like bodies. The photovoltaic junction can be formed either by diffusion or by ion-implantation. (U.K.)

  17. Benefits from the U.S. photovoltaic manufacturing technology project

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, R.L.; Witt, C.E.; Thomas, H.P. [National Renewable Energy Lab., Golden, CO (United States)] [and others

    1996-05-01

    This paper examines the goals of the Photovoltaic Manufacturing Technology (PVMaT) project and its achievements in recapturing the investment by the photovoltaic (PV) industry and the public in this research. The PVMaT project was initiated in 1990 with the goal of enhancing the world-wide competitiveness of the U.S. PV industry. Based on the authors analysis, PVMaT has contributed to PV module manufacturing process improvements, increased product value, and reductions in the price of today`s PV products. An evaluation of success in this project was conducted using data collected from 10 of the PVMaT industrial participants in late fiscal year (FY) 1995. These data indicate a reduction of 56% in the weighted average module manufacturing costs from 1992 to 1996. During this same period, U.S. module manufacturing capacity has increased by more than a factor of 6. Finally, the analysis indicates that both the public and the manufacturers will recapture the funds expended in R&D manufacturing improvements well before the year 2000.

  18. Overview of the Photovoltaic Manufacturing Technology (PVMaT) project

    International Nuclear Information System (INIS)

    Witt, C.E.; Mitchell, R.L.; Mooney, G.D.

    1993-08-01

    The Photovoltaic Manufacturing Technology (PVMaT) project is a historic government/industry photovoltaic (PV) manufacturing R ampersand D partnership composed of joint efforts between the federal government (through the US Department of Energy) and members of the US PV industry. The project's ultimate goal is to ensure that the US industry retains and extends its world leadership role in the manufacture and commercial development of PV components and systems. PVMaT is designed to do this by helping the US PV industry improve manufacturing processes, accelerate manufacturing cost reductions for PV modules, improve commercial product performance, and lay the groundwork for a substantial scale-up of US-based PV manufacturing capacities. Phase 1 of the project, the problem identification phase, was completed in early 1991. Phase 2, the problem solution phase, which addresses process-specific problems of specific manufacturers, is now underway with an expected duration of 5 years. Phase 3 addresses R ampersand D problems that are relatively common to a number of PV companies or the PV industry as a whole. These ''generic'' problem areas are being addressed through a teamed research approach

  19. Additive manufacturing technology in spare parts supply chain

    DEFF Research Database (Denmark)

    Li, Yao; Jia, Guozhu; Yang, Cheng

    2017-01-01

    Additive Manufacturing (AM) technology has the potential to significantly improve supply chain dynamics. The purpose of this paper is to investigate the impact of AM on spare parts supply chain. Three supply chain scenarios are investigated in this paper, namely conventional supply chain...

  20. Additive manufacturing of metals the technology, materials, design and production

    CERN Document Server

    Yang, Li; Baughman, Brian; Godfrey, Donald; Medina, Francisco; Menon, Mamballykalathil; Wiener, Soeren

    2017-01-01

    This book offers a unique guide to the three-dimensional (3D) printing of metals. It covers various aspects of additive, subtractive, and joining processes used to form three-dimensional parts with applications ranging from prototyping to production. Examining a variety of manufacturing technologies and their ability to produce both prototypes and functional production-quality parts, the individual chapters address metal components and discuss some of the important research challenges associated with the use of these technologies. As well as exploring the latest technologies currently under development, the book features unique sections on electron beam melting technology, material lifting, and the importance this science has in the engineering context. Presenting unique real-life case studies from industry, this book is also the first to offer the perspective of engineers who work in the field of aerospace and transportation systems, and who design components and manufacturing networks. Written by the leadin...

  1. An Introduction to Intelligent Processing Programs Developed by the Air Force Manufacturing Technology Directorate

    Science.gov (United States)

    Sampson, Paul G.; Sny, Linda C.

    1992-01-01

    The Air Force has numerous on-going manufacturing and integration development programs (machine tools, composites, metals, assembly, and electronics) which are instrumental in improving productivity in the aerospace industry, but more importantly, have identified strategies and technologies required for the integration of advanced processing equipment. An introduction to four current Air Force Manufacturing Technology Directorate (ManTech) manufacturing areas is provided. Research is being carried out in the following areas: (1) machining initiatives for aerospace subcontractors which provide for advanced technology and innovative manufacturing strategies to increase the capabilities of small shops; (2) innovative approaches to advance machine tool products and manufacturing processes; (3) innovative approaches to advance sensors for process control in machine tools; and (4) efforts currently underway to develop, with the support of industry, the Next Generation Workstation/Machine Controller (Low-End Controller Task).

  2. Using Innovative Technologies for Manufacturing Rocket Engine Hardware

    Science.gov (United States)

    Betts, E. M.; Eddleman, D. E.; Reynolds, D. C.; Hardin, N. A.

    2011-01-01

    Many of the manufacturing techniques that are currently used for rocket engine component production are traditional methods that have been proven through years of experience and historical precedence. As the United States enters into the next space age where new launch vehicles are being designed and propulsion systems are being improved upon, it is sometimes necessary to adopt innovative techniques for manufacturing hardware. With a heavy emphasis on cost reduction and improvements in manufacturing time, rapid manufacturing techniques such as Direct Metal Laser Sintering (DMLS) are being adopted and evaluated for their use on NASA s Space Launch System (SLS) upper stage engine, J-2X, with hopes of employing this technology on a wide variety of future projects. DMLS has the potential to significantly reduce the processing time and cost of engine hardware, while achieving desirable material properties by using a layered powder metal manufacturing process in order to produce complex part geometries. Marshall Space Flight Center (MSFC) has recently hot-fire tested a J-2X gas generator (GG) discharge duct that was manufactured using DMLS. The duct was inspected and proof tested prior to the hot-fire test. Using a workhorse gas generator (WHGG) test fixture at MSFC's East Test Area, the duct was subjected to extreme J-2X hot gas environments during 7 tests for a total of 537 seconds of hot-fire time. The duct underwent extensive post-test evaluation and showed no signs of degradation. DMLS manufacturing has proven to be a viable option for manufacturing rocket engine hardware, and further development and use of this manufacturing method is recommended.

  3. Revenue sharing in semiconductor industry supply chain ...

    Indian Academy of Sciences (India)

    to reduce demand opportunities, inventory needs and production efficiencies, in addition to reducing .... design based on coalition structures in semiconductor supply chain. ..... supplier/contract manufacturer for a product/component category.

  4. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  5. The Air Force Manufacturing Technology (MANTECH): Technology transfer methodology as exemplified by the radar transmit/receive module program

    Science.gov (United States)

    Houpt, Tracy; Ridgely, Margaret

    1991-01-01

    The Air Force Manufacturing Technology program is involved with the improvement of radar transmit/receive modules for use in active phased array radars for advanced fighter aircraft. Improvements in all areas of manufacture and test of these modules resulting in order of magnitude improvements in the cost of and the rate of production are addressed, as well as the ongoing transfer of this technology to the Navy.

  6. Development of High Temperature Capacitor Technology and Manufacturing Capability

    Energy Technology Data Exchange (ETDEWEB)

    None, None

    2011-05-15

    The goal of the Development of High Temperature Capacitor Technology and Manufacturing Capability program was to mature a production-ready supply chain for reliable 250°C FPE (fluorinated polyester) film capacitors by 2011. These high-temperature film capacitors enable both the down hole drilling and aerospace industries by enabling a variety of benefits including: - Deeper oil exploration in higher temperature and pressure environments - Enabling power electronic and control equipment to operate in higher temperature environments - Enabling reduced cooling requirements of electronics - Increasing reliability and life of capacitors operating below rated temperature - Enabling capacitors to handle higher electrical losses without overheating. The key challenges to bringing the FPE film capacitors to market have been manufacturing challenges including: - FPE Film is difficult to handle and wind, resulting in poor yields - Voltage breakdown strength decreases when the film is wound into capacitors (~70% decrease) - Encapsulation technologies must be improved to enable higher perature operation - Manufacturing and test cycle time is very long As a direct result of this program most of the manufacturing challenges have been met. The FPE film production metalization and winding yield has increased to over 82% from 70%, and the voltage breakdown strength of the wound capacitors has increased 270% to 189 V/μm. The high temperature packaging concepts are showing significant progress including promising results for lead attachments and hermetic packages at 200°C and non-hermetic packages at 250°C. Manufacturing and test cycle time will decrease as the market for FPE capacitors develops.

  7. National Survey of Computer Aided Manufacturing in Industrial Technology Programs.

    Science.gov (United States)

    Heidari, Farzin

    The current status of computer-aided manufacturing in the 4-year industrial technology programs in the United States was studied. All industrial technology department chairs were mailed a questionnaire divided into program information, equipment information, and general comments sections. The questionnaire was designed to determine the subjects…

  8. Coherent diffractive imaging methods for semiconductor manufacturing

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajeev, Rajendran; Fernandez, Sara; Ekinci, Yasin

    2017-12-01

    The paradigm shift of the semiconductor industry moving from deep ultraviolet to extreme ultraviolet lithography (EUVL) brought about new challenges in the fabrication of illumination and projection optics, which constitute one of the core sources of cost of ownership for many of the metrology tools needed in the lithography process. For this reason, lensless imaging techniques based on coherent diffractive imaging started to raise interest in the EUVL community. This paper presents an overview of currently on-going research endeavors that use a number of methods based on lensless imaging with coherent light.

  9. The Influence Of Globalisation And Modern Technological Changes On Manufacturing Industries In Libya

    Directory of Open Access Journals (Sweden)

    Nuri M. Triki

    2017-05-01

    Full Text Available Globalisation and new technologies are having an intense impact on the manufacturing industries. This is affecting business global and might demand new strategies and policies for manufacturing companies. Libya like several other countries in the Middle East and also is among the few developing African economies has been facing problems related to its productivity in industrial sector. Manufacturing industries in Libya was reared to offer better products and services as part of the government plans to reconstruct their economy and improve its industrial companies. So as to face these problems manufacturing sectors need to increase their production and they also require a clear strategy and policies towards an efficient supply chain about modern technology. A new technology is one of the improvement initiatives that can be used to enhance industrial performance competitiveness and decrease its costs by eliminating of waste and increasing added value activities. The significance of new technology and modern systems in the industrial world has enhanced in this decade because of the benefits that they bring to the factories and companies. The aims of this research is to investigate new technology strategies that will enable the Libyan manufacturing industries to shift towards an increase production and reduce its costs as well as to quantify the modern technological changes and the role of globalisation in addition to declaration of its effect on the growth additionally development of the Libyan industrial sector and competitiveness lastly moreover this survey make a recommendations to establish systems that improve the emergent needs of the national industrial sector.

  10. Agile manufacturing and technology transfer to industrialising countries

    NARCIS (Netherlands)

    Steenhuis, H.J.; de Boer, S.J.

    2003-01-01

    One of the requirements of agile manufacturing, the necessity to gain flexibility, can be reached by using a supplier network. A possible way to develop a supplier network is by subcontracting to parties in industrialising countries. In most cases, it is necessary to transfer technology. The

  11. Overview of NASA/OAST efforts related to manufacturing technology

    Science.gov (United States)

    Saunders, N. T.

    1976-01-01

    An overview of some of NASA's current efforts related to manufacturing technology and some possible directions for the future are presented. The topics discussed are: computer-aided design, composite structures, and turbine engine components.

  12. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  13. Advanced manufacturing technology effectiveness: A review of literature and some issues

    Science.gov (United States)

    Goyal, Sanjeev; Grover, Sandeep

    2012-09-01

    Advanced manufacturing technology (AMT) provides advantages to manufacturing managers in terms of flexibility, quality, reduced delivery times, and global competitiveness. Although a large number of publications had presented the importance of this technology, only a few had delved into related literature review. Considering the importance of this technology and the recent contributions by various authors, the present paper conducts a more comprehensive review. Literature was reviewed in a way that will help researchers, academicians, and practitioners to take a closer look at the implementation, evaluation, and justification of the AMT. The authors reviewed various papers, proposed a different classification scheme, and identified certain gaps that will provide hints for further research in AMT management.

  14. Nanostructured Semiconductor Materials for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Carmen Cavallo

    2017-01-01

    Full Text Available Since O’Regan and Grätzel’s first report in 1991, dye-sensitized solar cells (DSSCs appeared immediately as a promising low-cost photovoltaic technology. In fact, though being far less efficient than conventional silicon-based photovoltaics (being the maximum, lab scale prototype reported efficiency around 13%, the simple design of the device and the absence of the strict and expensive manufacturing processes needed for conventional photovoltaics make them attractive in small-power applications especially in low-light conditions, where they outperform their silicon counterparts. Nanomaterials are at the very heart of DSSC, as the success of its design is due to the use of nanostructures at both the anode and the cathode. In this review, we present the state of the art for both n-type and p-type semiconductors used in the photoelectrodes of DSSCs, showing the evolution of the materials during the 25 years of history of this kind of devices. In the case of p-type semiconductors, also some other energy conversion applications are touched upon.

  15. A manufacturing method for multi-layer polysilicon surface-micromachining technology

    Energy Technology Data Exchange (ETDEWEB)

    Sniegowski, J.J.; Rodgers, M.S.

    1998-01-01

    An advanced manufacturing technology which provides multi-layered polysilicon surface micromachining technology for advanced weapon systems is presented. Specifically, the addition of another design layer to a 4 levels process to create a 5 levels process allows consideration of fundamentally new architecture in designs for weapon advanced surety components.

  16. Towards a Lifecycle Information Framework and Technology in Manufacturing.

    Science.gov (United States)

    Hedberg, Thomas; Feeney, Allison Barnard; Helu, Moneer; Camelio, Jaime A

    2017-06-01

    Industry has been chasing the dream of integrating and linking data across the product lifecycle and enterprises for decades. However, industry has been challenged by the fact that the context in which data is used varies based on the function / role in the product lifecycle that is interacting with the data. Holistically, the data across the product lifecycle must be considered an unstructured data-set because multiple data repositories and domain-specific schema exist in each phase of the lifecycle. This paper explores a concept called the Lifecycle Information Framework and Technology (LIFT). LIFT is a conceptual framework for lifecycle information management and the integration of emerging and existing technologies, which together form the basis of a research agenda for dynamic information modeling in support of digital-data curation and reuse in manufacturing. This paper provides a discussion of the existing technologies and activities that the LIFT concept leverages. Also, the paper describes the motivation for applying such work to the domain of manufacturing. Then, the LIFT concept is discussed in detail, while underlying technologies are further examined and a use case is detailed. Lastly, potential impacts are explored.

  17. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  18. Fundamentals of semiconductor lasers

    CERN Document Server

    Numai, Takahiro

    2015-01-01

    This book explains physics under the operating principles of semiconductor lasers in detail based on the experience of the author, dealing with the first manufacturing of phase-shifted DFB-LDs and recent research on transverse modes.   The book also bridges a wide gap between journal papers and textbooks, requiring only an undergraduate-level knowledge of electromagnetism and quantum mechanics, and helps readers to understand journal papers where definitions of some technical terms vary, depending on the paper. Two definitions of the photon density in the rate equations and two definitions of the phase-shift in the phase-shifted DFB-LD are explained, and differences in the calculated results are indicated, depending on the definitions.    Readers can understand the physics of semiconductor lasers and analytical tools for Fabry-Perot LDs, DFB-LDs, and VCSELs and will be stimulated to develop semiconductor lasers themselves.

  19. Manufacturing technologies for nanocomposite ceramic structural materials and coatings

    Energy Technology Data Exchange (ETDEWEB)

    Gadow, R. [Universitaet Stuttgart, Institut fuer Fertigungstechnik keramischer Bauteile, D-70569 Stuttgart, Allmandring 7b (Germany)], E-mail: rainer.gadow@ifkb.uni-stuttgart.de; Kern, F.; Killinger, A. [Universitaet Stuttgart, Institut fuer Fertigungstechnik keramischer Bauteile, D-70569 Stuttgart, Allmandring 7b (Germany)

    2008-02-25

    The new material class of ceramic nanocomposites, containing at least one phase in nanometric dimension, has achieved special interest in previous years. While earlier research was focused on materials science and microstructural details in laboratory scale the subject of developing suitable manufacturing technologies in technical scale is the challenge for the manufacturing engineer. The same high-performance features which make the nanocomposite materials so interesting in their properties are absolutely detrimental if it comes to production of these materials. Extreme hardness, toughness and abrasion resistance make the state of the art cutting-and-machining operations extremely cost intensive so that, from a manufacturing point of view, true near-net-shape manufacturing is mandatory to accomplish reasonable cost targets. Ceramic feedstocks with both, high solid content to reduce shrinkage and warping and stable processing conditions are required to accomplish this aim of near-net-shape processing. Stable and reproducible processing conditions, e.g. favourable rheological properties for injection moulding are essentials for the manufacturing engineer. These prerequisites of ceramic production technologies cannot be reached with pure nanopowders in the 10-20 nm range but materials with a micro-nano architecture can fulfill these requirements, using a mixture of a submicron-sized matrix in the 100-200 nm range and smaller nanosized additives in <20% content which contribute the desired functionality. By using these micro-nanocomposites near-net-shape ceramic forming technologies such as injection moulding, gel casting and slip casting have been developed which lead to high-performance materials at affordable production cost. Advanced surface technologies include nanoceramic coatings made by thermokinetic deposition processes. Modern ceramic processing, i.e. spray drying leads to fine granulated nanopowders with appropriate flowability for subsequent APS plasma or

  20. Radiation effects in semiconductors

    CERN Document Server

    2011-01-01

    There is a need to understand and combat potential radiation damage problems in semiconductor devices and circuits. Written by international experts, this book explains the effects of radiation on semiconductor devices, radiation detectors, and electronic devices and components. These contributors explore emerging applications, detector technologies, circuit design techniques, new materials, and innovative system approaches. The text focuses on how the technology is being used rather than the mathematical foundations behind it. It covers CMOS radiation-tolerant circuit implementations, CMOS pr

  1. Organic semiconductors in sensor applications

    CERN Document Server

    Malliaras, George; Owens, Róisín

    2008-01-01

    Organic semiconductors offer unique characteristics such as tunability of electronic properties via chemical synthesis, compatibility with mechanically flexible substrates, low-cost manufacturing, and facile integration with chemical and biological functionalities. These characteristics have prompted the application of organic semiconductors and their devices in physical, chemical, and biological sensors. This book covers this rapidly emerging field by discussing both optical and electrical sensor concepts. Novel transducers based on organic light-emitting diodes and organic thin-film transistors, as well as systems-on-a-chip architectures are presented. Functionalization techniques to enhance specificity are outlined, and models for the sensor response are described.

  2. The Environmental Impact of Advanced Manufacturing Technologies: Examples from Hungary

    OpenAIRE

    Andrea Szalavetz

    2017-01-01

    The purpose of the paper is to demonstrate the beneficial impact of advanced manufacturing technologies (AMT) on firms’ environmental performance. Drawing on interviews conducted with 16 Hungarian manufacturing subsidiaries on their experience with AMT, we find three functional areas, where industry 4.0 solutions can not only enhance operational excellence and cost-efficiency, but they can also improve eco-efficiency, but they can also improve eco-efficiency, namely in the f...

  3. Cranioplasty prosthesis manufacturing based on reverse engineering technology

    Science.gov (United States)

    Chrzan, Robert; Urbanik, Andrzej; Karbowski, Krzysztof; Moskała, Marek; Polak, Jarosław; Pyrich, Marek

    2012-01-01

    Summary Background Most patients with large focal skull bone loss after craniectomy are referred for cranioplasty. Reverse engineering is a technology which creates a computer-aided design (CAD) model of a real structure. Rapid prototyping is a technology which produces physical objects from virtual CAD models. The aim of this study was to assess the clinical usefulness of these technologies in cranioplasty prosthesis manufacturing. Material/Methods CT was performed on 19 patients with focal skull bone loss after craniectomy, using a dedicated protocol. A material model of skull deficit was produced using computer numerical control (CNC) milling, and individually pre-operatively adjusted polypropylene-polyester prosthesis was prepared. In a control group of 20 patients a prosthesis was manually adjusted to each patient by a neurosurgeon during surgery, without using CT-based reverse engineering/rapid prototyping. In each case, the prosthesis was implanted into the patient. The mean operating times in both groups were compared. Results In the group of patients with reverse engineering/rapid prototyping-based cranioplasty, the mean operating time was shorter (120.3 min) compared to that in the control group (136.5 min). The neurosurgeons found the new technology particularly useful in more complicated bone deficits with different curvatures in various planes. Conclusions Reverse engineering and rapid prototyping may reduce the time needed for cranioplasty neurosurgery and improve the prosthesis fitting. Such technologies may utilize data obtained by commonly used spiral CT scanners. The manufacturing of individually adjusted prostheses should be commonly used in patients planned for cranioplasty with synthetic material. PMID:22207125

  4. Development of granular powder manufacturing technology by spray pyrolysis

    International Nuclear Information System (INIS)

    Katoh, Yoshiyuki; Kawase, Keiichi; Takahashi, Yoshiharu; Todokoro, Akio

    1996-01-01

    For shortening of mixed-oxide (MOX) fuel manufacturing process and improvement in treatment of MOX-powder, we have been developing the granular powder production technology. Since the granular powders have excellent fluidity owing to the spherical shape, there is the possibility of modifying scattering and adcering of the powder in the process equipment. In this paper, spray pyrolysis process in adopted as the process of manufacturing the granular powders and the basic feasibility study has been carried out. The experimental results show that the manufactured granular powders have excellent fluidity and the diameter of the powders is controllable. Furthermore, high density pellets are formed by sintering the powders. Thus, it is clarified that this process is promising for the actual MOX fuel fabrication. (author)

  5. Automatic Semiconductor Wafer Image Segmentation for Defect Detection Using Multilevel Thresholding

    Directory of Open Access Journals (Sweden)

    Saad N.H.

    2016-01-01

    Full Text Available Quality control is one of important process in semiconductor manufacturing. A lot of issues trying to be solved in semiconductor manufacturing industry regarding the rate of production with respect to time. In most semiconductor assemblies, a lot of wafers from various processes in semiconductor wafer manufacturing need to be inspected manually using human experts and this process required full concentration of the operators. This human inspection procedure, however, is time consuming and highly subjective. In order to overcome this problem, implementation of machine vision will be the best solution. This paper presents automatic defect segmentation of semiconductor wafer image based on multilevel thresholding algorithm which can be further adopted in machine vision system. In this work, the defect image which is in RGB image at first is converted to the gray scale image. Median filtering then is implemented to enhance the gray scale image. Then the modified multilevel thresholding algorithm is performed to the enhanced image. The algorithm worked in three main stages which are determination of the peak location of the histogram, segmentation the histogram between the peak and determination of first global minimum of histogram that correspond to the threshold value of the image. The proposed approach is being evaluated using defected wafer images. The experimental results shown that it can be used to segment the defect correctly and outperformed other thresholding technique such as Otsu and iterative thresholding.

  6. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  7. Study on manufacturing technology of fuel guide tube using HANA alloys

    International Nuclear Information System (INIS)

    Kim, Hyungil; Jung, Yangil; Park, Dongjun; Park, Jeongyong; Kim, Ilhyun; Choi, Byungkwon; Jeong, Yonghwan; Park, Sangyoon

    2013-04-01

    This research was focused on the study for the manufacturing technology of HANA alloys to crease the corrosion resistance of 30% as well as the to improve the strength of 10% when compared to the commercial zirconium alloys. The new manufacturing concept having higher corrosion resistance and strength than commercial alloy performance can be obtained in this research. This result was transferred to the KNF and, that will be commercialized. This research result can be summarized like this; Ο Parameter study to increase formability of HANA alloy tube - Study on alloy element and heat-treatment effect - Study on texture development mechanism - Study on final annealing effect Ο Out-of-pile performance evaluation of HANA alloy tube - Corrosion performance evaluation of HANA alloy manufactured at KNF - Mechanical performance evaluation of HANA alloy manufactured at KNF - Recrystallization behavior evaluation of HANA alloy manufactured at KNF - Texture characterization of HANA alloy manufactured at KNF - Microstructure characterization of HANA alloy manufactured at KNF Ο Manufacturing guideline setup to increase formability of HANA alloy tube - Manufacturing guideline setup to decrease surface defect - Manufacturing guideline setup to increase strength and corrosion resistance - Manufacturing guideline setup to control texture

  8. Benchmarking, Research, Development, and Support for ORNL Automated Image and Signature Retrieval (AIR/ASR) Technologies

    Energy Technology Data Exchange (ETDEWEB)

    Tobin, K.W.

    2004-06-01

    This report describes the results of a Cooperative Research and Development Agreement (CRADA) with Applied Materials, Inc. (AMAT) of Santa Clara, California. This project encompassed the continued development and integration of the ORNL Automated Image Retrieval (AIR) technology, and an extension of the technology denoted Automated Signature Retrieval (ASR), and other related technologies with the Defect Source Identification (DSI) software system that was under development by AMAT at the time this work was performed. In the semiconductor manufacturing environment, defect imagery is used to diagnose problems in the manufacturing line, train yield management engineers, and examine historical data for trends. Image management in semiconductor data systems is a growing cause of concern in the industry as fabricators are now collecting up to 20,000 images each week. In response to this concern, researchers at the Oak Ridge National Laboratory (ORNL) developed a semiconductor-specific content-based image retrieval method and system, also known as AIR. The system uses an image-based query-by-example method to locate and retrieve similar imagery from a database of digital imagery using visual image characteristics. The query method is based on a unique architecture that takes advantage of the statistical, morphological, and structural characteristics of image data, generated by inspection equipment in industrial applications. The system improves the manufacturing process by allowing rapid access to historical records of similar events so that errant process equipment can be isolated and corrective actions can be quickly taken to improve yield. The combined ORNL and AMAT technology is referred to hereafter as DSI-AIR and DSI-ASR.

  9. Technology and Manufacturing Readiness of Early Market Motive and Non-Motive Hydrogen Storage Technologies for Fuel Cell Applications

    Energy Technology Data Exchange (ETDEWEB)

    Ronnebro, Ewa

    2012-06-16

    PNNL’s objective in this report is to provide DOE with a technology and manufacturing readiness assessment to identify hydrogen storage technologies’ maturity levels for early market motive and non-motive applications and to provide a path forward toward commercialization. PNNL’s Technology Readiness Assessment (TRA) is based on a combination of Technology Readiness Level (TRL) and Manufacturing Readiness Level (MRL) designations that enable evaluation of hydrogen storage technologies in varying levels of development. This approach provides a logical methodology and roadmap to enable the identification of hydrogen storage technologies, their advantages/disadvantages, gaps and R&D needs on an unbiased and transparent scale that is easily communicated to interagency partners. The TRA report documents the process used to conduct the TRA, reports the TRL and MRL for each assessed technology and provides recommendations based on the findings.

  10. Fiscal 1999 research and development of technologies for practical application of photovoltaic power generation systems. Development of ultrahigh-efficiency crystalline compound solar cell manufacturing technology (Survey and research on practical application - Volume 1); 1999 nendo taiyoko hatsauden system jitsuyoka gijutsu kaihatsu seika hokokusho. Chokokoritsu kessho kagobutsu taiyo denchi no seizo gijutsu kaihatsu (jitsuyoka kaiseki ni kansuru chosa kenkyu - 1)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    A 'Sub-committee for investigation of crystalline compound semiconductor solar cells' was established with the participation of experts from the industrial, bureaucratic, and academic circles to support the manufacture of ultrahigh-efficiency crystalline compound solar cells, and a survey was conducted about technical trends relating to III-V group compound solar cells. In the study of the trends and tasks of the state of the art technology, it is stated that the III-V group compound semiconductor multi-junction solar cell was steadily improving in efficiency, that the InGaP/GaAs 2-junction cell on a Ge substrate and InGaP/GaAs/Ge 3-junction cell in particular were moving toward mass production, and that the target for the 4-junction cell to achieve was 40% or higher in efficiency. For cost reduction, investigations were made into the heteroepitaxial technology, dimensional enlargement, mass production, raw material cost reduction, feasibility of the polycrystalline thin-film technology, light concentration, etc. For efficiency improvement, boundary layer control, structure designs, etc., were studied. Investigations were also conducted into nitride semiconductors, superlattice construction, etc., which related to new materials for thin films. TPV (thermophotovoltaic) power, etc., were reviewed for their practical application. (NEDO)

  11. The Effects of Interdependence and Cooperative Behaviors on Buyer’s Satisfaction in the Semiconductor Component Supply Chain

    Directory of Open Access Journals (Sweden)

    Fan-Yun Pai

    2015-12-01

    Full Text Available The semiconductor industry is characterized by extreme competition in price and product features. Firms need to acquire or exchange resources with their supplier or buyer partners to stay at the leading edge of technology. Cooperation between buyers and suppliers is important and power is the mechanism that can explain the cooperative behaviors. This study aims to investigate how the power structure between the buyer and supplier influences the extent of suppliers’ cooperative behaviors, and the effects of these on buyer satisfaction with the buyer-supplier relationship. Opinions from firms in semiconductor manufacturing supply chain were used to investigate the proposed model. It is found that mutual interdependence between a supplier and its buyer can enhance cooperative behaviors and power asymmetry hurt firms’ investment in cooperative behaviors. Suggestions are then provided to semiconductor supply chain members based on the findings of this work.

  12. Modelling of teeth of a gear transmission for modern manufacturing technologies

    Science.gov (United States)

    Monica, Z.; Banaś, W.; Ćwikla, G.; Topolska, S.

    2017-08-01

    The technological process of manufacturing of gear wheels is influenced by many factors. It is designated depending on the type of material from which the gear is to be produced, its heat treatment parameters, the required accuracy, the geometrical form and the modifications of the tooth. Therefor the parameters selection process is not easy and moreover it is unambiguous. Another important stage of the technological process is the selection of appropriate tools to properly machine teeth in the operations of both roughing and finishing. In the presented work the focus is put first of all on modern production methods of gears using technologically advanced instruments in comparison with conventional tools. Conventional processing tools such as gear hobbing cutters or Fellows gear-shaper cutters are used from the beginning of the machines for the production of gear wheels. With the development of technology and the creation of CNC machines designated for machining of gears wheel it was also developed the manufacturing technology as well as the design knowledge concerning the technological tools. Leading manufacturers of cutting tools extended the range of tools designated for machining of gears on the so-called hobbing cutters with inserted cemented carbide tips. The same have be introduced to Fellows gear-shaper cutters. The results of tests show that is advantaged to use hobbing cutters with inserted cemented carbide tips for milling gear wheels with a high number of teeth, where the time gains are very high, in relation to the use of conventional milling cutters.

  13. Development in fiscal 1999 of technologies to put photovoltaic power generation systems into practical use. Development of thin film solar cell manufacturing technologies (Development of low-cost large-area module manufacturing technologies, next generation thin film solar cell module manufacturing technologies, development of CIS solar cell module manufacturing technologies); 1999 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu seika hokokusho. Usumaku taiyo denchi no seizo gijutsu kaihatsu (tei cost daimenseki module seizo gijutsu kaihatsu (jisedai usumaku taiyo denchi no seizo gijutsu kaihtsu (CIS taiyo denchi module no seizo gijutsu kaihatsu))

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    Research and development has been performed on a technology to manufacture CIS-based thin film solar cells. This paper summarizes the achievements in fiscal 1999. In the research of a large-area light absorbing layer manufacturing technology, investigation was made on light absorbing layers in manufacturing sub-modules with a size of 30 cm times 30 cm. With regard to the temperature condition in the light absorbing layer forming process, it was found that the cooling rate affects particularly the adhesion in the connecting interface of the light absorbing layer and the Mo rear electrode layer. In addition, it was revealed that the sulfur take-in amount can be increases by extending the retention time at the sulfurizing temperature and by decreasing the temperature to turn the gas phase into selenium. In the research of elementary technologies to establish the mass production process, developments were performed on the high-resistance buffer layer manufacturing technology, the high-quality window layer film manufacturing technology, and the technology to manufacture rear electrode layer made of high-quality metals. In developing the patterning technology, two kinds of the existing patterning devices were modified and adjusted for patterning the substrates with a size of 30 cm times 30 cm. In addition, a processing device was installed in the conventional manually operated process for module finishing. (NEDO)

  14. Innovative High-Performance Deposition Technology for Low-Cost Manufacturing of OLED Lighting

    Energy Technology Data Exchange (ETDEWEB)

    Scott, David; Hamer, John

    2017-06-30

    In this project, OLEDWorks developed and demonstrated the innovative high-performance deposition technology required to deliver dramatic reductions in the cost of manufacturing OLED lighting in production equipment. The current high manufacturing cost of OLED lighting is the most urgent barrier to its market acceptance. The new deposition technology delivers solutions to the two largest parts of the manufacturing cost problem – the expense per area of good product for organic materials and for the capital cost and depreciation of the equipment. Organic materials cost is the largest expense item in the bill of materials and is predicted to remain so through 2020. The high-performance deposition technology developed in this project, also known as the next generation source (NGS), increases material usage efficiency from 25% found in current Gen2 deposition technology to 60%. This improvement alone results in a reduction of approximately $25/m2 of good product in organic materials costs, independent of production volumes. Additionally, this innovative deposition technology reduces the total depreciation cost from the estimated value of approximately $780/m2 of good product for state-of-the-art G2 lines (at capacity, 5-year straight line depreciation) to $170/m2 of good product from the OLEDWorks production line.

  15. Agent Technology in Agile Multiparallel Manufacturing and Product Support

    NARCIS (Netherlands)

    van Moergestel, L.J.M.

    2014-01-01

    The thesis describes the application of agent technology in product manufacturing and product support. Important issues in the requirements of modern production are short time to market, requirement-driven production and low cost small quantity production. To meet these requirements special low cost

  16. Continuous manufacturing of active pharmaceutical ingredients via flow technology

    NARCIS (Netherlands)

    Borukhova, S.; Hessel, V.; Kleinbudde, P.; Khinast, J.; Rantanen, J.

    2017-01-01

    The main drivers to implement continuous manufacturing are aspects related to logistics, quality of the final product, chemistry to be implemented, process and safety concerns. Flow technology offers a platform to realize those drivers. This chapter introduces the reader to a relatively new

  17. Evolution of ESR Technology and Equipment for Long Hollow Ingots Manufacture

    Science.gov (United States)

    Medovar, Lev; Stovpchenko, Ganna; Dudka, Grigory; Kozminskiy, Alexander; Fedorovskii, Borys; Lebid, Vitalii; Gusiev, Iaroslav

    In this paper development of both ESR technology and equipment for hollow ingot manufacture review and analysis are presented. The real complications of hollow ingot manufacture and some tendentious issues which restrict process dissemination are discussed. An actual data of modern manufacture of as-cast pipes for heat and power engineering by traditional ESR with consumable electrode are given. Results of microstructure and nonmetal inclusion investigations have shown the high quality of as-cast ESR pipes. On the basis of these results the possibility to produce huge ESR hollows (up 5000 mm in dia) with final goal drastically to reduce setting ratio on forged shells and rings or even replace it by ESR hollows as-cast is grounded. Two new ESR technologies — consumable electrodes change and liquid metal usage — have passed pilot tests for heavy hollow production and shown very prospective results to be presented.

  18. Technology demonstration of starshade manufacturing for NASA's Exoplanet mission program

    Science.gov (United States)

    Kasdin, N. J.; Lisman, D.; Shaklan, S.; Thomson, M.; Cady, E.; Martin, S.; Marchen, L.; Vanderbei, R. J.; Macintosh, B.; Rudd, R. E.; Savransky, D.; Mikula, J.; Lynch, D.

    2012-09-01

    It is likely that the coming decade will see the development of a large visible light telescope with enabling technology for imaging exosolar Earthlike planets in the habitable zone of nearby stars. One such technology utilizes an external occulter, a satellite flying far from the telescope and employing a large screen, or starshade, to suppress the incoming starlight suffciently for detecting and characterizing exoplanets. This trades the added complexity of building the precisely shaped starshade and flying it in formation against simplifications in the telescope since extremely precise wavefront control is no longer necessary. In this paper we present the results of our project to design, manufacture, and measure a prototype occulter petal as part of NASA's first Technology Development for Exoplanet Missions program. We describe the mechanical design of the starshade and petal, the precision manufacturing tolerances, and the metrology approach. We demonstrate that the prototype petal meets the requirements and is consistent with a full-size occulter achieving better than 10-10 contrast.

  19. The strategic relevance of manufacturing technology: An overall quality concept to promote innovation preventing drug shortage.

    Science.gov (United States)

    Panzitta, Michele; Ponti, Mauro; Bruno, Giorgio; Cois, Giancarlo; D'Arpino, Alessandro; Minghetti, Paola; Mendicino, Francesca Romana; Perioli, Luana; Ricci, Maurizio

    2017-01-10

    Manufacturing is the bridge between research and patient: without product, there is no clinical outcome. Shortage has a variety of causes, in this paper we analyse only causes related to manufacturing technology and we use shortage as a paradigm highliting the relevance of Pharmaceutical Technology. Product and process complexity and capacity issues are the main challenge for the Pharmaceutical Industry Supply chain. Manufacturing Technology should be acknowledged as a R&D step and as a very important matter during University degree in Pharmacy and related disciplines, promoting collaboration between Academia and Industry, measured during HTA step and rewarded in terms of price and reimbursement. The above elements are not yet properly recognised, and manufacturing technology is taken in to consideration only when a shortage is in place. In a previous work, Panzitta et al. proposed to perform a full technology assessment at the Health Technological Assessment stage, evaluating three main technical aspects of a medicine: manufacturing process, physicochemical properties, and formulation characteristics. In this paper, we develop the concept of manufacturing appraisal, providing a technical overview of upcoming challenges, a risk based approach and an economic picture of shortage costs. We develop also an overall quality concept, not limited to GMP factors but broaden to all elements leading to a robust supply and promoting technical innovation. Copyright © 2016 Elsevier B.V. All rights reserved.

  20. Technology Sharing in Manufacturing Business Groups

    DEFF Research Database (Denmark)

    Sköld, Martin; Karlsson, Christer

    2012-01-01

    , consultants, partners, and others. However, the distinction between the focal firm, on the one hand, and networks, on the other, is in this paper argued to be too extensive without intermediating nuances. Less focus is given to an in-between perspective configured by business groups or concerns here defined...... as parent corporations with subsidiary companies. It is this perspective of business groups with characteristics between individual firms and open networks that is of interest in this paper. The focus is on manufacturing business groups in which the companies will typically have individual as well as common......Technology represents the primordial force for companies and organizations in securing long-term competitiveness. In the intensive search to access new technology, organizations are more and more looking beyond the borders of the focal firm and becoming involved in various networks with suppliers...

  1. Manufacturing technology of high-quality pressure castings

    Directory of Open Access Journals (Sweden)

    S. Pietrowski

    2011-10-01

    Full Text Available The paper presents manufacturing technology of pressure castings made of Al-Si alloy without porosity or with low microporosity of castings. It has been shown that the greatest impact on the porosity of the castings and the concentration of hydrogen has had the charge to the melting furnace. Liquidation or occurrence of a small microporosity of castings provides refining with solid refiners, nitrogen and modification of liquid alloy after various operations of preparing process. The liquid alloy stored in holding furnace should be refined once every 2 h with nitrogen. Authors developed a computer program of Al-Si alloys inspection with using of TDA method. The developed technology was verified under production conditions.

  2. 75 FR 24742 - In the Matter of Certain Large Scale Integrated Circuit Semiconductor Chips and Products...

    Science.gov (United States)

    2010-05-05

    ... Semiconductor, Xiqing Integrated Semiconductor, Manufacturing Site, No. 15 Xinghua Road, Xiqing Economic... Malaysia Sdn. Bhd., NO. 2 Jalan SS 8/2, Free Industrial Zone, Sungai Way, 47300 Petaling Jaya, Selengor, Malaysia. Freescale Semiconductor Pte. Ltd., 7 Changi South Street 2, 03-00, Singapore 486415. Freescale...

  3. 3D Printing, Additive Manufacturing, and Solid Freeform Fabrication: The Technologies of the Past, Present and Future

    Science.gov (United States)

    Beaman, Joseph

    2015-03-01

    Starting in the late 1980's, several new technologies were created that have the potential to revolutionize manufacturing. These technologies are, for the most part, additive processes that build up parts layer by layer. In addition, the processes that are being touted for hard-core manufacturing are primarily laser or e-beam based processes. This presentation gives a brief history of Additive Manufacturing and gives an assessment for these technologies. These technologies initially grew out of a commercial need for rapid prototyping. This market has a different requirement for process and quality control than traditional manufacturing. The relatively poor process control of the existing commercial Additive Manufacturing equipment is a vestige of this history. This presentation discusses this history and improvements in quality over time. The emphasis will be on Additive Manufacturing processes that are being considered for direct manufacturing, which is a different market than the 3D Printing ``Makerbot'' market. Topics discussed include past and present machine sensors, materials, and operational methods that were used in the past and those that are used today to create manufactured parts. Finally, a discussion of new methods and future directions of AM is presented.

  4. Inkjet printing for biosensor fabrication: combining chemistry and technology for advanced manufacturing.

    Science.gov (United States)

    Li, Jia; Rossignol, Fabrice; Macdonald, Joanne

    2015-06-21

    Inkjet printing is emerging at the forefront of biosensor fabrication technologies. Parallel advances in both ink chemistry and printers have led to a biosensor manufacturing approach that is simple, rapid, flexible, high resolution, low cost, efficient for mass production, and extends the capabilities of devices beyond other manufacturing technologies. Here we review for the first time the factors behind successful inkjet biosensor fabrication, including printers, inks, patterning methods, and matrix types. We discuss technical considerations that are important when moving beyond theoretical knowledge to practical implementation. We also highlight significant advances in biosensor functionality that have been realised through inkjet printing. Finally, we consider future possibilities for biosensors enabled by this novel combination of chemistry and technology.

  5. Single frequency semiconductor lasers

    CERN Document Server

    Fang, Zujie; Chen, Gaoting; Qu, Ronghui

    2017-01-01

    This book systematically introduces the single frequency semiconductor laser, which is widely used in many vital advanced technologies, such as the laser cooling of atoms and atomic clock, high-precision measurements and spectroscopy, coherent optical communications, and advanced optical sensors. It presents both the fundamentals and characteristics of semiconductor lasers, including basic F-P structure and monolithic integrated structures; interprets laser noises and their measurements; and explains mechanisms and technologies relating to the main aspects of single frequency lasers, including external cavity lasers, frequency stabilization technologies, frequency sweeping, optical phase locked loops, and so on. It paints a clear, physical picture of related technologies and reviews new developments in the field as well. It will be a useful reference to graduate students, researchers, and engineers in the field.

  6. Metrology needs and challenges for the semiconductor industry

    International Nuclear Information System (INIS)

    Schroeder, Kenneth; Ashkenaz, Scott; Hankinson, Matt

    2001-01-01

    The aggressively shrinking process window drives the semiconductor manufacturer to examine, refine, and control all aspects of the manufacturing process. Process budgets leave little room for error contribution. Budget management, and ultimately achieving the goal, requires an understanding of the constituent components, and development of mitigation strategies. We present some of the challenges facing our industry and strategies that we are taking to address them

  7. Physics of semiconductor lasers

    CERN Document Server

    Mroziewicz, B; Nakwaski, W

    2013-01-01

    Written for readers who have some background in solid state physics but do not necessarily possess any knowledge of semiconductor lasers, this book provides a comprehensive and concise account of fundamental semiconductor laser physics, technology and properties. The principles of operation of these lasers are therefore discussed in detail with the interrelations between their design and optical, electrical and thermal properties. The relative merits of a large number of laser structures and their parameters are described to acquaint the reader with the various aspects of the semiconductor l

  8. High brightness semiconductor lasers with reduced filamentation

    DEFF Research Database (Denmark)

    McInerney, John; O'Brien, Peter.; Skovgaard, Peter M. W.

    1999-01-01

    High brightness semiconductor lasers have applications in spectroscopy, fiber lasers, manufacturing and materials processing, medicine and free space communication or energy transfer. The main difficulty associated with high brightness is that, because of COD, high power requires a large aperture...

  9. Manufacturing the Future: Federal Priorities for Manufacturing Research and Development. Report of the Interagency Working Group on Manufacturing R&D, Committee on Technology, National Science and Technology Council

    Science.gov (United States)

    2008-03-01

    coolant manifolds are constructed on-site. Each connector must be separately cut, prepared, and joined to the subsystem. Prefabrication of...to prepare students for careers in the Manufacturing and Science, Technology, Engineering, and Mathematics career clusters. EPA Ecological and...nanomaterials, along with exposures of human and other species in natural ecosystems to nanomaterials, and industrial ecology related to nanomaterials

  10. High-Performance WSe2 Complementary Metal Oxide Semiconductor Technology and Integrated Circuits.

    Science.gov (United States)

    Yu, Lili; Zubair, Ahmad; Santos, Elton J G; Zhang, Xu; Lin, Yuxuan; Zhang, Yuhao; Palacios, Tomás

    2015-08-12

    Because of their extraordinary structural and electrical properties, two-dimensional materials are currently being pursued for applications such as thin-film transistors and integrated circuit. One of the main challenges that still needs to be overcome for these applications is the fabrication of air-stable transistors with industry-compatible complementary metal oxide semiconductor (CMOS) technology. In this work, we experimentally demonstrate a novel high performance air-stable WSe2 CMOS technology with almost ideal voltage transfer characteristic, full logic swing and high noise margin with different supply voltages. More importantly, the inverter shows large voltage gain (∼38) and small static power (picowatts), paving the way for low power electronic system in 2D materials.

  11. Large area SiC coating technology of RBSC for semiconductor processing component

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described

  12. Large area SiC coating technology of RBSC for semiconductor processing component

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described.

  13. Technology Clubs and Growth Patterns: Evidence from EU Manufacturing

    NARCIS (Netherlands)

    Bos, J.W.B.; Economidou, C.; Koetter, M.

    This paper investigates the forces driving output change in a panel of EU manufacturing industries. A flexible modeling strategy is adopted that accounts for (i) inefficient use of resources, and (ii) differences in the production technology across industries. With our model we are able to identify

  14. Using Innovative Technologies for Manufacturing and Evaluating Rocket Engine Hardware

    Science.gov (United States)

    Betts, Erin M.; Hardin, Andy

    2011-01-01

    Many of the manufacturing and evaluation techniques that are currently used for rocket engine component production are traditional methods that have been proven through years of experience and historical precedence. As we enter into a new space age where new launch vehicles are being designed and propulsion systems are being improved upon, it is sometimes necessary to adopt new and innovative techniques for manufacturing and evaluating hardware. With a heavy emphasis on cost reduction and improvements in manufacturing time, manufacturing techniques such as Direct Metal Laser Sintering (DMLS) and white light scanning are being adopted and evaluated for their use on J-2X, with hopes of employing both technologies on a wide variety of future projects. DMLS has the potential to significantly reduce the processing time and cost of engine hardware, while achieving desirable material properties by using a layered powdered metal manufacturing process in order to produce complex part geometries. The white light technique is a non-invasive method that can be used to inspect for geometric feature alignment. Both the DMLS manufacturing method and the white light scanning technique have proven to be viable options for manufacturing and evaluating rocket engine hardware, and further development and use of these techniques is recommended.

  15. Gender differences on the job satisfaction in the phase of implementing advanced manufacturing technology in the Chinese manufacturing firms.

    Science.gov (United States)

    Yu, Na; Shen, Li Ming; Lewark, Siegfried

    2012-01-01

    This research gave an effort to study on gender differences in the job satisfaction for technological innovation at Chinese manufacturing firm. The exploratory study was conducted in four Chinese furniture manufacturing firms, which are all in the phases of introducing advanced manufacturing system. The results of statistical analysis show that general satisfaction of female employees to their jobs is significantly higher than male employees. In addition, supervisory satisfaction of female employees is significantly higher than male employees. The findings of the study reveal that activities are suggested to be carried out to increase the job satisfaction of male employees, especially improve communication and relationship between the managerial and the non-managerial levels in the innovation process. In addition, the higher job satisfaction of female employees could be considered a positive factor for the successful implementation of AMT in the technological innovation, although male employees are still dominated work force in the case study firms.

  16. Semiconductors detectors: basics principals, fabrication and repair

    International Nuclear Information System (INIS)

    Souza Coelho, L.F. de.

    1982-05-01

    The fabrication and repairing techniques of semiconductor detectors, are described. These methods are shown in the way they are applied by the semiconductor detector laboratory of the KFA-Julich, where they have been developed during the last 15 years. The history of the semiconductor detectors is presented here, being also described the detector fabrication experiences inside Brazil. The key problems of manufacturing are raised. In order to understand the fabrication and repairing techniques the working principles of these detectors, are described. The cases in which worked during the stay in the KFA-Julich, particularly the fabrication of a plane Ge (Li) detector, with side entry, and the repair of a coaxial Ge (Li) is described. The vanguard problems being researched in Julich are also described. Finally it is discussed a timetable for the semiconductor detector laboratory of the UFRJ, which laboratory is in the mounting stage now. (Author) [pt

  17. Laser semiconductor diode integrated with frequency doubler

    International Nuclear Information System (INIS)

    Tighineanu, I.; Dorogan, V.; Suruceanu, G.

    2003-01-01

    The invention relates to the technology of optoelectronic semiconductor devices and may be used in the production of laser semiconductor diodes integrated with optical nonlinear elements. The laser semiconductor diode integrated with frequency doubler includes a semiconductor substrate, a laser structure with waveguide. metal contacts in the waveguide of the laser structure it is formed a nanostructured field so that the nanostructure provides for the fulfillment of the phase synchronism conditions

  18. Green Manufacturing Fundamentals and Applications

    CERN Document Server

    2013-01-01

    Green Manufacturing: Fundamentals and Applications introduces the basic definitions and issues surrounding green manufacturing at the process, machine and system (including supply chain) levels. It also shows, by way of several examples from different industry sectors, the potential for substantial improvement and the paths to achieve the improvement. Additionally, this book discusses regulatory and government motivations for green manufacturing and outlines the path for making manufacturing more green as well as making production more sustainable. This book also: • Discusses new engineering approaches for manufacturing and provides a path from traditional manufacturing to green manufacturing • Addresses regulatory and economic issues surrounding green manufacturing • Details new supply chains that need to be in place before going green • Includes state-of-the-art case studies in the areas of automotive, semiconductor and medical areas as well as in the supply chain and packaging areas Green Manufactu...

  19. REDUCTION OF ARSENIC WASTES IN THE SEMICONDUCTOR INDUSTRY

    Science.gov (United States)

    The research described in this report was aimed at initiating and developing processes and process modifications that could be incorporated into semiconductor manufacturing operations to accomplish pollution prevention, especially to accomplish significant reduction in the quanti...

  20. Manufacturing microsystems-on-a-chip with 5-level surface micromachining technology

    Energy Technology Data Exchange (ETDEWEB)

    Sniegowski, J.; Rodgers, M.S.

    1998-05-01

    An agile microsystem manufacturing technology has been developed that provides unprecedented 5 levels of independent polysilicon surface-micromachine films for the designer. Typical surface-micromachining processes offer a maximum of 3 levels, making this the most complex surface-micromachining process technology developed to date. Leveraged from the extensive infrastructure present in the microelectronics industry, the manufacturing method of polysilicon surface-micromachining offers similar advantages of high-volume, high-reliability, and batch-fabrication to microelectromechanical systems (MEMS) as has been accomplished with integrated circuits (ICs). These systems, comprised of microscopic-sized mechanical elements, are laying the foundation for a rapidly expanding, multi-billion dollar industry 2 which impacts the automotive, consumer product, and medical industries to name only a few.

  1. Fabrication and application of amorphous semiconductor devices

    International Nuclear Information System (INIS)

    Kumurdjian, Pierre.

    1976-01-01

    This invention concerns the design and manufacture of elecric switching or memorisation components with amorphous semiconductors. As is known some compounds, particularly the chalcogenides, have a resistivity of the semiconductor type in the amorphous solid state. These materials are obtained by the high temperature homogeneisation of several single elements such as tellurium, arsenic, germanium and sulphur, followed by water or air quenching. In particular these compounds have useful switching and memorisation properties. In particular they have the characteristic of not suffering deterioration when placed in an environment subjected to nuclear radiations. In order to know more about the nature and properties of these amorphous semiconductors the French patent No. 71 28048 of 30 June 1971 may be consulted with advantage [fr

  2. Handbook on advanced design and manufacturing technologies for biomedical devices

    CERN Document Server

    2013-01-01

    The last decades have seen remarkable advances in computer-aided design, engineering and manufacturing technologies, multi-variable simulation tools, medical imaging, biomimetic design, rapid prototyping, micro and nanomanufacturing methods and information management resources, all of which provide new horizons for the Biomedical Engineering fields and the Medical Device Industry. Handbook on Advanced Design and Manufacturing Technologies for Biomedical Devices covers such topics in depth, with an applied perspective and providing several case studies that help to analyze and understand the key factors of the different stages linked to the development of a novel biomedical device, from the conceptual and design steps, to the prototyping and industrialization phases. Main research challenges and future potentials are also discussed, taking into account relevant social demands and a growing market already exceeding billions of dollars. In time, advanced biomedical devices will decisively change methods and resu...

  3. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  4. Semiconductors: A 21st Century Social Studies Topic.

    Science.gov (United States)

    Sunal, Cynthia

    2000-01-01

    Addresses the reasons for exploring semiconductor technology and organic semiconductors in schools for either middle school or secondary students in an interdisciplinary social studies and science environment. Provides background information on transistors and semiconductors. Offers three social studies lessons and related science lessons if an…

  5. Investigation of the technology of conductive yarns manufacturing

    Science.gov (United States)

    Ryklin, Dzmitry; Medvetski, Sergey

    2017-10-01

    The paper is devoted to development of technology of electrically conductive yarn production. This technology allows manufacturing conductive yarns of copper wire and polyester filament yarns. Method of the predicting of the conductive yarn breaking force was developed on the base of analysing of load-elongation curves of each strand of the yarn. Also the method of the predicting of the conductive yarn diameter was offered. Investigation shows that conductive yarns can be integrated into the textiles structure using sewing or embroidery equipment. Application of developed conductive yarn is wearable electronics creating with wide range of functions, for example, for specific health issue monitoring, navigation tools or communication gadgets.

  6. 76 FR 59542 - Mandatory Reporting of Greenhouse Gases: Changes to Provisions for Electronics Manufacturing To...

    Science.gov (United States)

    2011-09-27

    ... Mandatory Reporting of Greenhouse Gases: Changes to Provisions for Electronics Manufacturing To Provide... regulation to amend the calculation and monitoring provisions in the Electronics Manufacturing portion of the... Electronics Manufacturing 334111 Microcomputer manufacturing facilities. 334413 Semiconductor, photovoltaic...

  7. Unified Controller Design for Intelligent Manufacturing Automation

    National Research Council Canada - National Science Library

    Kosut, Robert

    1997-01-01

    .... The demonstration system selected was rapid thermal processing (RTP) of semiconductor wafers. This novel approach in integrated circuit manufacturing demands fast tracking control laws that achieve near uniform spatial temperature distributions...

  8. Theorizing about technological development of manufacturing companies

    DEFF Research Database (Denmark)

    Matthiesen, Rikke Vestergaard

    2012-01-01

    The aim of the paper is to apply metatriangulation and theory building to strengthen our theorizing on technological development of manufacturing firms. AMT literature is reviewed and by means of bracketing, the paper identifies a set of problematic assumptions embedded within traditional AMT...... research. A case study is used to emphasize how a process perspective enriches the traditional structural perspective. Finally, through first attempts at theory building, a set of propositions is suggested in order to overcome long-standing problems found in AMT research....

  9. STRUCTURAL ALTERNATIVES OF RUSSIAN MANUFACTURING INDUSTRIES AND ARCHITECTURE OF TECHNOLOGICAL MODERNIZATION. PART 1

    Directory of Open Access Journals (Sweden)

    Izryadnova O. I.

    2014-06-01

    Full Text Available Continuing stagnation in the Russian economy makes a compelling case for structural reforms and transition to new technologies in non-financial sector. Given the backdrop of investor choice to wait out the situation and pause their investments, stagnation threatens to remain for longer. The biggest concerns are related to the slowdown in the range of high-tech non-resource manufacturing industries. These industries should become the cornerstone to foster the development of scientific, technical, and innovative potential of the country, overcoming the technical deficit, and implementation of modern technologies of the sixth technological generation. The article assesses the place and role of manufacturing industries in Russia’s economy and presents an analysis of dynamic shifts in their structure during the business cycle. The article demonstrates the results of empirical study of monitoring of innovative receptiveness of manufacturing industries. We discuss the directions of expanded cooperation between business and state. We also outline opportunities for correcting policies and tools directed at activating innovative functioning of the manufacturing sector in the view of the growth of geopolitical tensions, forms and methods of effective institutional management of the modernization of business units. It appears that the issues of investment maneuver to single out some types of economic activities, which manufacture products and services with high added value and increasing competitiveness of the Russian economy, call for the change in the model of economic development.

  10. STRUCTURAL ALTERNATIVES OF RUSSIAN MANUFACTURING INDUSTRIES AND ARCHITECTURE OF TECHNOLOGICAL MODERNIZATION. PART 2

    Directory of Open Access Journals (Sweden)

    Izryadnova O. I.

    2014-09-01

    Full Text Available Continuing stagnation in the Russian economy makes a compelling case for structural reforms and transition to new technologies in non-financial sector. Given the backdrop of investor choice to wait out the situation and pause their investments, stagnation threatens to remain for longer. The biggest concerns are related to the slowdown in the range of high-tech non-resource manufacturing industries. These industries should become the cornerstone to foster the development of scientific, technical, and innovative potential of the country, overcoming the technical deficit, and implementation of modern technologies of the sixth technological generation. The article assesses the place and role of manufacturing industries in Russia’s economy and presents an analysis of dynamic shifts in their structure during the business cycle. The article demonstrates the results of empirical study of monitoring of innovative receptiveness of manufacturing industries. We discuss the directions of expanded cooperation between business and state. We also outline opportunities for correcting policies and tools directed at activating innovative functioning of the manufacturing sector in the view of the growth of geopolitical tensions, forms and methods of effective institutional management of the modernization of business units. It appears that the issues of investment maneuver to single out some types of economic activities, which manufacture products and services with high added value and increasing competitiveness of the Russian economy, call for the change in the model of economic development.

  11. 5th International and 26th All India Manufacturing Technology, Design and Research Conference

    CERN Document Server

    Dixit, Uday

    2015-01-01

    This book presents selected research papers of the AIMTDR 2014 conference on application of laser technology for various manufacturing processes such as cutting, forming, welding, sintering, cladding and micro-machining. State-of-the-art of these technologies in terms of numerical modeling, experimental studies and industrial case studies are presented. This book will enrich the knowledge of budding technocrats, graduate students of mechanical and manufacturing engineering, and researchers working in this area.  

  12. Achieving continuous manufacturing: technologies and approaches for synthesis, workup, and isolation of drug substance. May 20-21, 2014 Continuous Manufacturing Symposium.

    Science.gov (United States)

    Baxendale, Ian R; Braatz, Richard D; Hodnett, Benjamin K; Jensen, Klavs F; Johnson, Martin D; Sharratt, Paul; Sherlock, Jon-Paul; Florence, Alastair J

    2015-03-01

    This whitepaper highlights current challenges and opportunities associated with continuous synthesis, workup, and crystallization of active pharmaceutical ingredients (drug substances). We describe the technologies and requirements at each stage and emphasize the different considerations for developing continuous processes compared with batch. In addition to the specific sequence of operations required to deliver the necessary chemical and physical transformations for continuous drug substance manufacture, consideration is also given to how adoption of continuous technologies may impact different manufacturing stages in development from discovery, process development, through scale-up and into full scale production. The impact of continuous manufacture on drug substance quality and the associated challenges for control and for process safety are also emphasized. In addition to the technology and operational considerations necessary for the adoption of continuous manufacturing (CM), this whitepaper also addresses the cultural, as well as skills and training, challenges that will need to be met by support from organizations in order to accommodate the new work flows. Specific action items for industry leaders are: Develop flow chemistry toolboxes, exploiting the advantages of flow processing and including highly selective chemistries that allow use of simple and effective continuous workup technologies. Availability of modular or plug and play type equipment especially for workup to assist in straightforward deployment in the laboratory. As with learning from other industries, standardization is highly desirable and will require cooperation across industry and academia to develop and implement. Implement and exploit process analytical technologies (PAT) for real-time dynamic control of continuous processes. Develop modeling and simulation techniques to support continuous process development and control. Progress is required in multiphase systems such as

  13. Advantage Management Strategy in Competition via Technological Race Perspective: Empirical Evidence from the Taiwanese Manufacturing Industry

    OpenAIRE

    Tsu-Yi Hung; Yu-Ju Hsiao; Shih-Wei Wu

    2014-01-01

    This study investigated the advantage management strategies of a firm regarding the technological race in the manufacturing sector. This is to reveal whether firms adopt a catch-up or leapfrogging strategy in the competition for innovation. The results show that competition is fierce in the Taiwanese manufacturing industry. Taiwanese manufacturing firms (mostly SMEs) tend to adopt the “catch-up” strategy to keep up with their competitors in order to remain in the technological race. The resu...

  14. The Environmental Impact of Advanced Manufacturing Technologies: Examples from Hungary

    Directory of Open Access Journals (Sweden)

    Andrea Szalavetz

    2017-06-01

    Full Text Available The purpose of the paper is to demonstrate the beneficial impact of advanced manufacturing technologies (AMT on firms’ environmental performance. Drawing on interviews conducted with 16 Hungarian manufacturing subsidiaries on their experience with AMT, we find three functional areas, where industry 4.0 solutions can not only enhance operational excellence and cost-efficiency, but they can also improve eco-efficiency, but they can also improve eco-efficiency, namely in the field of quality management (through smart production control, data analytics and predictive modelling solutions; process optimization (through capacity planning and production scheduling solutions; and product and process engineering (through advanced virtual technologies. We also find that AMT adoption facilitated subsidiary upgrading along various dimensions. The main managerial implication is that subsidiaries need to be proactive, and emphasize also the benefits stemming from energy and resource efficiency improvement when lobbying for investment in AMT.

  15. Semiconductor sensor device, diagnostic instrument comprising such a device and method of manufacturing such a device

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor sensor device (10) for sensing a substance comprising at least one mesa- shaped semiconductor region (11) which is formed on a surface of a semiconductor body (12) and which is connected at a first end to a first electrically conducting connection region (13)

  16. Duo_2-Steel cermet manufacturing technology for PWR Spent Nuclear Fuel (SNF) casks

    International Nuclear Information System (INIS)

    Siti Alimah; Budiarto

    2005-01-01

    Assessment of DUO_2-Steel cermet manufacturing technology for PWR SNF casks has been done. DUO_2-Steel cermet consisting of DUO_2 particulates and other particulates, embedded in a steel matrix. Cermet SNF casks have the potential for superior performance compared with casks constructed of other materials. The addition of DUO_2 ceramic particulates can increase SNF cask capacity, improve of repository performance and disposal of excess depleted uranium as potential waste. Two sets of cermet manufacturing technologies are casting and powder metallurgy. Three casting methods are infusion casting, traditional casting and centrifugal casting. While for powder metallurgy methods there are traditional method and new method. DUO_2-Steel cermet have traditionally been produced by powder metallurgy methods. The production of a cask, however, presents special requirements: the manufacture of an annular object with weights up to 100 tons, and methods are being not to manufacture a cermet of this size and geometry. A new powder metallurgy method, is a method for manufacturing cermet for PWR SNF cask. This powder metallurgy techniques have potentials low costs and provides greater freedom In the design of the cermet cask by allowing variable cermet properties. (author)

  17. Design for manufacturability of a VDSM standard cell library

    International Nuclear Information System (INIS)

    Zhou Chong; Zeng Jianping; Chen Lan; Yin Minghui; Zhao Jie

    2012-01-01

    This paper presents a method of designing a 65 nm DFM standard cell library. By reducing the amount of the library largely, the process of optical proximity correction (OPC) becomes more efficient and the need for large storage is reduced. This library is more manufacture-friendly as each cell has been optimized according to the DFM rule and optical simulation. The area penalty is minor compared with traditional library, and the timing, as well as power has a good performance. Furthermore, this library has passed the test from the Technology Design Department of Foundry. The result shows this DFM standard cell library has advantages that improve the yield. (semiconductor integrated circuits)

  18. Experience Scaling Up Manufacturing of Emerging Photovoltaic Technologies

    Energy Technology Data Exchange (ETDEWEB)

    Braun, G. W.; Skinner, D. E.

    2007-01-01

    This report examines two important generic photovoltaic technologies at particularly revealing stages of development, i.e., the stages between R&D and stable commercial production and profitable sales. Based on two historical cases, it attempts to shed light on the difference between: (1) costs and schedules validated by actual manufacturing and market experience, and (2) estimated costs and schedules that rely on technology forecasts and engineering estimates. The amorphous Silicon case also identifies some of the costs that are incurred in meeting specific market requirements, while the Cadmium Telluride case identifies many of the operational challenges involved in transferring R&D results to production. The transition between R&D and commercial success takes a great deal of time and money for emerging energy conversion technologies in general. The experience reported here can be instructive to those managing comparable efforts, and to their investors. It can also be instructive to R&D managers responsible for positioning such new technologies for commercial success.

  19. CCST [Center for Compound Semiconductor Technology] research briefs

    International Nuclear Information System (INIS)

    Zipperian, T.E.; Voelker, E.R.

    1989-12-01

    This paper discusses the following topics: theoretical predictions of valence and conduction band offsets in III-V semiconductors; reflectance modulation of a semiconductor superlattice optical mirror; magnetoquantum oscillations of the phonon-drag thermoelectric power in quantum wells; correlation between photoluminescence line shape and device performance of p-channel strained-layer materials; control of threading dislocations in heteroepitaxial structures; improved growth of CdTe on GaAs by patterning; role of structure threading dislocations in relaxation of highly strained single-quantum-well structures; InAlAs growth optimization using reflection mass spectrometry; nonvolatile charge storage in III-V heterostructures; optically triggered thyristor switches; InAsSb strained-layer superlattice infrared detectors with high detectivities; resonant periodic gain surface-emitting semiconductor lasers; performance advantages of strained-quantum-well lasers in AlGaAs/InGaAs; optical integrated circuit for phased-array radar antenna control; and deposition and novel device fabrication from Tl 2 Ca 2 Ba 2 Cu 3 O y thin films

  20. Aspects regarding manufacturing technologies of composite materials for brake pad application

    Science.gov (United States)

    Craciun, A. L.; Hepuţ, T.; Pinca-Bretotean, C.

    2018-01-01

    Current needs in road safety, requires the development of new technical solutions for automotive braking system. Their safe operation is subject to following factors: concept design, materials used and electronic control. Among the factors previously listed, choice of materials and manufacturing processes are difficult stage but very important for achieving technical performance and getting a relatively small cost of constituting parts of brake system. The choice is based on the promotion of organic composite material, popular in areas where the weight of materials plays an important role. The brake system is composed of many different parts including brake pads, a master cylinder, wheel cylinders and a hydraulic control system. The brake pads are an important component in the braking system of automotive. These are of different types, suitable for different types of automotive and engines. Brake pads are designed for friction stability, durability, minimization of noise and vibration. The typology of the brake pads depends on the material which they are made. The aim of this paper is to presents the manufacturing technologies for ten recipes of composite material used in brake pads applications. In this work will be done: choosing the constituents of the recipes, investigation of their basic characteristics, setting the proportions of components, obtaining the composite materials in laboratory, establishing the parameters of manufacturing technology and technological analysis.

  1. Fiscal 2000 achievement report. Development of energy use rationalization-oriented silicon manufacturing process (Survey and study of analysis of commercialization of solar-grade silicon material manufacturing technology); 2000 nendo shin energy sangyo gijutsu sogo kaihatsu kiko kyodo kenkyu gyomu seika hokokusho. Energy shiyo gorika silicon seizo process kaihatsu (Taiyodenchiyou silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The trend of technology development, problems harbored therein, trend of the market, and the like were investigated for supporting the development of technologies for the mass production and commercialization of solar-grade silicon materials. Concerning the future of production enhancement and cost reduction in the manufacture of polycrystalline silicon solar cells, studies were made from the technological viewpoint. The results are shown below. It is estimated that approximately 4,500 tons of material silicon will be necessary in 2005 and 6,500-10,700 tons in 2010. Since the melting purification method of NEDO (New Energy and Industrial Technology Development Organization) now under development step by step toward commercialization as well as the conventional source will provide the necessary amount of material silicon, it is inferred that the development of solar cells will go on without any restraint originating in the semiconductor industry. With the commercialization of the technologies so far developed and the development/commercialization of the fast-acting high-performance solar cell technology, probabilities are high that the polycrystalline silicon solar cell manufacturing cost in 2010 will be as low as to be on the 100 yen/W (93-118 yen/W) level which is the level now held up as the goal. (NEDO)

  2. Flexible power 90W to 120W ArF immersion light source for future semiconductor lithography

    Science.gov (United States)

    Burdt, R.; Thornes, J.; Duffey, T.; Bibby, T.; Rokitski, R.; Mason, E.; Melchior, J.; Aggarwal, T.; Haran, D.; Wang, J.; Rechtsteiner, G.; Haviland, M.; Brown, D.

    2014-03-01

    Semiconductor market demand for improved performance at lower cost continues to drive enhancements in excimer light source technologies. Increased output power, reduced variability in key light source parameters, and improved beam stability are required of the light source to support immersion lithography, multi-patterning, and 450mm wafer applications in high volume semiconductor manufacturing. To support future scanner needs, Cymer conducted a technology demonstration program to evaluate the design elements for a 120W ArFi light source. The program was based on the 90W XLR 600ix platform, and included rapid power switching between 90W and 120W modes to potentially support lot-to-lot changes in desired power. The 120W requirements also included improved beam stability in an exposure window conditionally reduced by 20%. The 120W output power is achieved by efficiency gains in system design, keeping system input power at the same level as the 90W XLR 600ix. To assess system to system variability, detailed system testing was conducted from 90W - 120W with reproducible results.

  3. Development of materials and manufacturing technologies for Indian fast reactor programme

    International Nuclear Information System (INIS)

    Raj, Baldev; Jayakumar, T.; Bhaduri, A.K.; Mandal, Sumantra

    2010-01-01

    Fast Breeder Reactors (FBRs) are vital towards meeting security and sustainability of energy for the growing economy of India. The development of FBRs necessitates extensive research and development in domains of materials and manufacturing technologies in association with a wide spectrum of disciplines and their inter-twining to meet the challenging technology. The paper highlight the work and the approaches adopted for the successful deployment of materials, manufacturing and inspection technologies for the in-core and structural components of current and future Indian Fast Breeder Reactor Programme. Indigenous development of in-core materials viz. Titanium modified austenitic stainless steel (Alloy D9) and its variants, ferritic/martensitic oxide-dispersion strengthened (ODS) steels as well as structural materials viz. 316L(N) stainless steel and modified 9Cr-1Mo have been achieved through synergistic interactions between Indira Gandhi Centre for Atomic Research (IGCAR), education and research institutes and industries. Robust manufacturing technology has been established for forming and joining of various components of 500 MWe Prototype Fast Breeder Reactor (PFBR) through 'science-based technology' approach. To achieve the strict quality standards of formed parts in terms of geometrical tolerances, residual stresses and microstructural defects, FEM-based modelling and experimental validation was carried out for estimation of spring-back during forming of multiple curvature thick plantes. Optimization of grain boundary character distribution in Alloy D9 was carried out by adopting the grain boundary engineering approach to reduce radiation induced segregation. Extensive welding is involved in the fabrication of reactor vessels, piping, steam generators, fuel sub-assemblies etc. Activated Tungsten Inert Gas Welding process along with activated flux developed at IGCAR has been successfully used in fabrication of dummy fuel subassemblies (DFSA) required for testing

  4. Development of materials and manufacturing technologies for Indian fast reactor programme

    Energy Technology Data Exchange (ETDEWEB)

    Raj, Baldev; Jayakumar, T.; Bhaduri, A.K.; Mandal, Sumantra [Indira Gandhi Centre for Atomic Research, Kalpakkam (India)

    2010-07-01

    Fast Breeder Reactors (FBRs) are vital towards meeting security and sustainability of energy for the growing economy of India. The development of FBRs necessitates extensive research and development in domains of materials and manufacturing technologies in association with a wide spectrum of disciplines and their inter-twining to meet the challenging technology. The paper highlight the work and the approaches adopted for the successful deployment of materials, manufacturing and inspection technologies for the in-core and structural components of current and future Indian Fast Breeder Reactor Programme. Indigenous development of in-core materials viz. Titanium modified austenitic stainless steel (Alloy D9) and its variants, ferritic/martensitic oxide-dispersion strengthened (ODS) steels as well as structural materials viz. 316L(N) stainless steel and modified 9Cr-1Mo have been achieved through synergistic interactions between Indira Gandhi Centre for Atomic Research (IGCAR), education and research institutes and industries. Robust manufacturing technology has been established for forming and joining of various components of 500 MWe Prototype Fast Breeder Reactor (PFBR) through 'science-based technology' approach. To achieve the strict quality standards of formed parts in terms of geometrical tolerances, residual stresses and microstructural defects, FEM-based modelling and experimental validation was carried out for estimation of spring-back during forming of multiple curvature thick plantes. Optimization of grain boundary character distribution in Alloy D9 was carried out by adopting the grain boundary engineering approach to reduce radiation induced segregation. Extensive welding is involved in the fabrication of reactor vessels, piping, steam generators, fuel sub-assemblies etc. Activated Tungsten Inert Gas Welding process along with activated flux developed at IGCAR has been successfully used in fabrication of dummy fuel subassemblies (DFSA) required

  5. Rapid Three-Dimensional Printing in Water Using Semiconductor-Metal Hybrid Nanoparticles as Photoinitiators.

    Science.gov (United States)

    Pawar, Amol Ashok; Halivni, Shira; Waiskopf, Nir; Ben-Shahar, Yuval; Soreni-Harari, Michal; Bergbreiter, Sarah; Banin, Uri; Magdassi, Shlomo

    2017-07-12

    Additive manufacturing processes enable fabrication of complex and functional three-dimensional (3D) objects ranging from engine parts to artificial organs. Photopolymerization, which is the most versatile technology enabling such processes through 3D printing, utilizes photoinitiators that break into radicals upon light absorption. We report on a new family of photoinitiators for 3D printing based on hybrid semiconductor-metal nanoparticles. Unlike conventional photoinitiators that are consumed upon irradiation, these particles form radicals through a photocatalytic process. Light absorption by the semiconductor nanorod is followed by charge separation and electron transfer to the metal tip, enabling redox reactions to form radicals in aerobic conditions. In particular, we demonstrate their use in 3D printing in water, where they simultaneously form hydroxyl radicals for the polymerization and consume dissolved oxygen that is a known inhibitor. We also demonstrate their potential for two-photon polymerization due to their giant two-photon absorption cross section.

  6. Supplymentary type semiconductor device and manufacturing method. Soho gata handotai sochi oyobi sono seizo hoho

    Energy Technology Data Exchange (ETDEWEB)

    Uno, Masaaki

    1990-01-08

    As a supplementary type semiconductor device has a complicated structure, it is extremely difficult to construct it in a three dimensional structure. This invention aims to reduce its occupying area by forming p-channel and n-channel transistors in a solid structure; moreover in an easy method of production. In other words, an opening is made in the element-forming region of a semiconductor substrate, forming a gate-insulation film on each of the p-type and n-type semiconductors which are exposed on the two facing surfaces; on it formed a gate electrode; p-type semiconductor surface is used as a channel domain; a drain region of n-channel transistor on one surface and a source region on another surface; the n-type semiconductor surface corresponding to the gate electrode is used as a channel region; a source region of the n-channel transistor is formed on the same surface and the drain region on the substrate surface. Occupied area is thus made less and the production gets easier. 20 figs.

  7. Development of technology and properties investigation of steel/bronze joints proposed for ITER HHF components manufacturing

    International Nuclear Information System (INIS)

    Kalinin, G.; Krestnikov, N.S.; Strebkov, Y.S.; Abramov, V.Y.; Gervash, A.; Mazul, I.; Zolotarev, V.B.; Fabritsiev, S.A.

    2007-01-01

    Full text of publication follows: During the development of ITER HHF components manufacturing it is necessary to provide reliable joints between heat sink material made of CuCrZr bronze and the supporting construction made of austenitic steel. Four different methods have been tried out: - Hot Isostatic Pressing (HIP), - HIP assisted brazing, - furnace assisted brazing, - Casting. The investigation of structure and properties of joints show that HIP and casting provide the better results than the other technologies. However, HIP is relatively expensive technology, and big size HIP furnace is required for the full scale components manufacturing that are not available n RF now. Therefore, casting was selected as a reference manufacturing technology for the primary wall of ITER modules n RF. The paper summarizes the results of bronze/steel joints manufacturing and investigation of their properties. (authors)

  8. Possibilities for mixed mode chip manufacturing in EUROPRACTICE

    Science.gov (United States)

    Das, C.

    1997-02-01

    EUROPRACTICE is an EC initiative under the ESPRIT programme which aims to stimulate the wider exploitation of state-of-the-art microelectronics technologies by European industry and to enhance European industrial competitiveness in the global market-place. Through EUROPRACTICE, the EC has created a range of Basic Services that offer users a cost-effective and flexible means of accessing three main microelectronics-based technologies: Application Specific Integrated Circuit (ASICs), Multi-Chip Modules (MCMs) and Microsystems. EUROPRACTICE Basic Services reduce the cost and risk for companies wishing to begin using these technologies. EUROPRACTICE offers a fully supported, low cost route for companies to design and fabricate ASICs for their individual applications. Low cost is achieved by consolidating designs from many users onto a single semiconductor wafer (MPW: Multi Project Wafer). The EUROPRACTICE IC Manufacturing Service (ICMS) offers a broad range of fabrication technologies including CMOS, BiCMOS and GaAs. The Service extends from enabling users to produce prototype ASICs for testing and evaluation, through to low-volume production runs.

  9. Abatement of waste gases and water during the processes of semiconductor fabrication.

    Science.gov (United States)

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  10. Current state-of-the-art manufacturing technology for He-cooled divertor finger

    Science.gov (United States)

    Norajitra, P.; Antusch, S.; Giniyatulin, R.; Mazul, I.; Ritz, G.; Ritzhaupt-Kleissl, H.-J.; Spatafora, L.

    2011-10-01

    A divertor concept for DEMO has been investigated at Karlsruhe Institute of Technology (KIT) which has to withstand a heat flux of 10 MW/m 2. The design utilizes small finger module composed of a small tungsten tile brazed on a thimble made from tungsten alloy. The divertor finger is cooled by helium jet impingement at 10 MPa and 600 °C. The subject of this paper is technological studies on machining and braze joining the divertor components. Goal of this task, which is considered an important R&D issue, is to find out appropriate manufacturing methods to ensure high functionality and high reliability of the divertor as well as to meet the economic aspect. One of the major requirements for manufacturing is micro-crack-free surface of tungsten parts, since crack propagations in tungsten were observed in the previous high-heat-flux tests at Efremov. Different manufacturing methods and the corresponding results are discussed in the following report.

  11. The Adoption of Additive Manufacturing Technology in Sweden

    OpenAIRE

    Kianian, Babak; Tavassoli, Sam; Larsson, Tobias; Diegel, Olaf

    2015-01-01

    This article analyzes the adoption of additive manufacturing (AM) technologies in Sweden. The data set consists of a recent and representative sample of Swedish AM users (companies, universities, and research institutes). The authors investigate two questions. First, what are the current applications of AM in Sweden (e.g., rapid prototyping [RP], production)? Second, what are the factors that can explain the variation in AM adoption among the users? Using a regression analysis technique, the ...

  12. Advantage Management Strategy in Competition via Technological Race Perspective: Empirical Evidence from the Taiwanese Manufacturing Industry

    Directory of Open Access Journals (Sweden)

    Tsu-Yi Hung

    2014-01-01

    Full Text Available This study investigated the advantage management strategies of a firm regarding the technological race in the manufacturing sector. This is to reveal whether firms adopt a catch-up or leapfrogging strategy in the competition for innovation. The results show that competition is fierce in the Taiwanese manufacturing industry. Taiwanese manufacturing firms (mostly SMEs tend to adopt the “catch-up” strategy to keep up with their competitors in order to remain in the technological race. The result indicates that, under financial constraints, Taiwanese manufacturing firms attempt to invest in R&D to catch up with their rivals or to avoid being eliminated from the race.

  13. Advantage management strategy in competition via technological race perspective: empirical evidence from the Taiwanese manufacturing industry.

    Science.gov (United States)

    Hung, Tsu-Yi; Hsiao, Yu-Ju; Wu, Shih-Wei

    2014-01-01

    This study investigated the advantage management strategies of a firm regarding the technological race in the manufacturing sector. This is to reveal whether firms adopt a catch-up or leapfrogging strategy in the competition for innovation. The results show that competition is fierce in the Taiwanese manufacturing industry. Taiwanese manufacturing firms (mostly SMEs) tend to adopt the "catch-up" strategy to keep up with their competitors in order to remain in the technological race. The result indicates that, under financial constraints, Taiwanese manufacturing firms attempt to invest in R&D to catch up with their rivals or to avoid being eliminated from the race.

  14. Advantage Management Strategy in Competition via Technological Race Perspective: Empirical Evidence from the Taiwanese Manufacturing Industry

    Science.gov (United States)

    Hung, Tsu-Yi; Hsiao, Yu-Ju; Wu, Shih-Wei

    2014-01-01

    This study investigated the advantage management strategies of a firm regarding the technological race in the manufacturing sector. This is to reveal whether firms adopt a catch-up or leapfrogging strategy in the competition for innovation. The results show that competition is fierce in the Taiwanese manufacturing industry. Taiwanese manufacturing firms (mostly SMEs) tend to adopt the “catch-up” strategy to keep up with their competitors in order to remain in the technological race. The result indicates that, under financial constraints, Taiwanese manufacturing firms attempt to invest in R&D to catch up with their rivals or to avoid being eliminated from the race. PMID:25295307

  15. COMMERCIAL DEMONSTRATION OF THE MANUFACTURED AGGREGATE PROCESSING TECHNOLOGY UTILIZING SPRAY DRYER ASH

    Energy Technology Data Exchange (ETDEWEB)

    Roy Scandrol

    2003-10-01

    Universal Aggregates, LLC proposes to design, construct and operate a lightweight aggregate manufacturing plant at the Birchwood Power Facility in King George, Virginia. The installation and start-up expenses for the Birchwood Aggregate Facility are $19.5 million. The DOE share is $7.2 million (37%) and the Universal Aggregates share is $12.3 (63%). The project team consists of CONSOL Energy Inc., P.J. Dick, Inc., SynAggs, LLC, and Universal Aggregates, LLC. The Birchwood Facility will transform 115,000 tons per year of spray dryer by-products that are currently being disposed of in an offsite landfill into 167,000 tons of a useful product, lightweight aggregates that can be used to manufacture lightweight aggregates that can be used to manufacture lightweight and medium weight masonry blocks. In addition to the environmental benefits, the Birchwood Facility will create nine (9) manufacturing jobs plus additional employment in the local trucking industry to deliver the aggregate to customers or reagents to the facility. A successful demonstration would lead to additional lightweight aggregate manufacturing facilities in the United States. There are currently twenty-one (21) spray dryer facilities operating in the United States that produce an adequate amount of spray dryer by-product to economically justify the installation of a lightweight aggregate manufacturing facility. Industry sources believe that as additional scrubbing is required, dry FGD technologies will be the technology of choice. Letters from potential lightweight aggregate customers indicate that there is a market for the product once the commercialization barriers are eliminated by this demonstration project.

  16. COMMERCIAL DEMONSTRATION OF THE MANUFACTURED AGGREGATE PROCESSING TECHNOLOGY UTILIZING SPRAY DRYER ASH

    Energy Technology Data Exchange (ETDEWEB)

    Roy Scandrol

    2003-04-01

    Universal Aggregates, LLC proposes to design, construct and operate a lightweight aggregate manufacturing plant at the Birchwood Power Facility in King George, Virginia. The installation and start-up expenses for the Birchwood Aggregate Facility are $19.5 million. The DOE share is $7.2 million (37%) and the Universal Aggregates share is $12.3 (63%). The project team consists of CONSOL Energy Inc., P.J. Dick, Inc., SynAggs, LLC, and Universal Aggregates, LLC. The Birchwood Facility will transform 115,000 tons per year of spray dryer by-products that are currently being disposed of in an offsite landfill into 167,000 tons of a useful product, lightweight aggregates that can be used to manufacture lightweight aggregates that can be used to manufacture lightweight and medium weight masonry blocks. In addition to the environmental benefits, the Birchwood Facility will create eight (8) manufacturing jobs plus additional employment in the local trucking industry to deliver the aggregate to customers or reagents to the facility. A successful demonstration would lead to additional lightweight aggregate manufacturing facilities in the United States. There are currently twenty-one (21) spray dryer facilities operating in the United States that produce an adequate amount of spray dryer by-product to economically justify the installation of a lightweight aggregate manufacturing facility. Industry sources believe that as additional scrubbing is required, dry flue gas desulfurization (FGD) technologies will be the technology of choice. Letters from potential lightweight aggregate customers indicate that there is a market for the product once the commercialization barriers are eliminated by this demonstration project.

  17. Semiconductors: Still a Wide Open Frontier for Scientists/Engineers

    Science.gov (United States)

    Seiler, David G.

    1997-10-01

    A 1995 Business Week article described several features of the explosive use of semiconductor chips today: ``Booming'' personal computer markets are driving high demand for microprocessors and memory chips; (2) New information superhighway markets will `ignite' sales of multimedia and communication chips; and (3) Demand for digital-signal-processing and data-compression chips, which speed up video and graphics, is `red hot.' A Washington Post article by Stan Hinden said that technology is creating an unstoppable demand for electronic elements. This ``digital pervasiveness'' means that a semiconductor chip is going into almost every high-tech product that people buy - cars, televisions, video recorders, telephones, radios, alarm clocks, coffee pots, etc. ``Semiconductors are everywhere.'' Silicon and compound semiconductors are absolutely essential and are pervasive enablers for DoD operations and systems. DoD's Critical Technologies Plan of 1991 says that ``Semiconductor materials and microelectronics are critically important and appropriately lead the list of critical defense technologies.'' These trends continue unabated. This talk describes some of the frontiers of semiconductors today and shows how scientists and engineers can effectively contribute to its advancement. Cooperative, multidisciplinary efforts are increasing. Specific examples will be given for scanning capacitance microscopy and thin-film metrology.

  18. Laser Cooling of 2-6 Semiconductors

    Science.gov (United States)

    2016-08-12

    AFRL-AFOSR-JP-TR-2016-0067 Laser Cooling of II-VI Semiconductors Qihua Xiong NANYANG TECHNOLOGICAL UNIVERSITY Final Report 08/12/2016 DISTRIBUTION A...From - To) 15 May 2013 to 14 May 2016 4. TITLE AND SUBTITLE Laser Cooling of II-VI Semiconductors 5a.  CONTRACT NUMBER 5b.  GRANT NUMBER FA2386-13-1...13. SUPPLEMENTARY NOTES 14. ABSTRACT The breakthrough of laser cooling in semiconductor has stimulated strong interest in further scaling up towards

  19. Cladding tube manufacturing technology

    International Nuclear Information System (INIS)

    Hahn, R.; Jeong, Y. H.; Baek, B. J.; Kim, K. H.; Kim, S. J.; Choi, B. K.; Kim, J. M.

    1999-04-01

    This report gives an overview of the manufacturing routine of PWR cladding tubes. The routine essentially consists of a series of deformation and annealing processes which are necessary to transform the ingot geometry to tube dimensions. By changing shape, microstructure and structure-related properties are altered simultaneously. First, a short overview of the basics of that part of deformation geometry is given which is related to tube reducing operations. Then those processes of the manufacturing routine which change the microstructure are depicted, and the influence of certain process parameters on microstructure and material properties are shown. The influence of the resulting microstructure on material properties is not discussed in detail, since it is described in my previous report A lloy Development for High Burnup Cladding . Because of their paramount importance still up to now, and because manufacturing data and their influence on properties for other alloys are not so well established or published, the descriptions are mostly related to Zry4 tube manufacturing, and are only in short for other alloys. (author). 9 refs., 46 figs

  20. Cladding tube manufacturing technology

    Energy Technology Data Exchange (ETDEWEB)

    Hahn, R. [Kraftwerk Union AG, Mulheim (Germany); Jeong, Y.H.; Baek, B.J.; Kim, K.H.; Kim, S.J.; Choi, B.K.; Kim, J.M. [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1999-04-01

    This report gives an overview of the manufacturing routine of PWR cladding tubes. The routine essentially consists of a series of deformation and annealing processes which are necessary to transform the ingot geometry to tube dimensions. By changing shape, microstructure and structure-related properties are altered simultaneously. First, a short overview of the basics of that part of deformation geometry is given which is related to tube reducing operations. Then those processes of the manufacturing routine which change the microstructure are depicted, and the influence of certain process parameters on microstructure and material properties are shown. The influence of the resulting microstructure on material properties is not discussed in detail, since it is described in my previous report 'Alloy Development for High Burnup Cladding.' Because of their paramount importance still up to now, and because manufacturing data and their influence on properties for other alloys are not so well established or published, the descriptions are mostly related to Zry4 tube manufacturing, and are only in short for other alloys. (author). 9 refs., 46 figs.

  1. Report on surveys in fiscal 2000 on the workshop on semiconductor technology for 21st century; 2000 nendo 21 seiki wo hiraku handotai gijutsu workshop chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-08-01

    A workshop was held in order to realize the high-level information society, and bring about the common recognition on the assignments in the directionality to go in the next five years and on the problems to be worked on with emphasis in semiconductor technologies to form the foundation thereof, standing on the viewpoint of about ten years ahead. The matters discussed in the major semiconductor technology field included promotion of development of functions and technologies sought in the next generation system LSI, CAD system technologies, assurance of design engineers, development of new devices corresponding to electric power saving requirement, promotion of high integration elementary technologies, innovation in memory elementary technologies and structuring of new devices, new materials and processes for SoC, establishment of the membrane technology to insulate low-k layers, necessity of coordination from the basics to the applied fields, development of lithography and measuring technologies of sub-100 nm, and post photo-lithography technologies, promotion of micro measurement and macro measurement technologies, requirements in high-profit type semiconductor production technologies, and structuring of production factories with high QTAT and flexibility. (NEDO)

  2. MECHANICAL PROPERTIES OF PARTS OF MEDICAL PRODUCTS PRODUCED USING ADDITIVE MANUFACTURING TECHNOLOGIES

    Directory of Open Access Journals (Sweden)

    Filip Górski

    2017-06-01

    Full Text Available The paper presents results of tests conducted on the elements of medical devices - slings used with medical lifts - manufactured using additive technologies. Project assumptions were: to produce 100 samples of clips with varying design, material and orientation parameter. Samples were manufactured using FDM and SLA processes and then tested for mechanical strength, load transmission and functionality, using certified equipment. Paper shows full methodology and obtained test results.

  3. The transfer to technology to manufacture the disk of X-band accelerator structure

    International Nuclear Information System (INIS)

    Ueno, Kenji; Kawamata, Hiroshi; Takatomi, Toshikazu; Kume, Tatsuya; Funahashi, Yoshisato

    2005-01-01

    We research the transfer of manufacturing technology on X-band structure disks. From this issue we confirm that the venders will be able to manufacture disks when they get the process sheet method and drawings. More it is clear that we have to consider the automation process in order to get the repeatability of the disks. (author)

  4. Measuring Manufacturing Innovativeness

    DEFF Research Database (Denmark)

    Blichfeldt, Henrik; Knudsen, Mette Præst

    2017-01-01

    Globalization and customization increases the pressure on manufacturing companies, and the ability to provide innovativeness is a potential source of competitive advantage. This paper positions the manufacturing entity in the innovation process, and investigates the relation between innovation vers...... technology and organizational concepts. Based on Danish survey data from the European Manufacturing Survey (EMS-2015) this paper finds that there is a relation between innovative companies, and their level of technology and use of organizational concepts. Technology and organizational concepts act...... as manufacturing levers to support the manufacturing and production system to provide innovativeness. The managerial implication lies in building manufacturing capabilities to support the innovative process, by standardization, optimization and creating stability in combination with automation and advanced...

  5. Honeycomb technology materials, design, manufacturing, applications and testing

    CERN Document Server

    Bitzer, Tom

    1997-01-01

    Honeycomb Technology is a guide to honeycomb cores and honeycomb sandwich panels, from the manufacturing methods by which they are produced, to the different types of design, applications for usage and methods of testing the materials. It explains the different types of honeycomb cores available and provides tabulated data of their properties. The author has been involved in the testing and design of honeycomb cores and sandwich panels for nearly 30 years. Honeycomb Technology reflects this by emphasizing a `hands-on' approach and discusses procedures for designing sandwich panels, explaining the necessary equations. Also included is a section on how to design honeycomb energy absorbers and one full chapter discussing honeycomb core and sandwich panel testing. Honeycomb Technology will be of interest to engineers in the aircraft, aerospace and building industries. It will also be of great use to engineering students interested in basic sandwich panel design.

  6. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Wei-Lung, E-mail: wlchou@sunrise.hk.edu.tw [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China); Wang, Chih-Ta [Department of Safety Health and Environmental Engineering, Chung Hwa University of Medical Technology, Tainan Hsien 717, Taiwan (China); Chang, Wen-Chun; Chang, Shih-Yu [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China)

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L{sup -1}). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  7. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    International Nuclear Information System (INIS)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-01-01

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L -1 ). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  8. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation.

    Science.gov (United States)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L(-1)). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K. Copyright 2010 Elsevier B.V. All rights reserved.

  9. Fact Sheet for Friction Materials Manufacturing Facilities Residual Risk and Technology Review

    Science.gov (United States)

    proposed amendments to the National Emission Standards for Hazardous Air Pollutants (NESHAP) for Friction Materials Manufacturing Facilities to address the results of the residual risk and technology review

  10. Wafer-level manufacturing technology of glass microlenses

    Science.gov (United States)

    Gossner, U.; Hoeftmann, T.; Wieland, R.; Hansch, W.

    2014-08-01

    In high-tech products, there is an increasing demand to integrate glass lenses into complex micro systems. Especially in the lighting industry LEDs and laser diodes used for automotive applications require encapsulated micro lenses. To enable low-cost production, manufacturing of micro lenses on wafer level base using a replication technology is a key technology. This requires accurate forming of thousands of lenses with a diameter of 1-2 mm on a 200 mm wafer compliant with mass production. The article will discuss the technical aspects of a lens manufacturing replication process and the challenges, which need to be solved: choice of an appropriate master for replication, thermally robust interlayer coating, choice of replica glass, bonding and separation procedure. A promising approach for the master substrate material is based on a lens structured high-quality glass wafer with high melting point covered by a coating layer of amorphous silicon or germanium. This layer serves as an interlayer for the glass bonding process. Low pressure chemical vapor deposition and plasma enhanced chemical vapor deposition processes allow a deposition of layer coatings with different hydrogen and doping content influencing their chemical and physical behavior. A time reduced molding process using a float glass enables the formation of high quality lenses while preserving the recyclability of the mother substrate. The challenge is the separation of the replica from the master mold. An overview of chemical methods based on optimized etching of coating layer through small channels will be given and the impact of glass etching on surface roughness is discussed.

  11. Market survey of semiconductors

    International Nuclear Information System (INIS)

    Mackintosh, I.M.; Diegel, D.; Brown, A.; Brinker, C.S. den

    1977-06-01

    Examination of technology and product trends over the range of current and future products in integrated circuits and optoelectronic displays. Analysis and forecast of major economic influences that affect the production costs of integrated circuits and optoelectronic displays. Forecast of the applications and markets for integrated circuits up to 1985 in West Europe, the USA and Japan. Historic development of the semiconductor industry and the prevailing tendencies - factors which influence success in the semiconductor industry. (orig.) [de

  12. Semiconductor Ion Implanters

    International Nuclear Information System (INIS)

    MacKinnon, Barry A.; Ruffell, John P.

    2011-01-01

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion! Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intel product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.

  13. A review on recent technologies for the manufacture of pulmonary drugs.

    Science.gov (United States)

    Hadiwinoto, Gabriela Daisy; Lip Kwok, Philip Chi; Lakerveld, Richard

    2018-01-01

    This review discusses recent developments in the manufacture of inhalable dry powder formulations. Pulmonary drugs have distinct advantages compared with other drug administration routes. However, requirements of drugs properties complicate the manufacture. Control over crystallization to make particles with the desired properties in a single step is often infeasible, which calls for micronization techniques. Although spray drying produces particles in the desired size range, a stable solid state may not be attainable. Supercritical fluids may be used as a solvent or antisolvent, which significantly reduces solvent waste. Future directions include application areas such as biopharmaceuticals for dry powder inhalers and new processing strategies to improve the control over particle formation such as continuous manufacturing with in-line process analytical technologies.

  14. Plasma-Induced Damage on the Reliability of Hf-Based High-k/Dual Metal-Gates Complementary Metal Oxide Semiconductor Technology

    International Nuclear Information System (INIS)

    Weng, W.T.; Lin, H.C.; Huang, T.Y.; Lee, Y.J.; Lin, H.C.

    2009-01-01

    This study examines the effects of plasma-induced damage (PID) on Hf-based high-k/dual metal-gates transistors processed with advanced complementary metal-oxide-semiconductor (CMOS) technology. In addition to the gate dielectric degradations, this study demonstrates that thinning the gate dielectric reduces the impact of damage on transistor reliability including the positive bias temperature instability (PBTI) of n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) and the negative bias temperature instability (NBTI) of p-channel MOSFETs. This study shows that high-k/metal-gate transistors are more robust against PID than conventional SiO 2 /poly-gate transistors with similar physical thickness. Finally this study proposes a model that successfully explains the observed experimental trends in the presence of PID for high-k/metal-gate CMOS technology.

  15. Simulation and Performance Test Technology Development for Semiconductor Radiation Detection Instrument Fabrication

    International Nuclear Information System (INIS)

    Kim, Jong Kyung; Lee, W. G.; Kim, S. Y.; Shin, C. H.; Kim, K. O.; Park, J. M.; Jang, D. Y.; Kang, J. S.

    2010-06-01

    - Analysis on the Absorbed Dose and Electron Generation by Using MCNPX Code - Analysis on the Change of Measured Energy Spectrum As a Function of Bias Voltage Applied in Semiconductor Detector - Comparison of Monte Carlo Simulation Considering the Charge Collection Efficiency and Experimental Result - Development of Semiconductor Sensor Design Code Based on the Graphic User Interface - Analysis on Depth Profile of Ion-implanted Semiconductor Wafer Surface and Naturally Generated SiO2 Insulation Layer Using Auger Electron Spectroscopy - Measurement of AFM Images and Roughness to Abalyze Surface of Semiconductor Wafer with respect to Annealing and Cleaning Process - Measurement of Physical Properties for Semiconductor Detector Surface after CZT Passivation Process - Evaluation of Crystal Structure and Specific Resistance of CZT - Measurement/Analysis on Band Structure of CZT Crystal - Evaluation of Neutron Convertor Layer with respect to Change in Temperature - Measurement/Evaluation of physical characteristics for lattice parameter, specific resistance, and band structure of CZT crystal - Measurement/Evaluation of lattice transition of SiC semiconductor detector after radiation irradiation - Measurement/Evaluation of performance of semiconductor detector with respect to exposure in high temperature environment

  16. Manufacturing technology for advanced jet engines; Jisedai jetto engine no seizo gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Hirakawa, H [Kawasaki Heavy Industries Ltd., Kobe (Japan)

    1997-04-05

    A part of the latest production technologies for aircraft jet engines is introduced. Outline of the turbofan engine, turbo-prop engine, and turbo-shaft engine are given. Every one of them employs a gas turbine engine comprising a compressor, combustor, and a turbine as the output generator. Increase in the turbine inlet temperature is effective for making the gas turbine engine more efficient. The development tread of heat resisting materials for realizing higher temperature is shown. The current status and future aspect of the manufacturing technology is discussed for each main component of the engine. Technological development for decreasing weight is important because the weight of the fan member increases when the fan diameter is increased to increase the bypass ratio. FRP is adopted for the blades and casing to decrease the weight of the compressor, and studies have been made on fiber reinforced materials to reduce the weight of the disks. The outlines of the latest manufacturing technologies for the combustor and turbine are introduced. 2 refs., 9 figs.

  17. New semiconductor laser technology for gas sensing applications in the 1650nm range

    Science.gov (United States)

    Morrison, Gordon B.; Sherman, Jes; Estrella, Steven; Moreira, Renan L.; Leisher, Paul O.; Mashanovitch, Milan L.; Stephen, Mark; Numata, Kenji; Wu, Stewart; Riris, Haris

    2017-08-01

    Atmospheric methane (CH4) is the second most important anthropogenic greenhouse gas with approximately 25 times the radiative forcing of carbon dioxide (CO2) per molecule. CH4 also contributes to pollution in the lower atmosphere through chemical reactions leading to ozone production. Recent developments of LIDAR measurement technology for CH4 have been previously reported by Goddard Space Flight Center (GSFC). In this paper, we report on a novel, high-performance tunable semiconductor laser technology developed by Freedom Photonics for the 1650nm wavelength range operation, and for LIDAR detection of CH4. Devices described are monolithic, with simple control, and compatible with low-cost fabrication techniques. We present 3 different types of tunable lasers implemented for this application.

  18. A high performance, high precision, low cost rapid prototyping and manufacturing technology

    OpenAIRE

    Viacheslav R. Shulunov

    2014-01-01

    A novel roll powder sintering (RPS) technology is proposed for processing real plastic, ceramic, metal and other 3-D objects 1m³ (or more) in volume directly from a CAD model within several hours. The breakthrough advantages of the technology are compared to the dominant rapid prototyping and manufacturing (RP&M) processes that are currently on the market.

  19. Multilayer Semiconductor Charged-Particle Spectrometers for Accelerator Experiments

    Science.gov (United States)

    Gurov, Yu. B.; Lapushkin, S. V.; Sandukovsky, V. G.; Chernyshev, B. A.

    2018-03-01

    The current state of studies in the field of development of multilayer semiconductor systems (semiconductor detector (SCD) telescopes), which allow the energy to be precisely measured within a large dynamic range (from a few to a few hundred MeV) and the particles to be identified in a wide mass range (from pions to multiply charged nuclear fragments), is presented. The techniques for manufacturing the SCD telescopes from silicon and high-purity germanium are described. The issues of measuring characteristics of the constructed detectors and their impact on the energy resolution of the SCD telescopes and on the quality of the experimental data are considered. Much attention is given to the use of the constructed semiconductor devices in experimental studies at accelerators of PNPI (Gatchina), LANL (Los Alamos) and CELSIUS (Uppsala).

  20. Cost-Effective Additive Manufacturing in Space: HELIOS Technology Challenge Guide

    Science.gov (United States)

    DeVieneni, Alayna; Velez, Carlos Andres; Benjamin, David; Hollenbeck, Jay

    2012-01-01

    Welcome to the HELIOS Technology Challenge Guide. This document is intended to serve as a general road map for participants of the HELIOS Technology Challenge [HTC] Program and the associated inaugural challenge: HTC-01: Cost-Effective Additive Manufacturing in Space. Please note that this guide is not a rule book and is not meant to hinder the development of innovative ideas. Its primary goal is to highlight the objectives of the HTC-01 Challenge and to describe possible solution routes and pitfalls that such technology may encounter in space. Please also note that participants wishing to demonstrate any hardware developed under this program during any future HELIOS Technology Challenge showcase event(s) may be subject to event regulations to be published separately at a later date.

  1. Measurement stand for diagnosis of semiconductor detectors based on IBM PC/XT computer (4-way spectrometric analysis of pulses)

    International Nuclear Information System (INIS)

    Gruszecki, M.

    1990-01-01

    The technical assumptions and partial realization of our technological stand for quality inspection of semiconductor detectors for ionizing radiation manufactured in the INP in Cracow are described. To increase the efficiency of the measurements simultaneous checking of 4 semiconductor chips or finished products is suggested. In order to justify this measurement technique a review of possible variants of the measurement apparatus is presented for the systems consisting of home made units. Comparative parameters for the component modules and for complete measuring systems are given. The construction and operation of data acquisition system based on IBM PC/XT are described. The system ensures simultaneous registration of pulses obtained from 4 detectors with maximal rate of up to 500 x 10 3 pulses/s. 42 refs., 6 figs., 3 tabs. (author)

  2. Application of virtual surgical planning with computer assisted design and manufacturing technology to cranio-maxillofacial surgery.

    Science.gov (United States)

    Zhao, Linping; Patel, Pravin K; Cohen, Mimis

    2012-07-01

    Computer aided design and manufacturing (CAD/CAM) technology today is the standard in manufacturing industry. The application of the CAD/CAM technology, together with the emerging 3D medical images based virtual surgical planning (VSP) technology, to craniomaxillofacial reconstruction has been gaining increasing attention to reconstructive surgeons. This article illustrates the components, system and clinical management of the VSP and CAD/CAM technology including: data acquisition, virtual surgical and treatment planning, individual implant design and fabrication, and outcome assessment. It focuses primarily on the technical aspects of the VSP and CAD/CAM system to improve the predictability of the planning and outcome.

  3. New technologies on eggs manufacturing; Innovazione tecnologica nell`industria di lavorazione delle uova

    Energy Technology Data Exchange (ETDEWEB)

    Pizzichini, M.; Serse, A. [ENEA, Centro Ricerche Casaccia, Rome (Italy). Dip. Innovazione; Marcolini, P.; Erbisti, P. [Soc. Coop. Avicola Lessinia, Pigozzo, Verona (Italy)

    1996-10-01

    Objective of this paper is innovate the industrial manufacturing process, up grading the white egg commercial value according the following points: - improve the albumen rheological properties (whippability); - concentrate the white eggs without damaging the protein functional properties; - fractionate eggs white proteins to improve the useful application range in the pharmaceutical industry. The albumen chemical-physical properties and the protein separation technologies, based mainly on membrane techniques, are discussed. This paper represents a first technical overview on eggs manufacturing, carried out by ENEA (Italian Agency for New Technologies, Energy and the Environment) and the Avicola Lessinia, with the objective to develop a new production process.

  4. Electronic technology

    International Nuclear Information System (INIS)

    Kim, Jin Su

    2010-07-01

    This book is composed of five chapters, which introduces electronic technology about understanding of electronic, electronic component, radio, electronic application, communication technology, semiconductor on its basic, free electron and hole, intrinsic semiconductor and semiconductor element, Diode such as PN junction diode, characteristic of junction diode, rectifier circuit and smoothing circuit, transistor on structure of transistor, characteristic of transistor and common emitter circuit, electronic application about electronic equipment, communication technology and education, robot technology and high electronic technology.

  5. The new technology for manufacturing polymer Nanopowder. Part 2

    Directory of Open Access Journals (Sweden)

    GRYAZNOV Igor Vasilevich

    2016-01-01

    Full Text Available The authors studied the problem of pollution by waste polymers. The research is dedicated to the methods of recycling of polymers, in particular PTFE. It was shown that the most environmentally friendly disposal methods with the lowest cost are the methods based on stepwise mechanical dispersion. Technologies and devices based on the principles of abrasive vortex dispersion polymers have been developed and tested. To protect the intellectual property of the author the staff of LLC TARK (Ukraine and the Science and Technology Center Polymate (Israel registered patent «Method and apparatus for manufacturing submicron polymer powder» USA No. 12 / 981.202 of 29.12.2010.

  6. The new technology for manufacturing polymer Nanopowder. Part 1

    Directory of Open Access Journals (Sweden)

    GRYAZNOV Igor Vasilevich

    2015-10-01

    Full Text Available The authors studied the problem of pollution by waste polymers. The research is dedicated to the methods of recycling of polymers, in particular PTFE. It was shown that the most environmentally friendly disposal methods with the lowest cost are the methods based on stepwise mechanical dispersion. Technologies and devices based on the principles of abrasive vortex dispersion polymers have been developed and tested. To protect the intellectual property of the author the staff of LLC TARK (Ukraine and the Science and Technology Center Polymate (Israel registered patent «Method and apparatus for manufacturing submicron polymer powder» USA No. 12 / 981.202 of 29.12.2010.

  7. Fiscal 2000 achievement report. Research and development of fast-acting innovative energy-environment technology (Development of fast-acting high-efficiency solar cell technology - Development of high-quality ingot manufacturing technology); 2000 nendo shin energy sangyo gijutsu sogo kaihatsu kiko itaku kenkyu gyomu seika hokokusho. Sokkoteki kakushinteki energy kankyo gijutsu kenkyu kaihatsu (Sokkogata kokoritsu taiyo denchi gijutsu kaihatsu - Kohinshitsu ingot seizo gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Research and development was conducted of a technology for manufacturing an ultrathin polycrystalline silicon solar cell capable of efficiency enhancement and cost reduction earlier than the types of polycrystalline solar cells now available on the market. In this fiscal year, a silicon melt/solidification experimenting apparatus was built for manufacturing high-quality silicon ingots. Using an apparatus with its performance similar to the newly built one, a preliminary experiment was conducted involving high-purity silicon ingot manufacturing. In the experiment, boron was added to 75 kg of silicon for semiconductor devices so that its resistivity may be 0.5 ohm-cm. The silicon was melted in a quartz mold, and then subjected to unidirectional coagulation at 0.13 mm/min that started at the bottom to proceed upward. The result was a silicon ingot 44 cm times 44 cm times 17 cm (height). The ingot thus obtained exhibited 0.4-0.8 ohm-cm in resistivity distribution. Solar cells produced from the ingot showed a conversion rate of 16.9%. (NEDO)

  8. From technology transfer to local manufacturing: China's emergence in the global wind power industry

    Science.gov (United States)

    Lewis, Joanna Ingram

    This dissertation examines the development of China's large wind turbine industry, including the players, the status of the technology, and the strategies used to develop turbines for the Chinese market. The primary goals of this research project are to identify the models of international technology transfer that have been used among firms in China's wind power industry; examine to what extent these technology transfers have contributed to China's ability to locally manufacture large wind turbine technology; and evaluate China's ability to become a major player in the global wind industry. China is a particularly important place to study the opportunities for and dynamics of clean energy development due to its role in global energy consumption. China is the largest coal consuming and producing nation in the world, and consequently the second largest national emitter of carbon dioxide after only the United States. Energy consumption and carbon emissions are growing rapidly, and China is expected to surpass the US and become the largest energy consuming nation and carbon dioxide emitter in coming decades. The central finding of this dissertation is that even though each firm involved in the large wind turbine manufacturing industry in China has followed a very different pathway of technology procurement for the Chinese market, all of the firms are increasing the utilization of locally-manufactured components, and many are doing so without transferring turbine technology or the associated intellectual property. Only one fully Chinese-owned firm, Goldwind, has succeeded in developing a commercially available large wind turbine for the Chinese market. No Chinese firms or foreign firms are manufacturing turbines in China for export overseas, though many have stated plans to do so. There already exists a possible niche market for the smaller turbines that are currently being made in China, particularly in less developed countries that are looking for less expensive

  9. Semiconductor measurement technology: reliability technology for cardiac pacemakers 2: a workshop report, 1976

    International Nuclear Information System (INIS)

    Schafft, H.A.

    1977-01-01

    Summaries are presented of 12 invited talks on the following topics: the procurement and assurance of high reliability electronic parts, leak rate and moisture measurements, pacemaker batteries, and pacemaker leads. The workshop, second in a series, was held in response to strong interest expressed by the pacemaker community to address technical questions relevant to the enhancement and assurance of cardiac pacemaker reliability. Discussed at the workshop were a process validation wafer concept for assuring process uniformity in device chips; screen tests for assuring reliable electronic parts; reliability prediction; reliability comparison of semiconductor technologies; mechanisms of short-circuiting dendritic growths; details of helium and radioisotope leak test methods; a study to correlate package leak rates, as measured with test gasses, and actual moisture infusion; battery life prediction; microcalorimetric measurements to nondestructively evaluate batteries for pacemakers; and an engineer's and a physician's view of the present status of pacemaker leads. References are included with most of the reports

  10. Educating Tomorrow's Workforce: A Report on the Semiconductor Industry's Commitment to Youth in K-12.

    Science.gov (United States)

    Semiconductor Industry Association, San Jose, CA.

    The U.S. semiconductor industry, now the nation's largest manufacturing industry, displays its commitment to training its current workers and educating future workers by supporting educational efforts on the K-12 level. This catalog describes innovative actions by 16 Semiconductor Industry Association companies to improve education at the K-12…

  11. [Chinese medicine industry 4.0:advancing digital pharmaceutical manufacture toward intelligent pharmaceutical manufacture].

    Science.gov (United States)

    Cheng, Yi-Yu; Qu, Hai-Bin; Zhang, Bo-Li

    2016-01-01

    A perspective analysis on the technological innovation in pharmaceutical engineering of Chinese medicine unveils a vision on "Future Factory" of Chinese medicine industry in mind. The strategy as well as the technical roadmap of "Chinese medicine industry 4.0" is proposed, with the projection of related core technology system. It is clarified that the technical development path of Chinese medicine industry from digital manufacture to intelligent manufacture. On the basis of precisely defining technical terms such as process control, on-line detection and process quality monitoring for Chinese medicine manufacture, the technical concepts and characteristics of intelligent pharmaceutical manufacture as well as digital pharmaceutical manufacture are elaborated. Promoting wide applications of digital manufacturing technology of Chinese medicine is strongly recommended. Through completely informationized manufacturing processes and multi-discipline cluster innovation, intelligent manufacturing technology of Chinese medicine should be developed, which would provide a new driving force for Chinese medicine industry in technology upgrade, product quality enhancement and efficiency improvement. Copyright© by the Chinese Pharmaceutical Association.

  12. Technological review of the HRP manufacturing process R and D activity

    International Nuclear Information System (INIS)

    Visca, Eliseo; Pizzuto, A.; Gavila, P.; Riccardi, B.; Roccella, S.; Candura, D.; Sanguinetti, G.P.

    2013-01-01

    Highlights: • R and D activities for the manufacturing of ITER divertor high heat flux plasma-facing components (HHFC). • ENEA and Ansaldo have jointly manufactured several actively cooled monoblock mock-ups and prototypical components. • Successful manufacturing by HRP (hot radial pressing) and PBC (pre-brazed casting) of both W and CFC armoured small and medium scale mockups. • ENEA-ANSALDO participate to the European programme for the qualification of the manufacturing technology for the ITER divertor IVT. • A qualification divertor inner vertical target prototype successfully tested at ITER relevant thermal heat fluxes. -- Abstract: ENEA and Ansaldo Nucleare S.p.A. have been deeply involved in the European International Thermonuclear Experimental Reactor (ITER) R and D activities for the manufacturing of high heat flux plasma-facing components (HHFC), and in particular for the inner vertical target (IVT) of the ITER divertor. This component has to be manufactured by using both armour and structural materials whose properties are defined by ITER. Their physical properties prevent the use of standard joining techniques. The reference armour materials are tungsten and carbon/carbon fibre composite (CFC). The cooling pipe is made of copper alloy (CuCrZr-IG). During the last years ENEA and Ansaldo have jointly manufactured several actively cooled monoblock mock-ups and prototypical components of different length, geometry and materials, by using innovative processes: HRP (hot radial pressing) and PBC (pre-brazed casting). The history of the technical issues solved during the R and D phase and the improvements implemented to the assembling tools and equipments are reviewed in the paper together with the testing results. The optimization of the processes started from the successful manufacturing of both W and CFC armoured small scale mockups thermal fatigue tested in the worst ITER operating condition (20 MW/m 2 ) through the achievement of record

  13. Technological review of the HRP manufacturing process R and D activity

    Energy Technology Data Exchange (ETDEWEB)

    Visca, Eliseo, E-mail: eliseo.visca@enea.it [Associazione EURATOM-ENEA sulla Fusione, C.R. Frascati, Via E. Fermi 45, IT-00044 Frascati (Italy); Pizzuto, A. [Associazione EURATOM-ENEA sulla Fusione, C.R. Frascati, Via E. Fermi 45, IT-00044 Frascati (Italy); Gavila, P.; Riccardi, B. [Fusion For Energy, C. Josep Pla 2, ES-08019 Barcelona (Spain); Roccella, S. [Associazione EURATOM-ENEA sulla Fusione, C.R. Frascati, Via E. Fermi 45, IT-00044 Frascati (Italy); Candura, D.; Sanguinetti, G.P. [Ansaldo Nucleare S.p.A., Corso Perrone 25, IT-16121 Genova (Italy)

    2013-10-15

    Highlights: • R and D activities for the manufacturing of ITER divertor high heat flux plasma-facing components (HHFC). • ENEA and Ansaldo have jointly manufactured several actively cooled monoblock mock-ups and prototypical components. • Successful manufacturing by HRP (hot radial pressing) and PBC (pre-brazed casting) of both W and CFC armoured small and medium scale mockups. • ENEA-ANSALDO participate to the European programme for the qualification of the manufacturing technology for the ITER divertor IVT. • A qualification divertor inner vertical target prototype successfully tested at ITER relevant thermal heat fluxes. -- Abstract: ENEA and Ansaldo Nucleare S.p.A. have been deeply involved in the European International Thermonuclear Experimental Reactor (ITER) R and D activities for the manufacturing of high heat flux plasma-facing components (HHFC), and in particular for the inner vertical target (IVT) of the ITER divertor. This component has to be manufactured by using both armour and structural materials whose properties are defined by ITER. Their physical properties prevent the use of standard joining techniques. The reference armour materials are tungsten and carbon/carbon fibre composite (CFC). The cooling pipe is made of copper alloy (CuCrZr-IG). During the last years ENEA and Ansaldo have jointly manufactured several actively cooled monoblock mock-ups and prototypical components of different length, geometry and materials, by using innovative processes: HRP (hot radial pressing) and PBC (pre-brazed casting). The history of the technical issues solved during the R and D phase and the improvements implemented to the assembling tools and equipments are reviewed in the paper together with the testing results. The optimization of the processes started from the successful manufacturing of both W and CFC armoured small scale mockups thermal fatigue tested in the worst ITER operating condition (20 MW/m{sup 2}) through the achievement of record

  14. The Extreme-Technology Industry

    Science.gov (United States)

    Hoefflinger, Bernd

    The persistent annual R&D quota of >15% of revenue in the semiconductor industry has been and continues to be more than twice as high as the OECD definition for High-Technology Industry. At the frontiers of miniaturization, the Cost-of-Ownership (COO) continues to rise upwards to beyond 10 billion for a Gigafactory. Only leaders in the world market for selected processors and memories or for foundry services can afford this. Others can succeed with high-value custom products equipped with high-performance application-specific standard products acquired from the leaders in their specific fields or as fabless original-device manufacturers buying wafers from top foundries and packaging/testing from contract manufacturers, thus eliminating the fixed cost for a factory. An overview is offered on the leaders in these different business models. In view of the coming highly diversified and heterogeneous world of nanoelectronic-systems competence, the point is made for global networks of manufacturing and services with the highest standards for product quality and liability.

  15. A Novel Semiconductor CIGS Photovoltaic Material and Thin-Film ED Technology

    Institute of Scientific and Technical Information of China (English)

    2001-01-01

    In order to achieve low-cost high-efficiency thin-film solar cells, a novel Semiconductor Photovoltaic (PV) active material CuIn1-xGaxSe2 (CIGS) and thin-film Electro-Deposition (ED) technology is explored. Firstly,the PV materials and technologies is investigated, then the detailed experimental processes of CIGS/Mo/glass structure by using the novel ED technology and the results are reported. These results shows that high quality CIGS polycrystalline thin-films can be obtained by the ED method, in which the polycrystalline CIGS is definitely identified by the (112), (204, 220) characteristic peaks of the tetragonal structure, the continuous CIGS thin-film layers with particle average size of about 2μm of length and around 1.6μm of thickness. The thickness and solargrade quality of CIGS thin-films can be produced with good repeatability. Discussion and analysis on the ED technique, CIGS energy band and sodium (Na) impurity properties, were also performed. The alloy CIGS exhibits not only increasing band-gap with increasing x, but also a change in material properties that is relevant to the device operation. The beneficial impurity Na originating from the low-cost soda-lime glass substrate becomes one prerequisite for high quality CIGS films. These novel material and technology are very useful for low-cost high-efficiency thin-film solar cells and other devices.

  16. NASA's Additive Manufacturing Development Materials Science to Technology Infusion - Connecting the Digital Dots

    Science.gov (United States)

    Vickers, John

    2017-01-01

    At NASA, the first steps of the Journey to Mars are well underway with the development of NASA's next generation launch system and investments in research and technologies that should increase the affordability, capability, and safety of exploration activities. Additive Manufacturing presents a disruptive opportunity for NASA to design and manufacture hardware with new materials at dramatically reduced cost and schedule. Opportunities to incorporate additive manufacturing align very well with NASA missions and with most NASA programs related to space, science, and aeronautics. The Agency also relies on many partnerships with other government agencies, industry and academia.

  17. Advanced manufacturing technologies modern machining, advanced joining, sustainable manufacturing

    CERN Document Server

    2017-01-01

    This book provides details and collective information on working principle, process mechanism, salient features, and unique applications of various advanced manufacturing techniques and processes belong. The book is divided in three sessions covering modern machining methods, advanced repair and joining techniques and, finally, sustainable manufacturing. The latest trends and research aspects of those fields are highlighted.

  18. Semiconductor radiation detectors. Device physics

    International Nuclear Information System (INIS)

    Lutz, G.

    2007-01-01

    Starting from basic principles, the author, whose own contributions to these developments have been significant, describes the rapidly growing field of modern semiconductor detectors used for energy and position measurement radiation. This development was stimulated by requirements in elementary particle physics where it has led to important scientific discoveries. It has now spread to many other fields of science and technology. The book is written in a didactic way and includes an introduction to semiconductor physics. The working principles of semiconductor radiation detectors are explained in an intuitive way, followed by formal quantitative analysis. Broad coverage is also given to electronic signal readout and to the subject of radiation damage. The book is the first to comprehensively cover the semiconductor radiation detectors currently in use. It is useful as a teaching guide and as a reference work for research and applications. (orig.)

  19. Carbon fiber manufacturing via plasma technology

    Science.gov (United States)

    Paulauskas, Felix L.; Yarborough, Kenneth D.; Meek, Thomas T.

    2002-01-01

    The disclosed invention introduces a novel method of manufacturing carbon and/or graphite fibers that avoids the high costs associated with conventional carbonization processes. The method of the present invention avoids these costs by utilizing plasma technology in connection with electromagnetic radiation to produce carbon and/or graphite fibers from fully or partially stabilized carbon fiber precursors. In general, the stabilized or partially stabilized carbon fiber precursors are placed under slight tension, in an oxygen-free atmosphere, and carbonized using a plasma and electromagnetic radiation having a power input which is increased as the fibers become more carbonized and progress towards a final carbon or graphite product. In an additional step, the final carbon or graphite product may be surface treated with an oxygen-plasma treatment to enhance adhesion to matrix materials.

  20. Does external technology acquisition determine export performance? Evidence from Chinese manufacturing firms

    DEFF Research Database (Denmark)

    Wang, Yuandi; Cao, Wei; Zhou, Zhao

    2013-01-01

    understanding of the determinants of export performance by examining the impact of the inter-organizational dimension of innovation strategy to export performance, which has been ignored in the prevailing “strategy tripod” perspective of exporting research. This study is based on a sample of 141 Chinese...... indigenous manufacturing firms that engaged in inward technology licensing between 2000 and 2003. The empirical results indicate that external technology acquisitions positively influence Chinese firms’ export performance. Moreover the exporting performance of using external technology varies depending...

  1. Technology clubs, R&D and growth patterns : Evidence from EU manufacturing

    NARCIS (Netherlands)

    Bos, J. W. B.; Economidou, C.; Koetter, M.

    This paper investigates the forces driving output change in a panel of EU manufacturing industries. A flexible modeling strategy is adopted that accounts for: (i) inefficient use of resources and (ii) differences in the production technology across industries. With Our model we are able to identify

  2. Benchmarks of Global Clean Energy Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Sandor, Debra [National Renewable Energy Lab. (NREL), Golden, CO (United States); Chung, Donald [National Renewable Energy Lab. (NREL), Golden, CO (United States); Keyser, David [National Renewable Energy Lab. (NREL), Golden, CO (United States); Mann, Margaret [National Renewable Energy Lab. (NREL), Golden, CO (United States); Engel-Cox, Jill [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2017-01-01

    The Clean Energy Manufacturing Analysis Center (CEMAC), sponsored by the U.S. Department of Energy (DOE) Office of Energy Efficiency and Renewable Energy (EERE), provides objective analysis and up-to-date data on global supply chains and manufacturing of clean energy technologies. Benchmarks of Global Clean Energy Manufacturing sheds light on several fundamental questions about the global clean technology manufacturing enterprise: How does clean energy technology manufacturing impact national economies? What are the economic opportunities across the manufacturing supply chain? What are the global dynamics of clean energy technology manufacturing?

  3. Flexible photonic-crystal Fano filters based on transferred semiconductor nanomembranes

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Weidong; Yang Hongjun; Qiang Zexuan; Chen Li; Yang Weiquan; Chuwongin, Santhad; Zhao Deyin [Department of Electrical Engineering, NanoFAB Center, University of Texas at Arlington, TX 76019 (United States); Ma Zhenqiang; Qin Guoxuan; Pang Huiqing, E-mail: wzhou@uta.ed, E-mail: mazq@engr.wisc.ed [Department of Electrical and Computer Engineering, University of Wisconsin-Madison, WI 53706 (United States)

    2009-12-07

    Crystalline semiconductor nanomembranes (NMs), which are transferable, stackable, bondable and manufacturable, offer unprecedented opportunities for unique and novel device applications. We report and review here nanophotonic devices based on stacked semiconductor NMs that were built on Si, glass and flexible PET substrates. Photonic-crystal Fano resonance based surface-normal optical filters and broadband reflectors have been demonstrated with unique angle and polarization properties. Such a low temperature NM stacking process can lead to a paradigm shift on silicon photonic integration and inorganic flexible photonics.

  4. A review on manufacturing technology for long-lived radionuclide fuel compounds

    International Nuclear Information System (INIS)

    Hwang, Doo Seong; Park, Jin Ho; Kim, Eung Ho; Chung, Won Myung; Lee, Kui Ill; Woo, Moon Sik; Kim, Yeon Ku; Yoo, Jae Hyung

    1998-03-01

    Thermal neutron reactor (LWR), fast neutron reactor (FBR), accelerator-driven subcritical system have been studied as the potential transmutation devices. The fuel types can be classified according to the concept of each reactor. Oxide fuel is considered in LWR and metal, oxide, and nitride fuels are studied in FBR. In accelerator-driven subcritical system molten salt, metal, and oxide fuels are considered. This review focused on characteristics according to transmutation system, and manufacturing technologies of each fuels. Accelerator-driven system is being proposed as the most reasonable concept in recent, since it has merits in terms of stability and free control of nuclides composition rate in charge of long-lived nuclides. Fluorides molten salt fuel is better chemically stable and corrosion resistant, and lower vapor pressure than chloride molten salt and metal in the fuel type of accelerator-driven system. And then the detail manufacturing technology of fluorides molten salt were reviewed. (author). 62 refs., 23 tabs., 37 figs

  5. An esthetics rehabilitation with computer-aided design/ computer-aided manufacturing technology.

    Science.gov (United States)

    Mazaro, Josá Vitor Quinelli; de Mello, Caroline Cantieri; Zavanelli, Adriana Cristina; Santiago, Joel Ferreira; Amoroso, Andressa Paschoal; Pellizzer, Eduardo Piza

    2014-07-01

    This paper describes a case of a rehabilitation involving Computer Aided Design/Computer Aided Manufacturing (CAD-CAM) system in implant supported and dental supported prostheses using zirconia as framework. The CAD-CAM technology has developed considerably over last few years, becoming a reality in dental practice. Among the widely used systems are the systems based on zirconia which demonstrate important physical and mechanical properties of high strength, adequate fracture toughness, biocompatibility and esthetics, and are indicated for unitary prosthetic restorations and posterior and anterior framework. All the modeling was performed by using CAD-CAM system and prostheses were cemented using resin cement best suited for each situation. The rehabilitation of the maxillary arch using zirconia framework demonstrated satisfactory esthetic and functional results after a 12-month control and revealed no biological and technical complications. This article shows the important of use technology CAD/CAM in the manufacture of dental prosthesis and implant-supported.

  6. Evaluation of Technological Trends and Demands of the Manufacturing Industry to a Center of R&D&I

    Directory of Open Access Journals (Sweden)

    Leone Peter Correia da Silva Andrade

    2015-10-01

    Full Text Available The manufacturing industry is fairly representative in the Brazilian economy. The research activities in technology, development and innovation promoted by technology centers are of great importance to boost the competitiveness of this segment. In this context, this work aims presenting the development of the strategic planning for a Center of R&D&I (Research & Development & Innovation, looking 20 years ahead, on a macro level, creating a master plan which summarizes the future focus areas of competence for technology research, development and innovation, coping with manufacturing trends, using a participative workshop approach. Thus, it is expected that this center offer integrated technological solutions with high added value that promote the development and competitiveness of the manufacturing industry, in the prospects for medium and long term. In order to achieve the project objectives taking the principle of strategic planning was followed. On the one hand, focus was placed on the internal perspective analyzing the current status of the Center. On the other hand, the environment of the Center (external perspective was analyzed. Matching the analysis results regarding both perspectives future competence areas were derived, according to global technological trends as well as national and local industrial demand. Thus, the competencies required to be developed by a technology center to meet the manufacturing industry over the next twenty years would be derived.

  7. Behind the development of technology: The transition of innovation modes in China’s wind turbine manufacturing industry

    International Nuclear Information System (INIS)

    Ru Peng; Zhi Qiang; Zhang Fang; Zhong Xiaotian; Li Jianqiang; Su Jun

    2012-01-01

    The market scale of China’s wind turbine manufacturing industry has grown immensely. Despite China still having a limited capacity in terms of technology innovation, the institutional support has promoted the technology capability development of the wind turbine manufacturing industry. This paper explores the driving forces underlying this development by reviewing the transition of the innovation modes and the dynamic interactions among the technology capability, innovation modes, market formation, and wind energy policy. The innovation mode in China began with imitative innovation, then transitioned to cooperative innovation, and has more recently set its sights on attaining truly indigenous innovation. Public policy serves as a key driving force for the evolution of innovation modes, as well as the development of the market. The policy focus has evolved in the following sequence: 1. building the foundation for technological innovation; 2. encouraging technology transfer; 3. enhancing local R and D and manufacturing capabilities; 4. enlarging the domestic market; and 5. cultivating an open environment for global competition and sustainable market development in China. - Highlights: ► New data were provided for China’s wind turbine manufacturing industry. ► The transition of innovation modes in the industry is reviewed. ► The interaction among the technology, market, policy, and innovation mode is explored. ► Public policies are the key driving forces for the transition.

  8. Measurement of ionising radiation semiconductor detectors: a review

    International Nuclear Information System (INIS)

    Aussel, J.P.

    1986-06-01

    Manufacturing techniques for nuclear detectors using semiconductors are constantly advancing, and a large range of models with different specificities and characteristics are available. After a theoretical reminder, this report describes the main types of detectors, their working and their preferential use. A comparative table guides the neophyte reader in his choice [fr

  9. Characterization of semiconductor and frontier materials by nuclear microprobe technology

    International Nuclear Information System (INIS)

    Zhu Jieqing; Li Xiaolin; Yang Changyi; Lu Rongrong; Wang Jiqing; Guo Panlin

    2002-01-01

    The nuclear microprobe technology is used to characterize the properties of semiconductor and other frontier materials at the stages of their synthesis, modification, integration and application. On the basis of the beam current being used, the analytical nuclear microprobe techniques being used in this project can be divided into two categories: high beam current (PIXE, RBS, PEB) or low beam current (IBIC, STIM) techniques. The material properties measured are the thickness and composition of a composite surface on a SiC ceramic, the sputtering-induced surface segregation and depth profile change in a Ag-Cu binary alloy, the irradiation effects on the CCE of CVD diamond, the CCE profile at a polycrystalline CVD diamond film and a GaAs diode at different voltage biases and finally, the characterization of individual sample on an integrated material chip. (author)

  10. Technician Training for the Semiconductor Microdevices Industry. Final Report.

    Science.gov (United States)

    Center for Occupational Research and Development, Inc., Waco, TX.

    The Center for Occupational Research and Development (CORD) carried out four activities to foster semiconductor manufacturing technician (SMT) training: (1) collaboration with industry experts and educators while developing a curriculum to train SMTs; (2) implementation and testing of the curriculum at a technical college; (3) dissemination of…

  11. Semiconductor detectors with proximity signal readout

    International Nuclear Information System (INIS)

    Asztalos, Stephen J.

    2012-01-01

    Semiconductor-based radiation detectors are routinely used for the detection, imaging, and spectroscopy of x-rays, gamma rays, and charged particles for applications in the areas of nuclear and medical physics, astrophysics, environmental remediation, nuclear nonproliferation, and homeland security. Detectors used for imaging and particle tracking are more complex in that they typically must also measure the location of the radiation interaction in addition to the deposited energy. In such detectors, the position measurement is often achieved by dividing or segmenting the electrodes into many strips or pixels and then reading out the signals from all of the electrode segments. Fine electrode segmentation is problematic for many of the standard semiconductor detector technologies. Clearly there is a need for a semiconductor-based radiation detector technology that can achieve fine position resolution while maintaining the excellent energy resolution intrinsic to semiconductor detectors, can be fabricated through simple processes, does not require complex electrical interconnections to the detector, and can reduce the number of required channels of readout electronics. Proximity electrode signal readout (PESR), in which the electrodes are not in physical contact with the detector surface, satisfies this need

  12. Possible market entry of a firm with an additive manufacturing technology

    NARCIS (Netherlands)

    Hartl, R.F.; Kort, Peter

    2017-01-01

    One of the principle characteristics of additive manufacturing is that customers get access to their own design, i.e., they need not choose from a small number of standard products. The paper considers a framework where an incumbent with a standard technology produces a limited number of standard

  13. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    Science.gov (United States)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  14. Development of technologies for solar energy utilization

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-09-01

    With relation to the development of photovoltaic power systems for practical use, studies were made on thin-substrate polycrystalline solar cells and thin-film solar cells as manufacturing technology for solar cells for practical use. The technological development for super-high efficiency solar cells was also being advanced. Besides, the research and development have been conducted of evaluation technology for photovoltaic power systems and systems to utilize the photovoltaic power generation and peripheral technologies. The demonstrative research on photovoltaic power systems was continued. The international cooperative research on photovoltaic power systems was also made. The development of a manufacturing system for compound semiconductors for solar cells was carried out. As to the development of solar energy system technologies for industrial use, a study of elemental technologies was first made, and next the development of an advanced heat process type solar energy system was commenced. In addition, the research on passive solar systems was made. An investigational study was carried out of technologies for solar cities and solar energy snow melting systems. As international joint projects, studies were made of solar heat timber/cacao drying plants, etc. The paper also commented on projects for international cooperation for the technological development of solar energy utilization systems. 26 figs., 15 tabs.

  15. Combat Ration Network for Technology Implementation. Polymeric Tray Manufacturability, Part 2 (Short Term Project - STP1002B)

    National Research Council Canada - National Science Library

    Bruins, Henderikus

    1999-01-01

    .... Therefore, a second Polymeric Tray Manufacturability at the CORANET Demonstration Site at Rutgers University Food Manufacturing Technology Facility was conducted on June 9-10, 1998 to specifically address these issues...

  16. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  17. Smart Manufacturing.

    Science.gov (United States)

    Davis, Jim; Edgar, Thomas; Graybill, Robert; Korambath, Prakashan; Schott, Brian; Swink, Denise; Wang, Jianwu; Wetzel, Jim

    2015-01-01

    Historic manufacturing enterprises based on vertically optimized companies, practices, market share, and competitiveness are giving way to enterprises that are responsive across an entire value chain to demand dynamic markets and customized product value adds; increased expectations for environmental sustainability, reduced energy usage, and zero incidents; and faster technology and product adoption. Agile innovation and manufacturing combined with radically increased productivity become engines for competitiveness and reinvestment, not simply for decreased cost. A focus on agility, productivity, energy, and environmental sustainability produces opportunities that are far beyond reducing market volatility. Agility directly impacts innovation, time-to-market, and faster, broader exploration of the trade space. These changes, the forces driving them, and new network-based information technologies offering unprecedented insights and analysis are motivating the advent of smart manufacturing and new information technology infrastructure for manufacturing.

  18. Design for manufacturability from 1D to 4D for 90-22 nm technology nodes

    CERN Document Server

    Balasinski, Artur

    2013-01-01

    This book explains integrated circuit design for manufacturability (DfM) at the product level (packaging, applications) and applies engineering DfM principles to the latest standards of product development at 22 nm technology nodes.  It is a valuable guide for layout designers, packaging engineers and quality engineers, covering DfM development from 1D to 4D, involving IC design flow setup, best practices, links to manufacturing and product definition, for process technologies down to 22 nm node, and product families including memories, logic, system-on-chip and system-in-package.

  19. Quantum optics with semiconductor nanostructures

    CERN Document Server

    Jahnke, Frank

    2012-01-01

    A guide to the theory, application and potential of semiconductor nanostructures in the exploration of quantum optics. It offers an overview of resonance fluorescence emission.$bAn understanding of the interaction between light and matter on a quantum level is of fundamental interest and has many applications in optical technologies. The quantum nature of the interaction has recently attracted great attention for applications of semiconductor nanostructures in quantum information processing. Quantum optics with semiconductor nanostructures is a key guide to the theory, experimental realisation, and future potential of semiconductor nanostructures in the exploration of quantum optics. Part one provides a comprehensive overview of single quantum dot systems, beginning with a look at resonance fluorescence emission. Quantum optics with single quantum dots in photonic crystal and micro cavities are explored in detail, before part two goes on to review nanolasers with quantum dot emitters. Light-matter interaction...

  20. Virtual Manufacturing Techniques Designed and Applied to Manufacturing Activities in the Manufacturing Integration and Technology Branch

    Science.gov (United States)

    Shearrow, Charles A.

    1999-01-01

    One of the identified goals of EM3 is to implement virtual manufacturing by the time the year 2000 has ended. To realize this goal of a true virtual manufacturing enterprise the initial development of a machinability database and the infrastructure must be completed. This will consist of the containment of the existing EM-NET problems and developing machine, tooling, and common materials databases. To integrate the virtual manufacturing enterprise with normal day to day operations the development of a parallel virtual manufacturing machinability database, virtual manufacturing database, virtual manufacturing paradigm, implementation/integration procedure, and testable verification models must be constructed. Common and virtual machinability databases will include the four distinct areas of machine tools, available tooling, common machine tool loads, and a materials database. The machine tools database will include the machine envelope, special machine attachments, tooling capacity, location within NASA-JSC or with a contractor, and availability/scheduling. The tooling database will include available standard tooling, custom in-house tooling, tool properties, and availability. The common materials database will include materials thickness ranges, strengths, types, and their availability. The virtual manufacturing databases will consist of virtual machines and virtual tooling directly related to the common and machinability databases. The items to be completed are the design and construction of the machinability databases, virtual manufacturing paradigm for NASA-JSC, implementation timeline, VNC model of one bridge mill and troubleshoot existing software and hardware problems with EN4NET. The final step of this virtual manufacturing project will be to integrate other production sites into the databases bringing JSC's EM3 into a position of becoming a clearing house for NASA's digital manufacturing needs creating a true virtual manufacturing enterprise.

  1. Semiconductor laser technology for remote sensing experiments

    Science.gov (United States)

    Katz, Joseph

    1988-01-01

    Semiconductor injection lasers are required for implementing virtually all spaceborne remote sensing systems. Their main advantages are high reliability and efficiency, and their main roles are envisioned in pumping and injection locking of solid state lasers. In some shorter range applications they may even be utilized directly as the sources.

  2. Micro/Nano manufacturing

    DEFF Research Database (Denmark)

    Tosello, Guido

    2017-01-01

    Micro- and nano-scale manufacturing has been the subject of an increasing amount of interest and research effort worldwide in both academia and industry over the past 10 years.Traditional (MEMS) manufacturing, but also precision manufacturing technologies have been developed to cover micro......-scale dimensions and accuracies. Furthermore, these fundamentally different technology ecosystems are currently combined in order to exploit strengths of both platforms. One example is the use of lithography-based technologies to establish nanostructures that are subsequently transferred to 3D geometries via...

  3. Development of optimum manufacturing technologies of radial plates for the ITER toroidal field coils

    International Nuclear Information System (INIS)

    Nakajima, H.; Hamada, K.; Okuno, K.; Abe, K.; Shimizu, T.; Kakui, H.; Yamaoka, H.; Maruyama, N.; Takayanagi, T.

    2007-01-01

    Japan Atomic Energy Agency is studying rational manufacturing method and developing the optimum manufacturing technologies of the radial plates used in the toroidal field coils for the International Thermonuclear Experimental Reactor (ITER) in collaboration with the Japanese industries. Three sector form pieces were cut by plasma cutting machine from a hot rolled plate without any difficulties and one of them was machined to a 1.32-m long curved segment of the radial plate having the same size as the actual one. However, unacceptable large deformation about 5 mm flatness, which was not observed in 1-m long straight radial plate, was found after intermediate machining. Since it would be caused by groove direction against the hot rolled direction and/or curved shape of grooves, two trial manufactures of 0.4-m long straight radial plates have been performed to clarify the cause of the large deformation. Detailed investigation showed that the large deformation could be avoided if the groove direction would have been parallel to a rolling direction of the plate. Welding trials by using fiber laser technique was also performed and penetration of 15 mm could be obtained in a welding speed of 0.1 m/min at 5 kW laser power. An optimum manufacturing method has been proposed based on the development of manufacturing technologies

  4. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  5. Manufacturing Initiative

    Data.gov (United States)

    National Aeronautics and Space Administration — The Advanced Manufacturing Technologies (AMT) Project supports multiple activities within the Administration's National Manufacturing Initiative. A key component of...

  6. Improvements in or relating to semiconductor devices

    International Nuclear Information System (INIS)

    Cooper, K.; Groves, I.S.; Leigh, P.A.; McIntyre, N.; O'Hara, S.; Speight, J.D.

    1980-01-01

    A method of producing semiconductor devices is described consisting of a series of physical and chemical techniques which results in the production of semiconductor devices such as IMPATT diodes of DC-RF efficiency and high reliability (lifetime). The diodes can be mass produced without significant variation of the technology. One of the techniques used is the high energy proton bombardment of the semiconductor material in depth to passivate specific zones. The energy of the protons is increased in stages at intervals of less than 0.11 MeV up to a predetermined maximum energy. (UK)

  7. Development of manufacturing technology and fabrication of prototype for main coolant pump

    Energy Technology Data Exchange (ETDEWEB)

    Chung, Koon Seok; Han, C.K.; Chei, J.M.; Chung, K.S.; Youn, M.H.; Shin, S.A.; Choi, D.J.; Kim, H.C. [HALLA Industrial Co., Ltd., Pusan (Korea)

    1999-03-01

    This study presents the development of the manufacturing technology for the Main Coolant Pump of the SMART. This report contains the followings; (1) Select axial type pump for the MCP (2) MCP is drived by squirrel-cage induction motor that consisted canned motor type. (3) MCP shaft has three horizontal and one vertical support bearings. (4) Design of several part of the MCP (5) Manufacturing of the performance test motor (6) Design and manufacturing of the speed sensor (7) Procedures for three-axial and five-axial M.C.T., Tig welding and Electron Beam Welding were developed. (8) Conceptional design of the MCP test facility for the performance test under operating conditions. (9) Results of standard weld test specimens according to the ASME section IX. (author). 21 refs., 35 figs., 10 tabs.

  8. Assessing the interaction effect of cost control systems and information technology integration on manufacturing plant financial performance

    OpenAIRE

    Maiga, Adam S; Nilsson, Anders; Jacobs, Fred

    2014-01-01

    The interface between management control and information technology is an under-developed research area with a knowledge gap concerning its implications for financial performance. This study contributes to bridging this gap by investigates the interaction effect of cost control systems and information technology integration on manufacturing plant financial performance. We surveyed a sample of 518 managers of U.S. manufacturing plants, approximately evenly distributed between those using activ...

  9. Manufacture of conical springs with elastic medium technology improvement

    Science.gov (United States)

    Kurguzov, S. A.; Mikhailova, U. V.; Kalugina, O. B.

    2018-01-01

    This article considers the manufacturing technology improvement by using an elastic medium in the stamping tool forming space to improve the conical springs performance characteristics and reduce the costs of their production. Estimation technique of disk spring operational properties is developed by mathematical modeling of the compression process during the operation of a spring. A technique for optimizing the design parameters of a conical spring is developed, which ensures a minimum voltage value when operated in the edge of the spring opening.

  10. Clean Economy, Living Planet. The Race to the Top of Global Clean Energy Technology Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Van der Slot, A.; Van den Berg, W. [Roland Berger Strategy Consultants RBSC, Amsterdam (Netherlands)

    2012-05-15

    For four years, WWF and Roland Berger have tracked developments in the global clean energy technology (cleantech) sector and ranked countries according to their cleantech sales. The 3rd annual 'Clean Economy, Living Planet' report ranks 40 countries based on the 2011 sales value of the clean energy technology products they manufacture. The report shows that the EU has lost its position to China as the leader in the fast growing global cleantech energy manufacturing sector. However, when cleantech sales are weighted as a percentage of GDP, Denmark and Germany occupied the first and third position globally. Last year the sector's global sales value rose by 10% to almost 200 billion euros, close to the scale of consumer electronics manufacturing. It is projected to overtake oil and gas equipment in the next three years.

  11. An integrated approach for developing a technology strategy framework for small- to medium-sized furniture manufacturers to improve competitiveness

    Directory of Open Access Journals (Sweden)

    Lourens, A.S.

    2013-05-01

    Full Text Available Low-technology firms, such as those found within the furniture manufacturing industry, have no framework or methodology to guide them successfully to acquire and integrate technology that enables them to operate more competitively. The aim of this article is to illustrate the development of a technology strategy framework for small- to medium-sized furniture manufacturers to assist them to improve their competitiveness. More specifically, this article presents an integrated technology strategy framework that enables management to integrate their business strategy with their technology requirements successfully, thus improving competitiveness.

  12. Is There A Rural-Urban Technology Gap? Results of the ERS Rural Manufacturing Survey

    OpenAIRE

    Gale, H. Frederick, Jr.

    1997-01-01

    Advanced technology use is less prevalent in rural than in urban manufacturing plants, but plants of comparable size in the same industry use about the same level of technology, regardless of urban/rural location. The rural gap comes about because the mix of rural industries is more heavily weighted with "low-technology" industries. Both rural and urban businesses rate inadequate worker skills as the most important barrier to use of new production technologies and management practices, while ...

  13. Texas Manufacturing Technology Center feasibility study for the Inland Regional Industrial Technology Institute

    International Nuclear Information System (INIS)

    1994-01-01

    This document presents the Texas Manufacturing Technology Center (TMTC) Business Plan to convert the Central Facility of the Superconducting Super Collider project to alternate uses. The plan is divided into six sections: (1) Executive Summary, (2) Market and Benefit Analysis, (3) Marketing Strategy, (4) Services, (5) Organization and Operations Overview, and (6) Financial Plan. Each area is supported by separate documents that address individual opportunities and challenges associated with transitioning the facility, and its asset base to new uses for benefit of the locality, state, region and nation

  14. A study on manufacturing and quality control technology of DUPIC fuel

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Myung Seung; Park, H. S.; Lee, Y. W. [and others

    1997-09-01

    A series of experiments are performed to verify the manufacturability of DUPIC fuel and its performance by use of HANARO test reactor. Major works performed during this research period are : analysis of manufacturing process of DUPIC fuel, fabrication technology development such as development of disassembly and decladding method of spent PWR fuel, study on the OREOX process using simulated high burnup fuel, weldability of end cap weld, and development of fabrication equipment including the conceptual and detailed design of DUPIC equipment mainly for the powder preparation, pelletization and fuel element fabrication. A study on the material properties of DUPIC fuel and performance analysis method using irradiation of test fuel was also performed. (author). 91 refs., 274 tabs., 254 figs.

  15. A study on manufacturing and quality control technology of DUPIC fuel

    International Nuclear Information System (INIS)

    Yang, Myung Seung; Park, H. S.; Lee, Y. W.

    1997-09-01

    A series of experiments are performed to verify the manufacturability of DUPIC fuel and its performance by use of HANARO test reactor. Major works performed during this research period are : analysis of manufacturing process of DUPIC fuel, fabrication technology development such as development of disassembly and decladding method of spent PWR fuel, study on the OREOX process using simulated high burnup fuel, weldability of end cap weld, and development of fabrication equipment including the conceptual and detailed design of DUPIC equipment mainly for the powder preparation, pelletization and fuel element fabrication. A study on the material properties of DUPIC fuel and performance analysis method using irradiation of test fuel was also performed. (author). 91 refs., 274 tabs., 254 figs

  16. Semiconductor lasers and herterojunction leds

    CERN Document Server

    Kressel, Henry

    2012-01-01

    Semiconductor Lasers and Heterojunction LEDs presents an introduction to the subject of semiconductor lasers and heterojunction LEDs. The book reviews relevant basic solid-state and electromagnetic principles; the relevant concepts in solid state physics; and the p-n junctions and heterojunctions. The text also describes stimulated emission and gain; the relevant concepts in electromagnetic field theory; and the modes in laser structures. The relation between electrical and optical properties of laser diodes; epitaxial technology; binary III-V compounds; and diode fabrication are also consider

  17. Semiconductor relay and its manufacture method. Handotai relay oyobi sono seizo hoho

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, M

    1993-06-01

    The invention relates to a semiconductor relay in which a light emitting diode and a photovoltaic element are arranged in the opposite positions and connected with a light connection and aims to present a light transmission path to transmit input signals to the light emitting diode to the side of the photovoltaic element with a negligible light loss effectively. The invention presents a semiconductor relay, in which a light emitting diode loaded on the first lead frame and the light receiving part of the photovoltaic element to drive a MOSFET element loaded on the second lead frame and acting as a switch element are connected through an insulator tube with an opaque outer wall, and the interior of the insulator tube is filled with a transparent insulating filler, so that the invention affords a light transmission path without light leakage from the interior of the opaque insulator tube and with the stability in the form and no light loss. 3 figs.

  18. Applications of Nuclear Reaction Analysis for Semiconductor Industry

    International Nuclear Information System (INIS)

    Wei Luncun

    2003-01-01

    Many thin film samples used in the semiconductor industry contain C, N and O. The detection limits and accuracy obtained by Rutherford Backscattering Spectroscopy (RBS) measurement are limited due to the small cross section values. High energy non-Rutherford backscattering is often used to enhance the sensitivities. But non-Rutherford cross section values are irregular and can not be calculated as normal Rutherford backscattering values. It is also difficult to find an appropriate energy window that for all these elements, and high-energy ions are needed. In this paper, the Nuclear Reaction Analysis (NRA) method is used to simultaneously measure C, N and O. several applications in the semiconductor research, development, and manufacturing areas are presented

  19. Nitride semiconductor devices fundamentals and applications

    CERN Document Server

    Morkoç, Hadis

    2013-01-01

    This book gives a clear presentation of the necessary basics of semiconductor and device physics and engineering. It introduces readers to fundamental issues that will enable them to follow the latest technological research. It also covers important applications, including LED and lighting, semiconductor lasers, high power switching devices, and detectors. This balanced and up-to-date treatment makes the text an essential educational tool for both advanced students and professionals in the electronics industry.

  20. Toward New-Generation Intelligent Manufacturing

    Directory of Open Access Journals (Sweden)

    Ji Zhou

    2018-02-01

    Full Text Available Intelligent manufacturing is a general concept that is under continuous development. It can be categorized into three basic paradigms: digital manufacturing, digital-networked manufacturing, and new-generation intelligent manufacturing. New-generation intelligent manufacturing represents an in-depth integration of new-generation artificial intelligence (AI technology and advanced manufacturing technology. It runs through every link in the full life-cycle of design, production, product, and service. The concept also relates to the optimization and integration of corresponding systems; the continuous improvement of enterprises’ product quality, performance, and service levels; and reduction in resources consumption. New-generation intelligent manufacturing acts as the core driving force of the new industrial revolution and will continue to be the main pathway for the transformation and upgrading of the manufacturing industry in the decades to come. Human-cyber-physical systems (HCPSs reveal the technological mechanisms of new-generation intelligent manufacturing and can effectively guide related theoretical research and engineering practice. Given the sequential development, cross interaction, and iterative upgrading characteristics of the three basic paradigms of intelligent manufacturing, a technology roadmap for “parallel promotion and integrated development” should be developed in order to drive forward the intelligent transformation of the manufacturing industry in China. Keywords: Advanced manufacturing, New-generation intelligent manufacturing, Human-cyber-physical system, New-generation AI, Basic paradigms, Parallel promotion, Integrated development

  1. Pharmacy on demand: New technologies to enable miniaturized and mobile drug manufacturing.

    Science.gov (United States)

    Lewin, John J; Choi, Eugene J; Ling, Geoffrey

    2016-01-15

    Developmental pharmaceutical manufacturing systems and techniques designed to overcome the shortcomings of traditional batch processing methods are described. Conventional pharmaceutical manufacturing processes do not adequately address the needs of military and civilian patient populations and healthcare providers. Recent advances within the Defense Advanced Research Projects Agency (DARPA) Battlefield Medicine program suggest that miniaturized, flexible platforms for end-to-end manufacturing of pharmaceuticals are possible. Advances in continuous-flow synthesis, chemistry, biological engineering, and downstream processing, coupled with online analytics, automation, and enhanced process control measures, pave the way for disruptive innovation to improve the pharmaceutical supply chain and drug manufacturing base. These new technologies, along with current and ongoing advances in regulatory science, have the future potential to (1) permit "on demand" drug manufacturing on the battlefield and in other austere environments, (2) enhance the level of preparedness for chemical, biological, radiological, and nuclear threats, (3) enhance health authorities' ability to respond to natural disasters and other catastrophic events, (4) minimize shortages of drugs, (5) address gaps in the orphan drug market, (6) support and enable the continued drive toward precision medicine, and (7) enhance access to needed medications in underserved areas across the globe. Modular platforms under development by DARPA's Battlefield Medicine program may one day improve the safety, efficiency, and timeliness of drug manufacturing. Copyright © 2016 by the American Society of Health-System Pharmacists, Inc. All rights reserved.

  2. Accelerating Industrial Adoption of Metal Additive Manufacturing Technology

    Science.gov (United States)

    Vartanian, Kenneth; McDonald, Tom

    2016-03-01

    While metal additive manufacturing (AM) technology has clear benefits, there are still factors preventing its adoption by industry. These factors include the high cost of metal AM systems, the difficulty for machinists to learn and operate metal AM machines, the long approval process for part qualification/certification, and the need for better process controls; however, the high AM system cost is the main barrier deterring adoption. In this paper, we will discuss an America Makes-funded program to reduce AM system cost by combining metal AM technology with conventional computerized numerical controlled (CNC) machine tools. Information will be provided on how an Optomec-led team retrofitted a legacy CNC vertical mill with laser engineered net shaping (LENS®—LENS is a registered trademark of Sandia National Labs) AM technology, dramatically lowering deployment cost. The upgraded system, dubbed LENS Hybrid Vertical Mill, enables metal additive and subtractive operations to be performed on the same machine tool and even on the same part. Information on the LENS Hybrid system architecture, learnings from initial system deployment and continuing development work will also be provided to help guide further development activities within the materials community.

  3. Evaluation of efficiency of a semiconductor gamma camera

    CERN Document Server

    Otake, H; Takeuchi, Y

    2002-01-01

    We evaluation basic characteristics of a compact type semiconductor gamma camera (eZ-SCOPE AN) of Cadmium Zinc Telluride (CdZnTe). This new compact gamma camera has 256 semiconductors representing the same number of pixels. Each semiconductor is 2 mm square and is located in 16 lines and rows on the surface of the detector. The specific performance characteristics were evaluated in the study referring to National Electrical Manufactures Association (NEMA) standards; intrinsic energy resolution, intrinsic count rate performance, integral uniformity, system planar sensitivity, system spatial resolution, and noise to the neighboring pixels. The intrinsic energy resolution measured 5.7% as full width half maximum (FWHM). The intrinsic count rate performance ranging from 17 kcps to 1,285 kcps was evaluated, but the highest intrinsic count rate was not observed. Twenty percents count loss was recognized at 1,021 kcps. The integral uniformity was 1.3% with high sensitivity collimator. The system planar sensitivity w...

  4. 2001 Industry Studies: Advanced Manufacturing

    Science.gov (United States)

    2001-05-28

    oriented, 19 and manufacturers are employing the Internet and associated information technologies to better integrate supply chains and form extended...ways to compete in world markets . As part of this ongoing transformation, the broad implementation of advanced manufacturing technologies , processes...competitive advantages and better performance in world markets . Importantly, advanced manufacturing involves the innovative integration of new technology

  5. Development and application of high-precision laser welding technology for manufacturing Ti alloy frames of glasses

    International Nuclear Information System (INIS)

    Kim, S. S.; Yang, M. S.; Kim, W. K.; Lee, D. Y.; Kim, J. M.; Leem, B. C.; Shin, J. S.; Lee, D. H.

    1999-12-01

    The research and development efforts of the high precision laser welding technology for manufacturing titanium alloy frames of glasses. For this purpose, laser welding device with the high beam quality is designed and fabricated, which consists of a optical fiber transmission part, a welding monitoring part and a welding controller. The welding nozzle and holding fixtures for manufacturing titanium and shape memory alloy frames of glasses. Titanium and shape memory alloy frames of glasses to be developed were experimentally manufactured by utilizing the laser welding using the optical fiber of GI 400 μm. As a result, the seam welding with the bead width of 0.3 mm or less and the weld penetration of 0.3-0.4mm could be accomplished. The fundamental technology was established through design of welding jigs with a variety of configurations and adequate welding conditions. Also, for the purpose to enable the companies participating in this project to commercialize the developed technology acceleratedly, a training program for the engineers belonging to such companies was conducted along with the technology transfer through joint experiments with the engineers. (author)

  6. Emerging Technologies in the Built Environment: Geographic Information Science (GIS), 3D Printing, and Additive Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    New, Joshua Ryan [ORNL

    2014-01-01

    Abstract 1: Geographic information systems emerged as a computer application in the late 1960s, led in part by projects at ORNL. The concept of a GIS has shifted through time in response to new applications and new technologies, and is now part of a much larger world of geospatial technology. This presentation discusses the relationship of GIS and estimating hourly and seasonal energy consumption profiles in the building sector at spatial scales down to the individual parcel. The method combines annual building energy simulations for city-specific prototypical buildings and commonly available geospatial data in a GIS framework. Abstract 2: This presentation focuses on 3D printing technologies and how they have rapidly evolved over the past couple of years. At a basic level, 3D printing produces physical models quickly and easily from 3D CAD, BIM (Building Information Models), and other digital data. Many AEC firms have adopted 3D printing as part of commercial building design development and project delivery. This presentation includes an overview of 3D printing, discusses its current use in building design, and talks about its future in relation to the HVAC industry. Abstract 3: This presentation discusses additive manufacturing and how it is revolutionizing the design of commercial and residential facilities. Additive manufacturing utilizes a broad range of direct manufacturing technologies, including electron beam melting, ultrasonic, extrusion, and laser metal deposition for rapid prototyping. While there is some overlap with the 3D printing talk, this presentation focuses on the materials aspect of additive manufacturing and also some of the more advanced technologies involved with rapid prototyping. These technologies include design of carbon fiber composites, lightweight metals processing, transient field processing, and more.

  7. The future of pharmaceutical manufacturing in the context of the scientific, social, technological and economic evolution.

    Science.gov (United States)

    Stegemann, Sven

    2016-07-30

    Healthcare provision is one of the import elements of modern societies. Life sciences and technology has made substantial progress over the past century and is continuing to evolve exponentially in many different areas. The use of genotypic and phenotypic information in drug discovery and drug therapy, the increasing wealth around the world, growing patient involvement through information and communication technology and finally innovations in pharmaceutical manufacturing technology are transforming the provision of healthcare. The adoption of this new science and technology is going to happen due to the synergistic effects and visible benefits for the society and healthcare systems. The different aspects driving advanced pharmaceutical manufacturing are reviewed to identify future research direction to assure overall acceptance and adoption into healthcare practice. Copyright © 2015 Elsevier B.V. All rights reserved.

  8. Report of the Design Approval and the Safety Issues of the Ion Accelerator for Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Min, Yi Sub; Lee, Chan Young [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2013-05-15

    The application of ion implantation technology has been extended to the field of semiconductor, as well as of metals, ceramics and polymers. A change in the characteristics of the surface that is required in industries is various. To manufacture these devices which are by requirements of industrial, anyone must be licensed by nuclear law; producing permit. And that device must be certified to safety through the design approval. For the first time in domestic, Korea Multipurpose Accelerator Complex (KOMAC) has finished the producing facility inspection as well as the producing permit in August 2012 that can produce four types of radiation generator(RG)

  9. Technology transfer of oil-in-water emulsion adjuvant manufacturing for pandemic influenza vaccine production in Romania.

    Science.gov (United States)

    Fox, Christopher B; Huynh, Chuong; O'Hara, Michael K; Onu, Adrian

    2013-03-15

    Many developing countries lack or have inadequate pandemic influenza vaccine manufacturing capacity. In the 2009 H1N1 pandemic, this led to delayed and inadequate vaccine coverage in the developing world. Thus, bolstering developing country influenza vaccine manufacturing capacity is urgently needed. The Cantacuzino Institute in Bucharest, Romania has been producing seasonal influenza vaccine since the 1970s, and has the capacity to produce ∼5 million doses of monovalent vaccine in the event of an influenza pandemic. Inclusion of an adjuvant in the vaccine could enable antigen dose sparing, expanding vaccine coverage and potentially allowing universal vaccination of the Romanian population and possibly neighboring countries. However, adjuvant formulation and manufacturing know-how are difficult to access. This manuscript describes the successful transfer of oil-in-water emulsion adjuvant manufacturing and quality control technologies from the Infectious Disease Research Institute in Seattle, USA to the Cantacuzino Institute. By describing the challenges and accomplishments of the project, it is hoped that the knowledge and experience gained will benefit other institutes involved in similar technology transfer projects designed to facilitate increased vaccine manufacturing capacity in developing countries. Copyright © 2012 Elsevier Ltd. All rights reserved.

  10. Implementation of hierarchical design for manufacture rules in manufacturing processes

    OpenAIRE

    Parvez, Masud

    2008-01-01

    In order to shorten the product development cycle time, minimise overall cost and smooth transition into production, early consideration of manufacturing processes is important. Design for Manufacture (DFM) is the practice of designing products with manufacturing issues using an intelligent system, which translates 3D solid models into manufacturable features. Many existing and potential applications, particularly in the field of manufacturing, require various aspects of features technology. ...

  11. Prototype development of educational program for production manager leading new perspectives on manufacturing technology

    OpenAIRE

    Ishii, Kazuyoshi; Ikeda, Hiroshi; Tsuchiya, Akinori; Shikida, Asami; Abe, Takehiko

    2006-01-01

    In this paper proposes the basic concept of an educational system and shows the result of educational program developed for manufacturing manager in leadership roles who wish to create new values in manufacturing technology. The basic concept combines an intelligent knowledge-based approach with the kaizen activity program in a framework of new value creation and comparative advantage models based on the ABC-G network (Academia, Business, Consultants, and Governmental officers). The education...

  12. Intelligent technologies in process of highly-precise products manufacturing

    Science.gov (United States)

    Vakhidova, K. L.; Khakimov, Z. L.; Isaeva, M. R.; Shukhin, V. V.; Labazanov, M. A.; Ignatiev, S. A.

    2017-10-01

    One of the main control methods of the surface layer of bearing parts is the eddy current testing method. Surface layer defects of bearing parts, like burns, cracks and some others, are reflected in the results of the rolling surfaces scan. The previously developed method for detecting defects from the image of the raceway was quite effective, but the processing algorithm is complicated and lasts for about 12 ... 16 s. The real non-stationary signals from an eddy current transducer (ECT) consist of short-time high-frequency and long-time low-frequency components, therefore a transformation is used for their analysis, which provides different windows for different frequencies. The wavelet transform meets these conditions. Based on aforesaid, a methodology for automatically detecting and recognizing local defects in bearing parts surface layer has been developed on the basis of wavelet analysis using integral estimates. Some of the defects are recognized by the amplitude component, otherwise an automatic transition to recognition by the phase component of information signals (IS) is carried out. The use of intelligent technologies in the manufacture of bearing parts will, firstly, significantly improve the quality of bearings, and secondly, significantly improve production efficiency by reducing (eliminating) rejections in the manufacture of products, increasing the period of normal operation of the technological equipment (inter-adjustment period), the implementation of the system of Flexible facilities maintenance, as well as reducing production costs.

  13. Manufacturing a submicron structure using a liquid precursor

    NARCIS (Netherlands)

    Ishihara, R.; Van de Zwan, M.; Trifunovic, M.

    2014-01-01

    Methods for manufacture of a submicron semiconductor structure on a substrate are described. The method may comprise: forming at least one template layer over a support substrate; forming one or more template structures, preferably one or more recesses and/or mesas, in said template layer, said one

  14. Wafer-level micro-optics: trends in manufacturing, testing, packaging, and applications

    Science.gov (United States)

    Voelkel, Reinhard; Gong, Li; Rieck, Juergen; Zheng, Alan

    2012-11-01

    Micro-optics is an indispensable key enabling technology (KET) for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the last decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks (supercomputer, ROADM), bringing high-speed internet to our homes (FTTH). Even our modern smart phones contain a variety of micro-optical elements. For example, LED flashlight shaping elements, the secondary camera, and ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by semiconductor industry. Thousands of components are fabricated in parallel on a wafer. We report on the state of the art in wafer-based manufacturing, testing, packaging and present examples and applications for micro-optical components and systems.

  15. [INVITED] Laser-induced forward transfer: A high resolution additive manufacturing technology

    Science.gov (United States)

    Delaporte, Philippe; Alloncle, Anne-Patricia

    2016-04-01

    Among the additive manufacturing techniques, laser-induced forward transfer addresses the challenges of printing thin films in solid phase or small volume droplets in liquid phase with very high resolution. This paper reviews the physics of this process and explores the pros and cons of this technology versus other digital printing technologies. The main field of applications are printed electronics, organic electronics and tissue engineering, and the most promising short terms ones concern digital laser printing of sensors and conductive tracks. Future directions and emerging areas of interest are discussed such as printing solid from a liquid phase and 3D digital nanomanufacturing.

  16. Report on survey in fiscal 2000 on the survey on succession of history of industrial technologies. Survey edition of industrial technologies in Japan that have contributed largely to development of industries; 2000 nendo sangyo gijutsu rekishi keisho chosa hokokusho. Sangyo no hatten ni okiku kiyoshita kokunai sangyo gijutsu no chosahen

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Surveys and researches have been performed to serve as reference for the future research and development policies by clarifying the innovation process of industrial technologies in Japan and the originality and creativity seen in that process. The surveys have focused on processes born in Japan and evaluated as 'world class' in significance of some kind, and summarized the technology history. The current fiscal year has surveyed newly the following nine processes: industrialization of the sodium glutamate and fructose manufacturing technologies, development of solar cell technologies, industrialization of the manufacturing process of cyclohexnol via cyclohexene, development of the MEK manufacturing process, development and industrialization of hollow fiber reverse osmosis membrane modules for single stage sea water desalination, development and industrialization of the new vitamin B1 intermediate process, development of HSG-Si capacitors for semiconductor memories, and development and industrialization of 4-ethylene fluoride/ethylene copolymer (ETFE). The surveys are considered to have obtained the results abound in suggestions toward original and creative technological developments. (NEDO)

  17. Fiscal 2000 survey report on technological trends. Survey on trend of high-density energy beam technology concerning conservation of energy; 2000 nendo gijutsu doko nado chosa hokokusho. Energy shiyo gorika ni kakawaru komitsudo energy beam technology no doko chosa

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    There is a possibility that machining technology using high-density energy beam will bring an epoch-making change to micro-nano area processing. In particular, a laser beam having high controllability is indispensable. This is a report of the fiscal 2000 survey. The survey was conducted on laser beam generation, control and nano-diagnostic techniques, micro-nano optics technologies and machining technologies, with the development problems and targets summarized. Laser beam generation/control technologies, which become the basic tools for micro-nano manufacturing, were investigated, as were inspection technologies for the purpose of checking the functions of nano structures created. Particularly, the investigation elucidated the significance of development of a femtosecond solid state laser based on a semiconductor laser and the control techniques of their phase. Further, necessity was emphasized in developing X-ray probing, infrared and terahertz spectroscopy which are essential for nano-diagnostic techniques. In optics technologies, the paper described the importance of photonic crystals which enable less-than-wavelength machining or electrical beam control using interference effect. The possibility of fabricating photocatalysts with nano-particles was also mentioned, as was the manufacturing of nano-functional structures. (NEDO)

  18. Electron Beam Melting Manufacturing Technology for Individually Manufactured Jaw Prosthesis: A Case Report.

    Science.gov (United States)

    Suska, Felicia; Kjeller, Göran; Tarnow, Peter; Hryha, Eduard; Nyborg, Lars; Snis, Anders; Palmquist, Anders

    2016-08-01

    In the field of maxillofacial reconstruction, additive manufacturing technologies, specifically electron beam melting (EBM), offer clinicians the potential for patient-customized design of jaw prostheses, which match both load-bearing and esthetic demands. The technique allows an innovative, functional design, combining integrated porous regions for bone ingrowth and secondary biological fixation with solid load-bearing regions ensuring the biomechanical performance. A patient-specific mandibular prosthesis manufactured using EBM was successfully used to reconstruct a patient's mandibular defect after en bloc resection. Over a 9-month follow-up period, the patient had no complications. A short operating time, good esthetic outcome, and high level of patient satisfaction as measured by quality-of-life questionnaires-the European Organisation for Research and Treatment of Cancer QLQ-C30 (30-item quality-of-life core questionnaire) and H&N35 (head and neck cancer module)-were reported for this case. Individually planned and designed EBM-produced prostheses may be suggested as a possible future alternative to fibular grafts or other reconstructive methods. However, the role of porosity, the role of geometry, and the optimal combination of solid and porous parts, as well as surface properties in relation to soft tissues, should be carefully evaluated in long-term clinical trials. Copyright © 2016 American Association of Oral and Maxillofacial Surgeons. Published by Elsevier Inc. All rights reserved.

  19. Advanced optical manufacturing digital integrated system

    Science.gov (United States)

    Tao, Yizheng; Li, Xinglan; Li, Wei; Tang, Dingyong

    2012-10-01

    It is necessarily to adapt development of advanced optical manufacturing technology with modern science technology development. To solved these problems which low of ration, ratio of finished product, repetition, consistent in big size and high precision in advanced optical component manufacturing. Applied business driven and method of Rational Unified Process, this paper has researched advanced optical manufacturing process flow, requirement of Advanced Optical Manufacturing integrated System, and put forward architecture and key technology of it. Designed Optical component core and Manufacturing process driven of Advanced Optical Manufacturing Digital Integrated System. the result displayed effective well, realized dynamic planning Manufacturing process, information integration improved ratio of production manufactory.

  20. 2nd International Conference on Ion Implantation in Semiconductors, Physics and Technology, Fundamental and Applied Aspects

    CERN Document Server

    Graul, Jürgen

    1971-01-01

    In recent years great progress has been made in the field of ion implantation, particularly with respect to applications in semiconductors. It would be impos­ sible not to note the growing interest in this field, both by research groups and those directly concerned with production of devices. Furthermore, as several papers have pointed out, ion implantation and its associated technologies promise exciting advances in the development of new kinds of devices and provide power­ ful new tools for materials investigations. It was, therefore, appropriate to arrange the II. International Conference on Ion Implantation in Semiconductors within the rather short time of one year since the first conference was held in 1970 in Thousand Oaks, California. Although ori­ ginally planned on a small scale with a very limited number of participants, more than two hundred scientists from 15 countries participated in the Conference which was held May 24 - 28, 1971 at the Congress Center in Garmisch-Partenkirchen. This volume c...

  1. Janus droplets: liquid marbles coated with dielectric/semiconductor particles.

    Science.gov (United States)

    Bormashenko, Edward; Bormashenko, Yelena; Pogreb, Roman; Gendelman, Oleg

    2011-01-04

    The manufacturing of water droplets wrapped with two different powders, carbon black (semiconductor) and polytetrafluoroethylene (dielectric), is presented. Droplets composed of two hemispheres (Janus droplets) characterized by various physical and chemical properties are reported first. Watermelon-like striped liquid marbles are reported. Janus droplets remained stable on solid and liquid supports and could be activated with an electric field.

  2. New Technology Japan, Vol. 23, No. 8, November 1995

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-11-01

    ;Partial Contents: New Frontier for ECO-Business: 100 T/Y Pilot Plant for Manufacturing Bidegradable Plastics Using Polylactic Acid; New Type of Superhigh-Speed Cargo Carriers; Lactoferricin Displays Antibacterial Effect Against Helicobactor Pylori; Two Types of Wireless Five Prevention Glass Sheets; Electrostatic Chuck for Semiconductor Sputtering; Technology for Efficient and Accurate Spot Welding; Large-Sized Ozone Generator with Highest Ouput in the World; Low-Voltage Lightning Arrester; and Low-Flow Anesthesia Apparatus Provides, High Level of Efficiency and Environmental Friendliness.

  3. Future Role of Application of New Technologies in Small-and Medium Scale Manufacturing Systems - Regarding Intelligent and Advanced Manufacturing Systems in Northern Peripheral Area

    OpenAIRE

    Somlò, Kinga; Sziebig, Gabor

    2017-01-01

    Accepted manuscript version. Link to publishers version: http://doi.org/10.1109/ISIE.2017.8001510 Nowadays the concept of Industry 4.0. and the relating intelligent manufacturing system are getting more and more current and well-known. In the past years the outstanding development of different areas such as information technology computer science, machining, robotics and so on, made possible a comprehensive transformation of the manufacturing systems. Present paper aims to give a gener...

  4. Will Future Measurement Needs of the Semiconductor Industry Be Met?

    Science.gov (United States)

    Bennett, Herbert S

    2007-01-01

    We discuss the ability of the nation's measurement system to meet future metrology needs of the semiconductor industry. Lacking an acceptable metric for assessing the health of metrology for the semiconductor industry, we identify a limited set of unmet measurement needs. Assuming that this set of needs may serve as proxy for the galaxy of semiconductor measurement needs, we examine it from the perspective of what will be required to continue the semiconductor industry's powerful impact in the world's macro-economy and maintain its exceptional record of numerous technological innovations. This paper concludes with suggestions about ways to strengthen the measurement system for the semiconductor industry.

  5. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  6. III-V semiconductor materials and devices

    CERN Document Server

    Malik, R J

    1989-01-01

    The main emphasis of this volume is on III-V semiconductor epitaxial and bulk crystal growth techniques. Chapters are also included on material characterization and ion implantation. In order to put these growth techniques into perspective a thorough review of the physics and technology of III-V devices is presented. This is the first book of its kind to discuss the theory of the various crystal growth techniques in relation to their advantages and limitations for use in III-V semiconductor devices.

  7. Low-temperature deposition manufacturing: A novel and promising rapid prototyping technology for the fabrication of tissue-engineered scaffold.

    Science.gov (United States)

    Liu, Wei; Wang, Daming; Huang, Jianghong; Wei, You; Xiong, Jianyi; Zhu, Weimin; Duan, Li; Chen, Jielin; Sun, Rong; Wang, Daping

    2017-01-01

    Developed in recent years, low-temperature deposition manufacturing (LDM) represents one of the most promising rapid prototyping technologies. It is not only based on rapid deposition manufacturing process but also combined with phase separation process. Besides the controlled macropore size, tissue-engineered scaffold fabricated by LDM has inter-connected micropores in the deposited lines. More importantly, it is a green manufacturing process that involves non-heating liquefying of materials. It has been employed to fabricate tissue-engineered scaffolds for bone, cartilage, blood vessel and nerve tissue regenerations. It is a promising technology in the fabrication of tissue-engineered scaffold similar to ideal scaffold and the design of complex organs. In the current paper, this novel LDM technology is introduced, and its control parameters, biomedical applications and challenges are included and discussed as well. Copyright © 2016 Elsevier B.V. All rights reserved.

  8. Laboratory 3.0: Manufacturing Technologies Laboratory Virtualization with a Student-Centred Methodology

    Science.gov (United States)

    Fabregat-Sanjuan, Albert; Pàmies-Vilà, Rosa; Ferrando Piera, Francesc; De la Flor López, Silvia

    2017-01-01

    This paper presents a blended-learning strategy for improving the teaching method applied in the laboratory subject Manufacturing Technologies. The teaching method has been changed from a predominantly teacher-centred to an active learning system with a student-centred focus and e-learning activities. In face-to-face classes, a game-based learning…

  9. Drug-printing by flexographic printing technology--a new manufacturing process for orodispersible films.

    Science.gov (United States)

    Janssen, Eva Maria; Schliephacke, Ralf; Breitenbach, Armin; Breitkreutz, Jörg

    2013-01-30

    Orodispersible films (ODFs) are intended to disintegrate within seconds when placed onto the tongue. The common way of manufacturing is the solvent casting method. Flexographic printing on drug-free ODFs is introduced as a highly flexible and cost-effective alternative manufacturing method in this study. Rasagiline mesylate and tadalafil were used as model drugs. Printing of rasagiline solutions and tadalafil suspensions was feasible. Up to four printing cycles were performed. The possibility to employ several printing cycles enables a continuous, highly flexible manufacturing process, for example for individualised medicine. The obtained ODFs were characterised regarding their mechanical properties, their disintegration time, API crystallinity and homogeneity. Rasagiline mesylate did not recrystallise after the printing process. Relevant film properties were not affected by printing. Results were comparable to the results of ODFs manufactured with the common solvent casting technique, but the APIs are less stressed through mixing, solvent evaporation and heat. Further, loss of material due to cutting jumbo and daughter rolls can be reduced. Therefore, a versatile new manufacturing technology particularly for processing high-potent low-dose or heat sensitive drugs is introduced in this study. Copyright © 2012 Elsevier B.V. All rights reserved.

  10. Pellet to Part Manufacturing System for CNCs

    Energy Technology Data Exchange (ETDEWEB)

    Roschli, Alex C. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Love, Lonnie J. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Post, Brian K. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Chesser, Phillip C. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Lloyd, Peter D. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Bandari, Yashwanth Kumar [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Jones, Jason [Hybrid Manufacturing Technologies, Swadlincote (United Kingdom); Gaul, Katherine T. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2018-03-14

    Oak Ridge National Laboratory’s Manufacturing Demonstration Facility worked with Hybrid Manufacturing Technologies to develop a compact prototype composite additive manufacturing head that can effectively extrude injection molding pellets. The head interfaces with conventional CNC machine tools enabling rapid conversion of conventional machine tools to additive manufacturing tools. The intent was to enable wider adoption of Big Area Additive Manufacturing (BAAM) technology and combine BAAM technology with conventional machining systems.

  11. X-ray absorption spectroscopy of semiconductors

    CERN Document Server

    Ridgway, Mark

    2015-01-01

    X-ray Absorption Spectroscopy (XAS) is a powerful technique with which to probe the properties of matter, equally applicable to the solid, liquid and gas phases. Semiconductors are arguably our most technologically-relevant group of materials given they form the basis of the electronic and photonic devices that now so widely permeate almost every aspect of our society. The most effective utilisation of these materials today and tomorrow necessitates a detailed knowledge of their structural and vibrational properties. Through a series of comprehensive reviews, this book demonstrates the versatility of XAS for semiconductor materials analysis and presents important research activities in this ever growing field. A short introduction of the technique, aimed primarily at XAS newcomers, is followed by twenty independent chapters dedicated to distinct groups of materials. Topics span dopants in crystalline semiconductors and disorder in amorphous semiconductors to alloys and nanometric material as well as in-sit...

  12. In-Space Manufacturing Project (prior to FY15: Additive Manufacturing Technology Development)

    Data.gov (United States)

    National Aeronautics and Space Administration — The In-Space Manufacturing (ISM) project is responsible for developing the manufacturing capabilities that will provide on-demand, sustainable operations during NASA...

  13. 4. Ukrainian Scientific Conference on Semiconductor Physics (USCPS - 4). Part 2. Abstracts

    International Nuclear Information System (INIS)

    Machulin, V.F.

    2009-01-01

    The materials reflect the content of the conference papers, in which the novel results, state and perspectives of research in the field of semiconductor physics, electronic or phonon phenomena on the surface and in bulk semiconductors, nano- and quantum dimensional structures, physics of modern solid state devices, semiconductor materials and technologies are presented.

  14. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying; Tee, Benjamin C-K.; Giri, Gaurav; Xu, Jie; Kim, Do Hwan; Becerril, Hector A.; Stoltenberg, Randall M.; Lee, Tae Hoon; Xue, Gi; Mannsfeld, Stefan C. B.; Bao, Zhenan

    2013-01-01

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control

  15. Manufacturing Technology of Ceramic Pebbles for Breeding Blanket

    Directory of Open Access Journals (Sweden)

    Rosa Lo Frano

    2018-05-01

    Full Text Available An open issue for the fusion power reactor is the choice of breeding blanket material. The possible use of Helium-Cooled Pebble Breeder ceramic material in the form of pebble beds is of great interest worldwide as demonstrated by the numerous studies and research on this subject. Lithium orthosilicate (Li4SiO4 is a promising breeding material investigated in this present study because the neutron capture of Li-6 allows the production of tritium, 6Li (n, t 4He. Furthermore, lithium orthosilicate has the advantages of low activation characteristics, low thermal expansion coefficient, high thermal conductivity, high density and stability. Even if they are far from the industrial standard, a variety of industrial processes have been proposed for making orthosilicate pebbles with diameters of 0.1–1 mm. However, some manufacturing problems have been observed, such as in the chemical stability (agglomeration phenomena. The aim of this study is to provide a new methodology for the production of pebbles based on the drip casting method, which was jointly developed by the DICI-University of Pisa and Industrie Bitossi. Using this new (and alternative manufacturing technology, in the field of fusion reactors, appropriately sized ceramic pebbles could be produced for use as tritium breeders.

  16. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  17. Cement manufacture and the environment - Part I: Chemistry and technology

    Science.gov (United States)

    Van Oss, H. G.; Padovani, A.C.

    2002-01-01

    Hydraulic (chiefly portland) cement is the binding agent in concrete and mortar and thus a key component of a country's construction sector. Concrete is arguably the most abundant of all manufactured solid materials. Portland cement is made primarily from finely ground clinker, which itself is composed dominantly of hydraulically active calcium silicate minerals formed through high-temperature burning of limestone and other materials in a kiln. This process requires approximately 1.7 tons of raw materials perton of clinker produced and yields about 1 ton of carbon dioxide (CO2) emissions, of which calcination of limestone and the combustion of fuels each contribute about half. The overall level of CO2 output makes the cement industry one of the top two manufacturing industry sources of greenhouse gases; however, in many countries, the cement industry's contribution is a small fraction of that from fossil fuel combustion by power plants and motor vehicles. The nature of clinker and the enormous heat requirements of its manufacture allow the cement industry to consume a wide variety of waste raw materials and fuels, thus providing the opportunity to apply key concepts of industrial ecology, most notably the closing of loops through the use of by-products of other industries (industrial symbiosis). In this article, the chemistry and technology of cement manufacture are summarized. In a forthcoming companion article (part II), some of the environmental challenges and opportunities facing the cement industry are described. Because of the size and scope of the U.S. cement industry, the analysis relies primarily on data and practices from the United States.

  18. Semiconductor physics an introduction

    CERN Document Server

    Seeger, Karlheinz

    1999-01-01

    Semiconductor Physics - An Introduction - is suitable for the senior undergraduate or new graduate student majoring in electrical engineering or physics. It will also be useful to solid-state scientists and device engineers involved in semiconductor design and technology. The text provides a lucid account of charge transport, energy transport and optical processes, and a detailed description of many devices. It includes sections on superlattices and quantum well structures, the effects of deep-level impurities on transport, the quantum Hall effect and the calculation of the influence of a magnetic field on the carrier distribution function. This 6th edition has been revised and corrected, and new sections have been added to different chapters.

  19. Hot stamping advanced manufacturing technology of lightweight car body

    CERN Document Server

    Hu, Ping; He, Bin

    2017-01-01

    This book summarizes the advanced manufacturing technology of original innovations in hot stamping of lightweight car body. A detailed description of the technical system and basic knowledge of sheet metal forming is given, which helps readers quickly understand the relevant knowledge in the field. Emphasis has been placed on the independently developed hot stamping process and equipment, which help describe the theoretical and experimental research on key problems involving stress field, thermal field and phase transformation field in hot stamping process. Also, a description of the formability at elevated temperature and the numerical simulation algorithms for high strength steel hot stamping is given in combination with the experiments. Finally, the book presents some application cases of hot stamping technology such as the lightweight car body design using hot stamping components and gradient hardness components, and the cooling design of the stamping tool. This book is intended for researchers, engineers...

  20. Did technology shocks drive the great depression? Explaining cyclical productivity movements in US manufacturing, 1919-1939

    NARCIS (Netherlands)

    Inklaar, Robert; de Jong, Herman; Gouma, Reitze

    2011-01-01

    Technology shocks and declining productivity have been advanced as important factors driving the Great Depression in the United States, based on real business cycle theory. We estimate an improved measure of technology for interwar manufacturing, using data from the U.S. census reports. There is

  1. 30th Solar Energy Promotion Committee Meeting - 5th Solar Cell Liaison Meeting. Combined report for fiscal 1989-1992; Dai 30 kai taiyo energy suishin iinkai dai 5 kai taiyo denchi renrakukai (1989-1992 nendo hokoku no matome)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1993-04-20

    The above-named events were convened in Tokyo in the period of April 20-23, 1993, where a total of 51 presentations were given on research achievements. Presented in the session on a-Si systems were 22 essays concerning high quality manufacturing technology - high integration technology, large area manufacturing technology - large area a-Si tandem solar cell, highly reliable manufacturing technology - bilayer device, composite transparent conductive film - transparent conductive film with performance enhanced by compositing, etc. Presented in the session on chalcopyrite and II-VI group systems were 8 essays concerning amorphous/compound tandem cell manufacturing technology, research on compound semiconductor solar cell, etc. Presented in the session of III-V group systems were 6 essays concerning research on compound semiconductor solar cell - superlattice structure tandem cell, development of InGaP/Si tandem solar cell, etc. In the session of crystalline Si systems, 15 essays were presented concerning highly pure silicon manufacturing technology, highly pure substrate manufacturing technology, etc. (NEDO)

  2. #%Applications of artificial intelligence in intelligent manufacturing: a review

    Institute of Scientific and Technical Information of China (English)

    #

    2017-01-01

    #%Based on research into the applications of artificial intelligence (AI) technology in the manufacturing industry in recent years, we analyze the rapid development of core technologies in the new era of 'Internet plus AI', which is triggering a great change in the models, means, and ecosystems of the manufacturing industry, as well as in the development of AI. We then propose new models, means, and forms of intelligent manufacturing, intelligent manufacturing system architecture, and intelligent man-ufacturing technology system, based on the integration of AI technology with information communications, manufacturing, and related product technology. Moreover, from the perspectives of intelligent manufacturing application technology, industry, and application demonstration, the current development in intelligent manufacturing is discussed. Finally, suggestions for the appli-cation of AI in intelligent manufacturing in China are presented.

  3. NEDO Forum 2000. Industrial technology development session; Sangyo gijutsu kenkyu kaihatsu session

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-09-01

    This session presented the following subjects: I. IT innovation, II. Bio-seminar, III. Nano-technology, IV. Machine technology seminar, and V. 'Development subsidy project to put industrial technologies into practical use'. The theme in I relates to (1) influence of IT innovation on the society, (2) the theory of semiconductor evolution, (3) the theory of liquid crystal evolution, and (4) the theory of disk evolution. The theme II searches (1) how far have bio-technologies come?, (2) search of human evolution from genes, (3) can human being live up to 150 years by bio-technologies?, and (4) what is a DNA array (chip)?. The theme III covers (1) product innovation in polymers (new polymeric materials brought about by polymer nano-technology, taking polyolefin as an example), and (2) creation of ceramics materials by using nano-technologies. The theme IV relates to (1) ITS changes the car society into this way, (2) the current status and outlook on research and development of micro-machine technologies, and (3) the futuristic society and new industries opened by the Humanoid project. The theme V describes (1) practical application of ultra-small medical device production technologies, (2) development of next generation semiconductor manufacturing resists, (3) development for practical application of an optical brain function imaging device, and (4) development for practical application of new screening technologies toward creation of medicines using genome. (NEDO)

  4. Trial of Engineer Educating of Manufacturing Field in Kagoshima National College of Technology

    Science.gov (United States)

    Nakamura, Itaru; Hombu, Mitsuyuki; Kusuhara, Yoshito; Kashine, Kenji; Sakasegawa, Eiichi; Tashima, Daisuke; Fukidome, Hiromi

    In Kagoshima National College of Technology, based on investigation with “the job boost measure investigation work in a power supply area” undertaken in the 2005 fiscal year, we accepted the trust from Kyushu Bureau of Economy, Trade and Industry, and undertook “the small-and-medium-sized-enterprises personnel educating work which utilized the technical college etc.” for three years from the 2006 fiscal year to the 2008 fiscal year. As the trial of engineer educating according to the electrical engineering concept to the manufacturing field based on a conventional result, we act as a professor of the base technique for applying alternative energy (a fuel cell and a solar cell) in which social needs are powerful these days, and aim at aiming at cultivation of the problem-solving type engineer who can contribute to a low carbon society through manufacturing, we undertook this work according to the manufacturing bearer educating work (personnel educating and secured work of the manufacturing field) in the 2009 fiscal year of National Federation of Small Business Associations.

  5. DEVELOPMENT OF INFORMATION TECHNOLOGY STRATEGIC PLANNING FOR MANUFACTURING INDUSTRY (CASE STUDY: PT MCM

    Directory of Open Access Journals (Sweden)

    Leonardus Hardjo

    2013-10-01

    Full Text Available IT Department in PT MCM has to carry out the duties and functions of developing solution to support the business unit in their operation and gain some benefits which should be obtained by using IT in manufacturing such as increasing efficiency, improving the effectivity in making decision and helping to promote the products. This study aims to design information technology strategic planning in accordance with the strategic plan. The research method is using the IT Strategic Planning framework of Alex Cullen and Marc Cecere. This study uses SWOT and IT Balanced Scorecard to analyze the needs of IT at PT MCM. The results of this study are recommended strategic steps to optimize the implementation of IT in the company to improve the performance from IT division to obtain the benefits by implementing IT in manufacturing and to form IT Blueprint, which is part of the information technology strategic plan in PT MCM.

  6. Additive manufacturing in maxillofacial reconstruction

    Directory of Open Access Journals (Sweden)

    Dincă Luciana Laura

    2017-01-01

    Full Text Available In this paper the benefits of using additive manufacturing technologies in maxillofacial reconstruction are highlighted. Based on a real clinical case, the paper describes the manufacture of an implant prototype replacing the right zygomatic bone and a part of maxilla using additive manufacturing technologies. The face is the most expressive part of the human body that makes us unique. It was shown that the maxillofacial prostheses help to improve the psychological state of patients affected by, because low self esteem feeling appears commonly to this patients with the facial defects. The aim of this paper is to show how using additive manufacturing technologies methods within this research, the producing a surgical model will help surgeon to improve the pre-operative planning. For this we used additive manufacturing technologies such as Stereolitography to achieve the biomodel and FDM-fused deposition modelling to obtain a prototype model because these technologies make it possible to obtain prosthesis according to the physical and mechanical requirements of the region of implantation.

  7. SOI technology for power management in automotive and industrial applications

    Science.gov (United States)

    Stork, Johannes M. C.; Hosey, George P.

    2017-02-01

    Semiconductor on Insulator (SOI) technology offers an assortment of opportunities for chip manufacturers in the Power Management market. Recent advances in the automotive and industrial markets, along with emerging features, the increasing use of sensors, and the ever-expanding "Internet of Things" (IoT) are providing for continued growth in these markets while also driving more complex solutions. The potential benefits of SOI include the ability to place both high-voltage and low-voltage devices on a single chip, saving space and cost, simplifying designs and models, and improving performance, thereby cutting development costs and improving time to market. SOI also offers novel new approaches to long-standing technologies.

  8. Ohmic metallization technology for wide band-gap semiconductors

    International Nuclear Information System (INIS)

    Iliadis, A.A.; Vispute, R.D.; Venkatesan, T.; Jones, K.A.

    2002-01-01

    Ohmic contact metallizations on p-type 6H-SiC and n-type ZnO using a novel approach of focused ion beam (FIB) surface-modification and direct-write metal deposition will be reviewed, and the properties of such focused ion beam assisted non-annealed contacts will be reported. The process uses a Ga focused ion beam to modify the surface of the semiconductor with different doses, and then introduces an organometallic compound in the Ga ion beam, to effect the direct-write deposition of a metal on the modified surface. Contact resistance measurements by the transmission line method produced values in the low 10 -4 Ω cm 2 range for surface-modified and direct-write Pt and W non-annealed contacts, and mid 10 -5 Ω cm 2 range for surface-modified and pulse laser deposited TiN contacts. An optimum Ga surface-modification dosage window is determined, within which the current transport mechanism of these contacts was found to proceed mainly by tunneling through the metal-modified-semiconductor interface layer

  9. The FinFET Breakthrough and Networks of Innovation in the Semiconductor Industry, 1980-2005: Applying Digital Tools to the History of Technology.

    Science.gov (United States)

    O'Reagan, Douglas; Fleming, Lee

    2018-01-01

    The "FinFET" design for transistors, developed at the University of California, Berkeley, in the 1990s, represented a major leap forward in the semiconductor industry. Understanding its origins and importance requires deep knowledge of local factors, such as the relationships among the lab's principal investigators, students, staff, and the institution. It also requires understanding this lab within the broader network of relationships that comprise the semiconductor industry-a much more difficult task using traditional historical methods, due to the paucity of sources on industrial research. This article is simultaneously 1) a history of an impactful technology and its social context, 2) an experiment in using data tools and visualizations as a complement to archival and oral history sources, to clarify and explore these "big picture" dimensions, and 3) an introduction to specific data visualization tools that we hope will be useful to historians of technology more generally.

  10. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  11. Technological Impacts: Manufacturing and the Economy

    Science.gov (United States)

    Childress, Vincent W.

    2012-01-01

    For the past two decades, and recently with the economic recession, the media has emphasized the decline of manufacturing in the United States and other developed countries. In the U.S., some initially blamed the North American Free Trade Agreement (NAFTA) for this decline. Hearing that manufacturing is on the decline, one might reason that its…

  12. Fiscal 1999 research report on long-term energy technology strategy. Basic research on industrial technology strategy (Individual technology strategy). Machine industry technology field (Semiconductor equipment); 1999 nendo choki energy gijutsu senryaku nado ni kansuru chosa hokokusho. Sangyo gijutsu senryaku sakutei kiban chosa (bun'yabetsu gijutsu senryaku) kikai sangyo gijutsu bun'ya (handotai seizo sochi bun'ya)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    This report summarizes the fiscal 1999 basic research result on industrial technology strategy of a semiconductor equipment field, viewing until 5-10 years after. For the future semiconductor industry, the favorable cycling of creation of new demands through performance improvement, and further technology innovation through market expansion is essential absolutely. Since technology development is followed by investment, not only the performance of each equipment but also the higher productivity and cost balance of the whole factory are essential. Self-intelligent function and networking are thus necessary for the equipment. As measures for environment preservation and energy saving, such innovative technologies are required as recycling, reuse, reaction process improvement and alternative technology. Because of diverse final products and a short life time of products, a large-scale collective investment is becoming difficult. A mini-line sequential investment production system according to demand scales is under investigation. Some issues such as micro-technology, realization of 300mm wafer, modularization, CIM, reliability and standardization are also described. (NEDO)

  13. Advanced Manufacturing Technologies (AMT): Modular Rapidly Manufactured SmallSat

    Data.gov (United States)

    National Aeronautics and Space Administration — Utilize advanced manufacturing processes to design and fabricate a fully functional prototype flight model, with the goal of demonstrating rapid on-orbit assembly of...

  14. Efficiency improvement of technological preparation of power equipment manufacturing

    Science.gov (United States)

    Milukov, I. A.; Rogalev, A. N.; Sokolov, V. P.; Shevchenko, I. V.

    2017-11-01

    Competitiveness of power equipment primarily depends on speeding-up the development and mastering of new equipment samples and technologies, enhancement of organisation and management of design, manufacturing and operation. Actual political, technological and economic conditions cause the acute need in changing the strategy and tactics of process planning. At that the issues of maintenance of equipment with simultaneous improvement of its efficiency and compatibility to domestically produced components are considering. In order to solve these problems, using the systems of computer-aided process planning for process design at all stages of power equipment life cycle is economically viable. Computer-aided process planning is developed for the purpose of improvement of process planning by using mathematical methods and optimisation of design and management processes on the basis of CALS technologies, which allows for simultaneous process design, process planning organisation and management based on mathematical and physical modelling of interrelated design objects and production system. An integration of computer-aided systems providing the interaction of informative and material processes at all stages of product life cycle is proposed as effective solution to the challenges in new equipment design and process planning.

  15. 32nd International Conference on the Physics of Semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Chelikowsky, James [Univ. of Texas, Austin, TX (United States)

    2016-10-17

    The International Conference on the Physics of Semiconductors (ICPS) continues a series of biennial conferences that began in the 1950's. ICPS is the premier meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties with an emphasis on new materials and their applications. The meeting will reflect the state of art in the semiconductor physics field and will serve as a forum where scholars, researchers, and specialists can interact to discuss future research directions and technological advancements. The conference typically draws 1,000 international physicists, scientists, and students. This is one of the largest science meetings on semiconductors and related materials to be held in the United States.

  16. Peculiarities of neutron interaction with boron containing semiconductors

    International Nuclear Information System (INIS)

    Didyk, A.Yu.; ); Hofman, A.; Institute of Atomic Energy, Otwock/Swierk; Vlasukova, L.A.

    2009-01-01

    The results of point defect creation calculation in B 4 C, BN and BP semiconductor single crystals irradiated in the fast neutron reactor IBR-2 are presented. It has been shown that during the thermal neutron interaction with light isotope boron atoms ( 10 B) the damage creation by means of fission nuclear reaction fragments (alpha particles and 7 Li recoil nuclei) exceeds the damage created by fast neutrons (E n > 0.1 MeV) by more than two orders of value. It has been concluded that such irradiation can create a well developed radiation defect structure in boron-containing crystals with nearly homogeneous vacancy depth distribution. This may be used in technological applications for more effective diffusion of impurities implanted at low energies or deposited onto the semiconductor surface. The developed homogeneous vacancy structure is very suitable for the radiation enhanced diffusion of electrically charged or neutral impurities from the surface into the technological depth of semiconductor devices under post irradiation treatment. (authors)

  17. Semiconductor applications of plasma immersion ion implantation ...

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 25; Issue 6. Semiconductor applications of plasma immersion ion implantation technology ... Department of Electronic Science, Kurukshetra University, Kurukshetra 136 119, India ...

  18. Integrated and Intelligent Manufacturing: Perspectives and Enablers

    Directory of Open Access Journals (Sweden)

    Yubao Chen

    2017-10-01

    Full Text Available With ever-increasing market competition and advances in technology, more and more countries are prioritizing advanced manufacturing technology as their top priority for economic growth. Germany announced the Industry 4.0 strategy in 2013. The US government launched the Advanced Manufacturing Partnership (AMP in 2011 and the National Network for Manufacturing Innovation (NNMI in 2014. Most recently, the Manufacturing USA initiative was officially rolled out to further “leverage existing resources... to nurture manufacturing innovation and accelerate commercialization” by fostering close collaboration between industry, academia, and government partners. In 2015, the Chinese government officially published a 10-year plan and roadmap toward manufacturing: Made in China 2025. In all these national initiatives, the core technology development and implementation is in the area of advanced manufacturing systems. A new manufacturing paradigm is emerging, which can be characterized by two unique features: integrated manufacturing and intelligent manufacturing. This trend is in line with the progress of industrial revolutions, in which higher efficiency in production systems is being continuously pursued. To this end, 10 major technologies can be identified for the new manufacturing paradigm. This paper describes the rationales and needs for integrated and intelligent manufacturing (i2M systems. Related technologies from different fields are also described. In particular, key technological enablers, such as the Internet of Things and Services (IoTS, cyber-physical systems (CPSs, and cloud computing are discussed. Challenges are addressed with applications that are based on commercially available platforms such as General Electric (GE’s Predix and PTC’s ThingWorx.

  19. Microelectronics used for Semiconductor Imaging Detectors

    CERN Document Server

    Heijne, Erik H M

    2010-01-01

    Semiconductor crystal technology, microelectronics developments and nuclear particle detection have been in a relation of symbiosis, all the way from the beginning. The increase of complexity in electronics chips can now be applied to obtain much more information on the incident nuclear radiation. Some basic technologies are described, in order to acquire insight in possibilities and limitations for the most recent detectors.

  20. Cloud manufacturing: a service-oriented manufacturing paradigm. A review paper

    Directory of Open Access Journals (Sweden)

    Siderska Julia

    2018-03-01

    Full Text Available This paper introduces cloud manufacturing (CMfg as a new manufacturing paradigm that joins the emerging technologies – such as the Internet of Things, cloud computing, and service-oriented technologies – for solving complex problems in manufacturing applications and performing large-scale collaborative manufacturing. Using scientific publications indexed in Scopus database during the period 2012–2017, the concept and fundamentals of CMfg are presented and discussed given the results of the most recent research. While focusing on the current state of the art, the recent research trends within CMfg concept were also identified. The review involved the methods of bibliometric analysis and network analysis. A prototype of CMfg and the existing related work conducted by various researchers are presented, and the map of co-occurrence is introduced to indicate the most commonly occurring issues related to the “cloud manufacturing” term. The VOSviewer software was used for this purpose. Finally, cloud-based manufacturing areas for further research are identified.

  1. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  2. Technology development in the U.S. and Japan: the case of the phase-shifting mask

    Science.gov (United States)

    Schellenberg, Frank; Okimoto, Dan; Raphael, Jim; Shirouzu, Norihiko

    1994-01-01

    The status of American competitiveness in high technology, and in particular the semiconductor industry, has been the subject of concern for some time now.1 With the rise of Japanese manufactures to preeminence in the manufacturing of DRAM (Dynamic Random Access Memory) chips during the 1980's, the fundamental assumptions of modern economic theory have been called into question.2 Various factors have been cited by various authors to account for the recent rise in Japanese competitiveness, including industrial policies of the Japanese government, differences in the cost of capital, investments in research and development, and the requirement of American companies to post financial results quarterly.3 There is, however, a real need for concrete case studies, which can examine the actual history of a technology and establish mechanisms of cause and effect.

  3. Educational program for industrial engineers : nurturing new perspectives on manufacturing technology

    OpenAIRE

    Ishii, Kazuyoshi; Ikeda, Hiroshi; Tsuchiya, Akinori; Shikida, Asami; Abe, Takehiko

    2005-01-01

    In this paper, we propose the basic concept and result of an educational program developed for industrial engineers and managers in leadership roles who wish to create new values in manufacturing technology. The basic concept combines an intelligent knowledge-based approach with the kaizen activity program in a framework of new value creation and comparative advantage models based on the ABC-G network (Academia, Business, Consultants, and Governmental officers). The educational program is bas...

  4. Additive Manufacturing Technologies Used for Processing Polymers: Current Status and Potential Application in Prosthetic Dentistry.

    Science.gov (United States)

    Revilla-León, Marta; Özcan, Mutlu

    2018-04-22

    There are 7 categories of additive manufacturing (AM) technologies, and a wide variety of materials can be used to build a CAD 3D object. The present article reviews the main AM processes for polymers for dental applications: stereolithography (SLA), digital light processing (DLP), material jetting (MJ), and material extrusion (ME). The manufacturing process, accuracy, and precision of these methods will be reviewed, as well as their prosthodontic applications. © 2018 by the American College of Prosthodontists.

  5. Organizing for manufacturing innovation. The case of Flexible Manufacturing Systems

    DEFF Research Database (Denmark)

    Boer, Harry; Krabbendam, Koos

    1992-01-01

    addressing the manufacturing innovation process are even fewer and provide little insight into its true nature. Consequently, little is known about the effective organization of such processes. In the present article an organization model of manufacturing innovation is described, and its practicability...... the implementation of new technology effectively. This is not surprising, considering the innovative nature of this process. Although there is a host of literature on innovation, organization and (the benefits of) new technology, the literature in which these areas are linked together is scarce. Publications...... assessed using the results of seven case studies of the implementation of flexible manufacturing systems in British, Belgian and Dutch mechanical engineering companies....

  6. Environmental safety issues for semiconductors (research on scarce materials recycling)

    International Nuclear Information System (INIS)

    Izumi, Shigekazu

    2004-01-01

    In the 21st century, in the fabrication of various industrial parts, particularly, current and future electronics devices in the semiconductor industry, environmental safety issues should be carefully considered. We coined a new term, environmental safety issues for semiconductors, considering our semiconductor research and technology which include environmental and ecological factors. The main object of this analysis is to address the present situation of environmental safety problems in the semiconductor industry; some of which are: (1) the generation and use of hazardous toxic gases in the crystal growth procedure such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), (2) the generation of industrial toxic wastes in the semiconductor process and (3) scarce materials recycling from wastes in the MBE and MOCVD growth procedure

  7. Instrumentation for characterizing materials and composed semiconductors for ionizing radiation detectors

    International Nuclear Information System (INIS)

    Paschoal, Arquimedes J.A.; Leite, Adolfo M.B.; Nazzre, Fabio V.B.; Santos, Luiz A.P.

    2007-01-01

    The purpose of this work is the development of instrumentation for characterizing some type of ionizing radiation detectors. Those detectors are being manufactured by the Nuclear Instrumentation Laboratory at CRCN/Recife and can be used both on photon beam and with particles. Such detectors consist of semiconductor material in the form of films generated by oxide growing or by means of semiconductor material deposition in a substrate. Those materials can be made of metals, semi-metals, composites or semiconductor polymers. Prior to expose those detectors to ionizing radiation, it must be physically and electrically characterized. In this intention it was developed an electromechanical system. An electrical circuit was built to measure the signal from the detector and another circuit to control the movement of four probes (4-points technique) by using a stepper motor and the micro stepping technique avoiding damage to the detector. This system can be of interest to researchers that work with a sort of semiconductor materials in the form of thin film and in nanotechnological processes aiming the design of radiation ionizing detectors. (author)

  8. Immersive Technology for Human-Centric Cyberphysical Systems in Complex Manufacturing Processes: A Comprehensive Overview of the Global Patent Profile Using Collective Intelligence

    Directory of Open Access Journals (Sweden)

    Usharani Hareesh Govindarajan

    2018-01-01

    Full Text Available Immersive technology for human-centric cyberphysical systems includes broad concepts that enable users in the physical world to connect with the cyberworld with a sense of immersion. Complex systems such as virtual reality, augmented reality, brain-computer interfaces, and brain-machine interfaces are emerging as immersive technologies that have the potential for improving manufacturing systems. Industry 4.0 includes all technologies, standards, and frameworks for the fourth industrial revolution to facilitate intelligent manufacturing. Industrial immersive technologies will be used for smart manufacturing innovation in the context of Industry 4.0’s human machine interfaces. This research provides a thorough review of the literature, construction of a domain ontology, presentation of patent metatrend statistical analysis, and data mining analysis using a technology function matrix and highlights technical and functional development trends using latent Dirichlet allocation (LDA models. A total of 179 references from the IEEE and IET databases and 2,672 patents are systematically analyzed to identify current trends. The paper establishes an essential foundation for the development of advanced human-centric cyberphysical systems in complex manufacturing processes.

  9. Polycrystalline silicon availability for photovoltaic and semiconductor industries

    Science.gov (United States)

    Ferber, R. R.; Costogue, E. N.; Pellin, R.

    1982-01-01

    Markets, applications, and production techniques for Siemens process-produced polycrystalline silicon are surveyed. It is noted that as of 1982 a total of six Si materials suppliers were servicing a worldwide total of over 1000 manufacturers of Si-based devices. Besides solar cells, the Si wafers are employed for thyristors, rectifiers, bipolar power transistors, and discrete components for control systems. An estimated 3890 metric tons of semiconductor-grade polycrystalline Si will be used in 1982, and 6200 metric tons by 1985. Although the amount is expected to nearly triple between 1982-89, research is being carried out on the formation of thin films and ribbons for solar cells, thereby eliminating the waste produced in slicing Czolchralski-grown crystals. The free-world Si production in 1982 is estimated to be 3050 metric tons. Various new technologies for the formation of polycrystalline Si at lower costs and with less waste are considered. New entries into the industrial Si formation field are projected to produce a 2000 metric ton excess by 1988.

  10. Evaluation of technology transfer on collider quadrupole manufacture at LBL

    International Nuclear Information System (INIS)

    Boeer, J.; Fechteler, H.; Moryson, H.; Sommer, F.; Grueneberg, H.; Kreutz, R.; Krischel, D.; Bensiek, W.; Ryan, B.

    1992-01-01

    As part of the contract on the collider quadruple magnets a technology transfer to Siemens Power Generation Group (KWU) was performed at Lawrence Berkeley Laboratory, Berkeley in September 1991. One inner and outer 1 m long coil each should be manufactured under the surveillance of LBL staff to become familiar with the coil production facilities available at LBL. In addition, KWU had the possibility to observe the production process of 5 m quadruple coils. The work is successfully completed and provided additional information for the further hardware operations at the Siemens site

  11. Exploring car manufacturers' responses to technology-forcing regulation : The case of California's ZEV mandate

    NARCIS (Netherlands)

    Wesseling, Joeri; Farla, J. C M; Hekkert, M. P.

    2015-01-01

    The ability of firms to influence environmental regulation has largely been overlooked in transition studies. We study how car manufacturers combine and change their innovation and political influence strategies in response to a technology-forcing regulation. We apply a conceptual framework on

  12. Structural and morphological approach of Co-Cr dental alloys processed by alternative manufacturing technologies

    Science.gov (United States)

    Porojan, Sorin; Bîrdeanu, Mihaela; Savencu, Cristina; Porojan, Liliana

    2017-08-01

    The integration of digitalized processing technologies in traditional dental restorations manufacturing is an emerging application. The objective of this study was to identify the different structural and morphological characteristics of Co-Cr dental alloys processed by alternative manufacturing techniques in order to understand the influence of microstructure on restorations properties and their clinical behavior. Metallic specimens made of Co-Cr dental alloys were prepared using traditional casting (CST), and computerized milling (MIL), selective laser sintering (SLS) and selective laser melting (SLM). The structural information of the samples was obtained by X-ray diffraction, the morphology and the topography of the samples were investigated by Scanning Electron Microscopy and Atomic Force Microscope. Given that the microstructure was significantly different, further differences in the clinical behavior of prosthetic restorations manufactured using additive techniques are anticipated.

  13. NEDO Forum 2001. Session on industrial technology development (Future of thing making and manufacturing/processing technologies); NEDO Forum 2001. Sangyo gijutsu kaihatsu session (monozukuri seisan kako gijutsu no mirai)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-09-20

    The presentations made at the above-named session of the NEDO (New Energy and Industrial Technology Development Organization) forum held in Tokyo on September 20, 2001, are collected in this report. In a lecture entitled 'History of thing making,' the roles played by the now-defunct National Testing Laboratory and Industrial Test and Research Center were described and strategy for future development was predicted. In a lecture entitled 'Thing making and international apprentices competition,' apprenticeship training in practice at Denso Systems Corporation was presented. In a lecture entitled 'Key technology in thing making: outlook of metal mold industry,' shift in Japanese manufacturers' paradigm and change in metal mold marketing were explained, and the importance of reaching for the first position in the world in some specific fields or of expanding the scope of work in the entire value chain was stressed. In a lecture entitled 'Digital meister project: Aiming at amalgamation of IT (information technology) and MT (manufacturing technology),' database construction in the manufacture of metal molds, introduction of knowledge management systems, and development of CAD/CAM/CAE (computer-aided design/computer-aided manufacturing/computer-aided engineering) were discussed. (NEDO)

  14. Efficiency and Throughput Advances in Continuous Roll-to-Roll a-Si Alloy PV Manufacturing Technology: Final Subcontract Report, 22 June 1998 -- 5 October 2001

    Energy Technology Data Exchange (ETDEWEB)

    Ellison, T.

    2002-04-01

    This report describes a roll-to-roll triple-junction amorphous silicon alloy PV manufacturing technology developed and commercialized by Energy Conversion Devices (ECD) and United Solar Systems. This low material cost, roll-to-roll production technology has the economies of scale needed to meet the cost goals necessary for widespread use of PV. ECD has developed and built six generations of a-Si production equipment, including the present 5 MW United Solar manufacturing plant in Troy, Michigan. ECD is now designing and building a new 25-MW facility, also in Michigan. United Solar holds the world's record for amorphous silicon PV conversion efficiency, and manufactures and markets a wide range of PV products, including flexible portable modules, power modules, and innovative building-integrated PV (BIPV) shingle and metal-roofing modules that take advantage of this lightweight, rugged, and flexible PV technology. All of United Solar's power and BIPV products are approved by Underwriters Laboratories and carry a 10-year warranty. In this PVMaT 5A subcontract, ECD and United Solar are addressing issues to reduce the cost and improve the manufacturing technology for the ECD/United Solar PV module manufacturing process. ECD and United Solar identified five technology development areas that would reduce the module manufacturing cost in the present 5-MW production facility, and also be applicable to future larger-scale manufacturing facilities.

  15. Isotopically controlled semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Haller, Eugene E.

    2006-06-19

    The following article is an edited transcript based on the Turnbull Lecture given by Eugene E. Haller at the 2005 Materials Research Society Fall Meeting in Boston on November 29, 2005. The David Turnbull Lectureship is awarded to recognize the career of a scientist who has made outstanding contributions to understanding materials phenomena and properties through research, writing, and lecturing, as exemplified by the life work of David Turnbull. Haller was named the 2005 David Turnbull Lecturer for his 'pioneering achievements and leadership in establishing the field of isotopically engineered semiconductors; for outstanding contributions to materials growth, doping and diffusion; and for excellence in lecturing, writing, and fostering international collaborations'. The scientific interest, increased availability, and technological promise of highly enriched isotopes have led to a sharp rise in the number of experimental and theoretical studies with isotopically controlled semiconductor crystals. This article reviews results obtained with isotopically controlled semiconductor bulk and thin-film heterostructures. Isotopic composition affects several properties such as phonon energies, band structure, and lattice constant in subtle, but, for their physical understanding, significant ways. Large isotope-related effects are observed for thermal conductivity in local vibrational modes of impurities and after neutron transmutation doping. Spectacularly sharp photoluminescence lines have been observed in ultrapure, isotopically enriched silicon crystals. Isotope multilayer structures are especially well suited for simultaneous self- and dopant-diffusion studies. The absence of any chemical, mechanical, or electrical driving forces makes possible the study of an ideal random-walk problem. Isotopically controlled semiconductors may find applications in quantum computing, nanoscience, and spintronics.

  16. Development of Optimum Manufacturing Technologies of Radial Plates for the ITER Toroidal Field Coils

    International Nuclear Information System (INIS)

    Nakajima, H.; Hamada, K.; Okuno, K.; Abe, K.; Kakui, H.; Yamaoka, H.; Maruyama, N.

    2006-01-01

    A stainless steel structure called a radial plate is used in the toroidal field (TF) coils of the International Thermonuclear Experimental Reactor (ITER) in order to support large electromagnetic force generated in the conductors. It is a 13.7 m x 8.7 m D-shaped plate having 11 grooves on each side in which conductors are wound. Although severe dimensional accuracy, for example flatness within 2 mm, and tight schedule that all radial plates for 9 TF coils (63 plates) have to be manufactured in about 4 years are required in manufacture of the radial plates, there are no industries in the world who have manufactured a large complicated structure like the radial plate with high accuracy. Japan Atomic Energy Agency (JAEA) has been studying rational manufacturing method and developing the optimum manufacturing technologies of the radial plates in order to satisfy the above requirements in collaboration with the Ishikawajima-Harima Heavy Industries Co., Ltd. (IHI). Several trial manufactures of radial plates have been performed to clarify the following key points: · Effect of nitrogen content in material on machinability · Effect of cutting direction of a piece on deformation caused by machining · Effect of machining shape (curve or straight) on machining condition · Effect of laser welding technique on penetration and welding deformation Three different 316LN materials having nitrogen content of 0.12 %, 0.17%, and 0.20% were used to investigate nitrogen content effect on machinability. Machinability of lower nitrogen content material was slightly better than that of higher nitrogen content material. Three sectoral pieces were cut by plasma cutting technique from a hot rolled plate without any difficulties and one of them was machined to a curved segment of the radial plate having the same size as actual one. However, unacceptable large deformation over 5 mm flatness was found during machining which would be caused by curved shape of grooves and/or cutting direction

  17. Microplasma fabrication: from semiconductor technology for 2D-chips and microfluidic channels to rapid prototyping and 3D-printing of microplasma devices

    Science.gov (United States)

    Shatford, R.; Karanassios, Vassili

    2014-05-01

    Microplasmas are receiving attention in recent conferences and current scientific literature. In our laboratory, microplasmas-on-chips proved to be particularly attractive. The 2D- and 3D-chips we developed became hybrid because they were fitted with a quartz plate (quartz was used due to its transparency to UV). Fabrication of 2D- and 3D-chips for microplasma research is described. The fabrication methods described ranged from semiconductor fabrication technology, to Computer Numerical Control (CNC) machining, to 3D-printing. These methods may prove to be useful for those contemplating in entering microplasma research but have no access to expensive semiconductor fabrication equipment.

  18. Ag-based semiconductor photocatalysts in environmental purification

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jiade; Fang, Wen [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Yu, Changlin, E-mail: yuchanglinjx@163.com [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); School of Environment Engineering and biology Engineering, Guangdong University of Petrochemical Technology, Maoming, 525000 Guangdong Province (China); Zhou, Wanqin [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); State Key Laboratory of Photocatalysis on Energy and Environment, Fuzhou University, Fuzhou, 350002 (China); Zhu, Lihua [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Xie, Yu, E-mail: xieyu_121@163.com [College of Environment and Chemical Engineering, Nanchang Hangkong University, Nanchang 330063, Jiangxi (China)

    2015-12-15

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO{sub 2}, ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  19. Ag-based semiconductor photocatalysts in environmental purification

    International Nuclear Information System (INIS)

    Li, Jiade; Fang, Wen; Yu, Changlin; Zhou, Wanqin; Zhu, Lihua; Xie, Yu

    2015-01-01

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO 2 , ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  20. NASA Game Changing Development Program Manufacturing Innovation Project

    Science.gov (United States)

    Tolbert, Carol; Vickers, John

    2011-01-01

    This presentation examines the new NASA Manufacturing Innovation Project. The project is a part of the Game Changing Development Program which is one element of the Space Technology Programs Managed by Office of the Chief Technologist. The project includes innovative technologies in model-based manufacturing, digital additive manufacturing, and other next generation manufacturing tools. The project is also coupled with the larger federal initiatives in this area including the National Digital Engineering and Manufacturing Initiative and the Advanced Manufacturing Partnership. In addition to NASA, other interagency partners include the Department of Defense, Department of Commerce, NIST, Department of Energy, and the National Science Foundation. The development of game-changing manufacturing technologies are critical for NASA s mission of exploration, strengthening America s manufacturing competitiveness, and are highly related to current challenges in defense manufacturing activities. There is strong consensus across industry, academia, and government that the future competitiveness of U.S. industry will be determined, in large part, by a technologically advanced manufacturing sector. This presentation highlights the prospectus of next generation manufacturing technologies to the challenges faced NASA and by the Department of Defense. The project focuses on maturing innovative/high payoff model-based manufacturing technologies that may lead to entirely new approaches for a broad array of future NASA missions and solutions to significant national needs. Digital manufacturing and computer-integrated manufacturing "virtually" guarantee advantages in quality, speed, and cost and offer many long-term benefits across the entire product lifecycle. This paper addresses key enablers and emerging strategies in areas such as: Current government initiatives, Model-based manufacturing, and Additive manufacturing.