WorldWideScience

Sample records for semiconductor manufacturing facility

  1. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  2. Automation and Integration in Semiconductor Manufacturing

    OpenAIRE

    Liao, Da-Yin

    2010-01-01

    Semiconductor automation originates from the prevention and avoidance of frauds in daily fab operations. As semiconductor technology and business continuously advance and grow, manufacturing systems must aggressively evolve to meet the changing technical and business requirements in this industry. Semiconductor manufacturing has been suffering pains from islands of automation. The problems associated with these systems are limited

  3. Production planning and control for semiconductor wafer fabrication facilities modeling, analysis, and systems

    CERN Document Server

    Mönch, Lars; Mason, Scott J

    2012-01-01

    Over the last fifty-plus years, the increased complexity and speed of integrated circuits have radically changed our world. Today, semiconductor manufacturing is perhaps the most important segment of the global manufacturing sector. As the semiconductor industry has become more competitive, improving planning and control has become a key factor for business success. This book is devoted to production planning and control problems in semiconductor wafer fabrication facilities. It is the first book that takes a comprehensive look at the role of modeling, analysis, and related information systems

  4. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  5. Method of manufacturing a semiconductor sensor device and semiconductor sensor device

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a method of manufacturing a semiconductor sensor device (10) for sensing a substance comprising a plurality of mutually parallel mesa-shaped semiconductor regions (1) which are formed on a surface of a semiconductor body (11) and which are connected at a first end to a first

  6. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method of manufacturing a semiconductor device (10) with a semiconductor body (1) which is provided with at least one semiconductor element, wherein on the surface of the semiconductor body (1) a mesa- shaped semiconductor region (2) is formed, a masking layer (3) is

  7. Strengthening the Competitiveness and Sustainability of a Semiconductor Manufacturer with Cloud Manufacturing

    Directory of Open Access Journals (Sweden)

    Toly Chen

    2014-01-01

    Full Text Available Cloud manufacturing (CMfg is a new-generation service-oriented networked manufacturing model that provides distributed users centralized managed manufacturing resources, ability, and services. CMfg is applied here to a semiconductor manufacturing factory. Benefits are classified into five aspects: cost savings, efficiency, additional data analysis capabilities, flexibility, and closer partner relationships. A strength, weakness, opportunity, and threat (SWOT analysis is done which guides a semiconductor manufacturer in planning CMfg implementation projects. Simulation of a wafer fabrication factory (wafer fab is used as an example. Several CMfg services are proposed for assisting the fab simulation activities through the collaboration of cloud service providers, software vendors, equipment suppliers, and the wafer fab. The connection with the competitiveness and sustainability of a wafer fab is also stressed.

  8. Fundamentals of semiconductor manufacturing and process control

    CERN Document Server

    May, Gary S

    2006-01-01

    A practical guide to semiconductor manufacturing from process control to yield modeling and experimental design Fundamentals of Semiconductor Manufacturing and Process Control covers all issues involved in manufacturing microelectronic devices and circuits, including fabrication sequences, process control, experimental design, process modeling, yield modeling, and CIM/CAM systems. Readers are introduced to both the theory and practice of all basic manufacturing concepts. Following an overview of manufacturing and technology, the text explores process monitoring methods, including those that focus on product wafers and those that focus on the equipment used to produce wafers. Next, the text sets forth some fundamentals of statistics and yield modeling, which set the foundation for a detailed discussion of how statistical process control is used to analyze quality and improve yields. The discussion of statistical experimental design offers readers a powerful approach for systematically varying controllable p...

  9. Semiconductors integrated circuit design for manufacturability

    CERN Document Server

    Balasinki, Artur

    2011-01-01

    Because of the continuous evolution of integrated circuit manufacturing (ICM) and design for manufacturability (DfM), most books on the subject are obsolete before they even go to press. That's why the field requires a reference that takes the focus off of numbers and concentrates more on larger economic concepts than on technical details. Semiconductors: Integrated Circuit Design for Manufacturability covers the gradual evolution of integrated circuit design (ICD) as a basis to propose strategies for improving return-on-investment (ROI) for ICD in manufacturing. Where most books put the spotl

  10. Manufacturing Demonstration Facility: Roll-to-Roll Processing

    Energy Technology Data Exchange (ETDEWEB)

    Datskos, Panos G [ORNL; Joshi, Pooran C [ORNL; List III, Frederick Alyious [ORNL; Duty, Chad E [ORNL; Armstrong, Beth L [ORNL; Ivanov, Ilia N [ORNL; Jacobs, Christopher B [ORNL; Graham, David E [ORNL; Moon, Ji Won [ORNL

    2015-08-01

    This Manufacturing Demonstration Facility (MDF)e roll-to-roll processing effort described in this report provided an excellent opportunity to investigate a number of advanced manufacturing approaches to achieve a path for low cost devices and sensors. Critical to this effort is the ability to deposit thin films at low temperatures using nanomaterials derived from nanofermentation. The overarching goal of this project was to develop roll-to-roll manufacturing processes of thin film deposition on low-cost flexible substrates for electronics and sensor applications. This project utilized ORNL s unique Pulse Thermal Processing (PTP) technologies coupled with non-vacuum low temperature deposition techniques, ORNL s clean room facility, slot dye coating, drop casting, spin coating, screen printing and several other equipment including a Dimatix ink jet printer and a large-scale Kyocera ink jet printer. The roll-to-roll processing project had three main tasks: 1) develop and demonstrate zinc-Zn based opto-electronic sensors using low cost nanoparticulate structures manufactured in a related MDF Project using nanofermentation techniques, 2) evaluate the use of silver based conductive inks developed by project partner NovaCentrix for electronic device fabrication, and 3) demonstrate a suite of low cost printed sensors developed using non-vacuum deposition techniques which involved the integration of metal and semiconductor layers to establish a diverse sensor platform technology.

  11. Materials, Processes, and Facile Manufacturing for Bioresorbable Electronics: A Review.

    Science.gov (United States)

    Yu, Xiaowei; Shou, Wan; Mahajan, Bikram K; Huang, Xian; Pan, Heng

    2018-05-07

    Bioresorbable electronics refer to a new class of advanced electronics that can completely dissolve or disintegrate with environmentally and biologically benign byproducts in water and biofluids. They have provided a solution to the growing electronic waste problem with applications in temporary usage of electronics such as implantable devices and environmental sensors. Bioresorbable materials such as biodegradable polymers, dissolvable conductors, semiconductors, and dielectrics are extensively studied, enabling massive progress of bioresorbable electronic devices. Processing and patterning of these materials are predominantly relying on vacuum-based fabrication methods so far. However, for the purpose of commercialization, nonvacuum, low-cost, and facile manufacturing/printing approaches are the need of the hour. Bioresorbable electronic materials are generally more chemically reactive than conventional electronic materials, which require particular attention in developing the low-cost manufacturing processes in ambient environment. This review focuses on material reactivity, ink availability, printability, and process compatibility for facile manufacturing of bioresorbable electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Productivity improvement through industrial engineering in the semiconductor industry

    Science.gov (United States)

    Meyersdorf, Doron

    1996-09-01

    Industrial Engineering is fairly new to the semiconductor industry, though the awareness to its importance has increased in recent years. The US semiconductor industry in particular has come to the realization that in order to remain competitive in the global market it must take the lead not only in product development but also in manufacturing. Industrial engineering techniques offer one ofthe most effective strategies for achieving manufacturing excellence. Industrial engineers play an important role in the success of the manufacturing facility. This paper defines the Industrial engineers role in the IC facility, set the visions of excellence in semiconductor manufacturing and highlights 10 roadblocks on the journey towards manufacturing excellence.

  13. New era of silicon technologies due to radical reaction based semiconductor manufacturing

    International Nuclear Information System (INIS)

    Ohmi, Tadahiro; Hirayama, Masaki; Teramoto, Akinobu

    2006-01-01

    Current semiconductor technology, the so-called the molecule reaction based semiconductor manufacturing, now faces a very severe standstill due to the drastic increase of gate leakage currents and drain leakage currents. Radical reaction based semiconductor manufacturing has been developed to completely overcome the current standstill by introducing microwave excited high density plasma with very low electron temperatures and without accompanying charge-up damage. The introduction of radical reaction based semiconductor manufacturing has made it possible to fabricate LSI devices on any crystal orientation Si substrate surface as well as (100) Si substrate surfaces, and to eliminate a very severe limitation to the antenna ratio in the circuit layout patterns, which is strictly limited to less than 100-200 in order to obtain a relatively high production yield. (topical review)

  14. Composites Manufacturing Education and Technology Facility Expedites Manufacturing Innovation

    Energy Technology Data Exchange (ETDEWEB)

    2017-01-01

    The Composites Manufacturing Education and Technology facility (CoMET) at the National Wind Technology Center at the National Renewable Energy Laboratory (NREL) paves the way for innovative wind turbine components and accelerated manufacturing. Available for use by industry partners and university researchers, the 10,000-square-foot facility expands NREL's composite manufacturing research capabilities by enabling researchers to design, prototype, and test composite wind turbine blades and other components -- and then manufacture them onsite. Designed to work in conjunction with NREL's design, analysis, and structural testing capabilities, the CoMET facility expedites manufacturing innovation.

  15. NICE3 SO3 Cleaning Process in Semiconductor Manufacturing

    International Nuclear Information System (INIS)

    Blazek, Steve

    1999-01-01

    This fact sheet explains how Anon, Inc., has developed a novel method of removing photoresist--a light-sensitive material used to produce semiconductor wafers for computers--from the computer manufacturing process at reduced cost and greater efficiency. The new technology is technically superior to existing semiconductor cleaning methods and results in reduced use of hazardous chemicals

  16. Method of manufacturing semiconductor devices

    International Nuclear Information System (INIS)

    Sun, Y.S.E.

    1980-01-01

    A method of improving the electrical characteristics of semiconductor devices such as SCR's, rectifiers and triacs during their manufacture is described. The system consists of electron irradiation at an energy in excess of 250 KeV and most preferably between 1.5 and 12 MeV, producing an irradiation dose of between 5.10 12 and 5.10 15 electrons per sq. cm., and at a temperature in excess of 100 0 C preferably between 150 and 375 0 C. (U.K.)

  17. Offshoring in the Semiconductor Industry: Historical Perspectives

    OpenAIRE

    Brown, Clair; Linden, Greg

    2005-01-01

    Semiconductor design is a frequently-cited example of the new wave of offshoring and foreign-outsourcing of service sector jobs. It is certainly a concern to U.S. design engineers themselves. In addition to the current wave of white-collar outsourcing, the industry also has a rich experience with offshoring of manufacturing activity. Semiconductor companies were among the first to invest in offshore facilities to manufacture goods for imports back to the U.S. A brief review of these earlie...

  18. 14 CFR 21.43 - Location of manufacturing facilities.

    Science.gov (United States)

    2010-01-01

    ... 14 Aeronautics and Space 1 2010-01-01 2010-01-01 false Location of manufacturing facilities. 21.43... CERTIFICATION PROCEDURES FOR PRODUCTS AND PARTS Type Certificates § 21.43 Location of manufacturing facilities... location of the manufacturer's facilities places no undue burden on the FAA in administering applicable...

  19. Offshoring in the Semiconductor Industry: A Historical Perspective

    OpenAIRE

    Brown, Clair; Linden, Greg

    2005-01-01

    Semiconductor design is a frequently-cited example of the new wave of offshoring and foreign-outsourcing of service sector jobs. It is certainly a concern to U.S. design engineers themselves. In addition to the current wave of white-collar outsourcing, the industry also has a rich experience with offshoring of manufacturing activity. Semiconductor companies were among the first to invest in offshore facilities to manufacture goods for imports back to the U.S. A brief review of these...

  20. Big Data Analytics for Smart Manufacturing: Case Studies in Semiconductor Manufacturing

    Directory of Open Access Journals (Sweden)

    James Moyne

    2017-07-01

    Full Text Available Smart manufacturing (SM is a term generally applied to the improvement in manufacturing operations through integration of systems, linking of physical and cyber capabilities, and taking advantage of information including leveraging the big data evolution. SM adoption has been occurring unevenly across industries, thus there is an opportunity to look to other industries to determine solution and roadmap paths for industries such as biochemistry or biology. The big data evolution affords an opportunity for managing significantly larger amounts of information and acting on it with analytics for improved diagnostics and prognostics. The analytics approaches can be defined in terms of dimensions to understand their requirements and capabilities, and to determine technology gaps. The semiconductor manufacturing industry has been taking advantage of the big data and analytics evolution by improving existing capabilities such as fault detection, and supporting new capabilities such as predictive maintenance. For most of these capabilities: (1 data quality is the most important big data factor in delivering high quality solutions; and (2 incorporating subject matter expertise in analytics is often required for realizing effective on-line manufacturing solutions. In the future, an improved big data environment incorporating smart manufacturing concepts such as digital twin will further enable analytics; however, it is anticipated that the need for incorporating subject matter expertise in solution design will remain.

  1. Composite Structures Manufacturing Facility

    Data.gov (United States)

    Federal Laboratory Consortium — The Composite Structures Manufacturing Facility specializes in the design, analysis, fabrication and testing of advanced composite structures and materials for both...

  2. Manufacturing Demonstration Facility (MDF)

    Data.gov (United States)

    Federal Laboratory Consortium — The U.S. Department of Energy Manufacturing Demonstration Facility (MDF) at Oak Ridge National Laboratory (ORNL) provides a collaborative, shared infrastructure to...

  3. Rare resource supply crisis and solution technology for semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Hu, Sophia; Yoo, Youngsun; Takahisa, Kenji; Enami, Tatsuo

    2016-03-01

    There are growing concerns over future environmental impact and earth resource shortage throughout the world and in many industries. Our semiconductor industry is not excluded. "Green" has become an important topic as production volume become larger and more powerful. Especially, the rare gases are widely used in semiconductor manufacturing because of its inertness and extreme chemical stability. One major component of an Excimer laser system is Neon. It is used as a buffer gas for Argon (Ar) and Krypton (Kr) gases used in deep ultraviolet (DUV) lithography laser systems. Since Neon gas accounting for more than 96% of the laser gas mixture, a fairly large amount of neon gas is consumed to run these DUV lasers. However, due to country's instability both in politics and economics in Ukraine, the main producer of neon gas today, supply reduction has become an issue and is causing increasing concern. This concern is not only based on price increases, but has escalated to the point of supply shortages in 2015. This poses a critical situation for the semiconductor industry, which represents the leading consumer of neon gas in the world. Helium is another noble gas used for Excimer laser operation. It is used as a purge gas for optical component modules to prevent from being damaged by active gases and impurities. Helium has been used in various industries, including for medical equipment, linear motor cars, and semiconductors, and is indispensable for modern life. But consumption of helium in manufacturing has been increased dramatically, and its unstable supply and price rise has been a serious issue today. In this article, recent global supply issue of rare resources, especially Neon gas and Helium gas, and its solution technology to support semiconductor industry will be discussed.

  4. Microeconomics of process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Process window control enables accelerated design-rule shrinks for both logic and memory manufacturers, but simple microeconomic models that directly link the effects of process window control to maximum profitability are rare. In this work, we derive these links using a simplified model for the maximum rate of profit generated by the semiconductor manufacturing process. We show that the ability of process window control to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process variation at the lot, wafer, x-wafer, x-field, and x-chip levels. We conclude that x-wafer and x-field CD control strategies will be critical enablers of density, performance and optimum profitability at the 90 and 65nm technology nodes. These analyses correlate well with actual factory data and often identify millions of dollars in potential incremental revenue and cost savings. As an example, we show that a scatterometry-based CD Process Window Monitor is an economically justified, enabling technology for the 65nm node.

  5. A Systematic Cycle Time Reduction Procedure for Enhancing the Competitiveness and Sustainability of a Semiconductor Manufacturer

    Directory of Open Access Journals (Sweden)

    Toly Chen

    2013-11-01

    Full Text Available Cycle time reduction plays an important role in improving the competitiveness and sustainability of a semiconductor manufacturer. However, in the past, cycle time reduction was usually unplanned owing to the lack of a systematic and quantitative procedure. To tackle this problem, a systematic procedure was established in this study for planning cycle time reduction actions to enhance the competitiveness and sustainability of a semiconductor manufacturer. First, some controllable factors that are influential to the job cycle time are identified. Subsequently, the relationship between the controllable factors and the job cycle time is fitted with a back propagation network. Based on this relationship, actions to shorten the job cycle time can be planned. The feasibility and effectiveness of an action have to be assessed before it can be taken in practice. An example containing the real data of hundreds of jobs has been used to illustrate the applicability of the proposed methodology. In addition, the financial benefits of the cycle time reduction action were analyzed, which provided the evidence that the proposed methodology enabled the sustainable development of the semiconductor manufacturer, since capital adequacy is very important in the semiconductor manufacturing industry.

  6. A modern depleted uranium manufacturing facility

    International Nuclear Information System (INIS)

    Zagula, T.A.

    1995-07-01

    The Specific Manufacturing Capabilities (SMC) Project located at the Idaho National Engineering Laboratory (INEL) and operated by Lockheed Martin Idaho Technologies Co. (LMIT) for the Department of Energy (DOE) manufactures depleted uranium for use in the U.S. Army MIA2 Abrams Heavy Tank Armor Program. Since 1986, SMC has fabricated more than 12 million pounds of depleted uranium (DU) products in a multitude of shapes and sizes with varying metallurgical properties while maintaining security, environmental, health and safety requirements. During initial facility design in the early 1980's, emphasis on employee safety, radiation control and environmental consciousness was gaining momentum throughout the DOE complex. This fact coupled with security and production requirements forced design efforts to focus on incorporating automation, local containment and computerized material accountability at all work stations. The result was a fully automated production facility engineered to manufacture DU armor packages with virtually no human contact while maintaining security, traceability and quality requirements. This hands off approach to handling depleted uranium resulted in minimal radiation exposures and employee injuries. Construction of the manufacturing facility was complete in early 1986 with the first armor package certified in October 1986. Rolling facility construction was completed in 1987 with the first certified plate produced in the fall of 1988. Since 1988 the rolling and manufacturing facilities have delivered more than 2600 armor packages on schedule with 100% final product quality acceptance. During this period there was an annual average of only 2.2 lost time incidents and a single individual maximum radiation exposure of 150 mrem. SMC is an example of designing and operating a facility that meets regulatory requirements with respect to national security, radiation control and personnel safety while achieving production schedules and product quality

  7. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  8. 75 FR 879 - National Semiconductor Corporation Arlington Manufacturing Site Including On-Site Leased Workers...

    Science.gov (United States)

    2010-01-06

    ... engaged in activities related to the production of integrated circuits. The company reports that on-site... Corporation Arlington Manufacturing Site Including On-Site Leased Workers From GCA, CMPA (Silverleaf), Custom..., applicable to workers of National Semiconductor Corporation, Arlington Manufacturing Site, including on-site...

  9. SETEC/Semiconductor Manufacturing Technologies Program: 1999 Annual and Final Report

    Energy Technology Data Exchange (ETDEWEB)

    MCBRAYER,JOHN D.

    2000-12-01

    This report summarizes the results of work conducted by the Semiconductor Manufacturing Technologies Program at Sandia National Laboratories (Sandia) during 1999. This work was performed by one working group: the Semiconductor Equipment Technology Center (SETEC). The group's projects included Numerical/Experimental Characterization of the Growth of Single-Crystal Calcium Fluoride (CaF{sub 2}); The Use of High-Resolution Transmission Electron Microscopy (HRTEM) Imaging for Certifying Critical-Dimension Reference Materials Fabricated with Silicon Micromachining; Assembly Test Chip for Flip Chip on Board; Plasma Mechanism Validation: Modeling and Experimentation; and Model-Based Reduction of Contamination in Gate-Quality Nitride Reactor. During 1999, all projects focused on meeting customer needs in a timely manner and ensuring that projects were aligned with the goals of the National Technology Roadmap for Semiconductors sponsored by the Semiconductor Industry Association and with Sandia's defense mission. This report also provides a short history of the Sandia/SEMATECH relationship and a brief on all projects completed during the seven years of the program.

  10. 76 FR 59542 - Mandatory Reporting of Greenhouse Gases: Changes to Provisions for Electronics Manufacturing To...

    Science.gov (United States)

    2011-09-27

    ... Mandatory Reporting of Greenhouse Gases: Changes to Provisions for Electronics Manufacturing To Provide... regulation to amend the calculation and monitoring provisions in the Electronics Manufacturing portion of the... Electronics Manufacturing 334111 Microcomputer manufacturing facilities. 334413 Semiconductor, photovoltaic...

  11. A Review of Related Work on Machine Learning in Semiconductor Manufacturing and Assembly Lines

    OpenAIRE

    Stanisavljevic, Darko; Spitzer, Michael

    2017-01-01

    This paper deals with applications of machine learning algorithms in manufacturing. Machine learning can be defined as a field of computer science that gives computers the ability to learn without explicitly developing the needed algorithms. Manufacturing is the production of merchandise by manual labour, machines and tools. The focus of this paper is on automatic production lines. The areas of interest of this paper are semiconductor manufacturing and production on assembly lines. The purpos...

  12. Decommissioning plan depleted uranium manufacturing facility

    International Nuclear Information System (INIS)

    Bernhardt, D.E.; Pittman, J.D.; Prewett, S.V.

    1987-01-01

    Aerojet Ordnance Tennessee, Inc. (Aerojet) is decommissioning its California depleted uranium (DU) manufacturing facility. Aerojet has conducted manufacturing and research and development activities at the facility since 1977 under a State of California Source Materials License. The decontamination is being performed by a contractor selector for technical competence through competitive bid. Since the facility will be released for uncontrolled use it will be decontaminated to levels as low as reasonably achievable (ALARA). In order to fully apply the principles of ALARA, and ensure the decontamination is in full compliance with appropriate guides, Aerojet has retained Rogers and Associaties Engineering Corporation (RAE) to assist in the decommissioning. RAE has assisted in characterizing the facility and preparing contract bid documents and technical specifications to obtain a qualified decontamination contractor. RAE will monitor the decontamination work effort to assure the contractor's performance complies with the contract specifications and the decontamination plan. The specifications require a thorough cleaning and decontamination of the facility, not just sufficient cleaning to meet the numeric cleanup criteria

  13. 10 CFR 611.202 - Advanced Technology Vehicle Manufacturing Facility Award Program.

    Science.gov (United States)

    2010-01-01

    ... 10 Energy 4 2010-01-01 2010-01-01 false Advanced Technology Vehicle Manufacturing Facility Award... TECHNOLOGY VEHICLES MANUFACTURER ASSISTANCE PROGRAM Facility/Funding Awards § 611.202 Advanced Technology Vehicle Manufacturing Facility Award Program. DOE may issue, under the Advanced Technology Vehicle...

  14. Organic semiconductors in sensor applications

    CERN Document Server

    Malliaras, George; Owens, Róisín

    2008-01-01

    Organic semiconductors offer unique characteristics such as tunability of electronic properties via chemical synthesis, compatibility with mechanically flexible substrates, low-cost manufacturing, and facile integration with chemical and biological functionalities. These characteristics have prompted the application of organic semiconductors and their devices in physical, chemical, and biological sensors. This book covers this rapidly emerging field by discussing both optical and electrical sensor concepts. Novel transducers based on organic light-emitting diodes and organic thin-film transistors, as well as systems-on-a-chip architectures are presented. Functionalization techniques to enhance specificity are outlined, and models for the sensor response are described.

  15. Commercial-scale biotherapeutics manufacturing facility for plant-made pharmaceuticals.

    Science.gov (United States)

    Holtz, Barry R; Berquist, Brian R; Bennett, Lindsay D; Kommineni, Vally J M; Munigunti, Ranjith K; White, Earl L; Wilkerson, Don C; Wong, Kah-Yat I; Ly, Lan H; Marcel, Sylvain

    2015-10-01

    Rapid, large-scale manufacture of medical countermeasures can be uniquely met by the plant-made-pharmaceutical platform technology. As a participant in the Defense Advanced Research Projects Agency (DARPA) Blue Angel project, the Caliber Biotherapeutics facility was designed, constructed, commissioned and released a therapeutic target (H1N1 influenza subunit vaccine) in manufacturing facilities, with the capacity to process over 3500 kg of plant biomass per week in an automated multilevel growing environment using proprietary LED lighting. The facility can commission additional plant grow rooms that are already built to double this capacity. In addition to the commercial-scale manufacturing facility, a pilot production facility was designed based on the large-scale manufacturing specifications as a way to integrate product development and technology transfer. The primary research, development and manufacturing system employs vacuum-infiltrated Nicotiana benthamiana plants grown in a fully contained, hydroponic system for transient expression of recombinant proteins. This expression platform has been linked to a downstream process system, analytical characterization, and assessment of biological activity. This integrated approach has demonstrated rapid, high-quality production of therapeutic monoclonal antibody targets, including a panel of rituximab biosimilar/biobetter molecules and antiviral antibodies against influenza and dengue fever. © 2015 Society for Experimental Biology, Association of Applied Biologists and John Wiley & Sons Ltd.

  16. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  17. Advanced excimer laser technologies enable green semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Yoo, Youngsun; Minegishi, Yuji; Hisanaga, Naoto; Enami, Tatsuo

    2014-03-01

    "Green" has fast become an important and pervasive topic throughout many industries worldwide. Many companies, especially in the manufacturing industries, have taken steps to integrate green initiatives into their high-level corporate strategies. Governments have also been active in implementing various initiatives designed to increase corporate responsibility and accountability towards environmental issues. In the semiconductor manufacturing industry, there are growing concerns over future environmental impact as enormous fabs expand and new generation of equipments become larger and more powerful. To address these concerns, Gigaphoton has implemented various green initiatives for many years under the EcoPhoton™ program. The objective of this program is to drive innovations in technology and services that enable manufacturers to significantly reduce both the financial and environmental "green cost" of laser operations in high-volume manufacturing environment (HVM) - primarily focusing on electricity, gas and heat management costs. One example of such innovation is Gigaphoton's Injection-Lock system, which reduces electricity and gas utilization costs of the laser by up to 50%. Furthermore, to support the industry's transition from 300mm to the next generation 450mm wafers, technologies are being developed to create lasers that offer double the output power from 60W to 120W, but reducing electricity and gas consumption by another 50%. This means that the efficiency of lasers can be improve by up to 4 times in 450mm wafer production environments. Other future innovations include the introduction of totally Heliumfree Excimer lasers that utilize Nitrogen gas as its replacement for optical module purging. This paper discusses these and other innovations by Gigaphoton to enable green manufacturing.

  18. Product manufacturing, quality, and reliability initiatives to maintain a competitive advantage and meet customer expectations in the semiconductor industry

    Science.gov (United States)

    Capps, Gregory

    Semiconductor products are manufactured and consumed across the world. The semiconductor industry is constantly striving to manufacture products with greater performance, improved efficiency, less energy consumption, smaller feature sizes, thinner gate oxides, and faster speeds. Customers have pushed towards zero defects and require a more reliable, higher quality product than ever before. Manufacturers are required to improve yields, reduce operating costs, and increase revenue to maintain a competitive advantage. Opportunities exist for integrated circuit (IC) customers and manufacturers to work together and independently to reduce costs, eliminate waste, reduce defects, reduce warranty returns, and improve quality. This project focuses on electrical over-stress (EOS) and re-test okay (RTOK), two top failure return mechanisms, which both make great defect reduction opportunities in customer-manufacturer relationship. Proactive continuous improvement initiatives and methodologies are addressed with emphasis on product life cycle, manufacturing processes, test, statistical process control (SPC), industry best practices, customer education, and customer-manufacturer interaction.

  19. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  20. 77 FR 48992 - Tobacco Product Manufacturing Facility Visits

    Science.gov (United States)

    2012-08-15

    ... manufacture, preproduction design validation (including a process to assess the performance of a tobacco... about the manufacturing practices and processes unique to your facility and regulated tobacco products... process, package, label, and distribute different types of regulated tobacco products (cigarettes...

  1. Establishing a LEU MTR fuel manufacturing facility in South Africa

    International Nuclear Information System (INIS)

    Jamie, R.W.; Kocher, A.

    2010-01-01

    The South African MTR Fuel Manufacturing Facility was established in the 1970's to supply SAFARI-1 with Fuel Elements and Control Rods. South African capability was developed in parallel with the uranium enrichment program to meet the needs of the Reactor. Further to the July 2005 decision by the South African Governmnent to convert both SAFARI-1 and the Fuel Plant to LEU, the SAFARI-1 phase has been successfully completed and Necsa has commenced with the conversion of the MTR Fuel Manufacturing Facility. In order to establish, validate and qualify the facility, Necsa has entered into a co-operation and technology transfer agreement with AREVA CERCA, the French manufacturer of Research Reactor fuel elements. Past experiences, conversion challenges and the status of the MTR Fuel Facility Project are discussed. On-going co-operation with AREVA CERCA to implement the local manufacture of LEU fuel is explained and elaborated on. (author)

  2. Carbon Fiber Manufacturing Facility Siting and Policy Considerations: International Comparison

    Energy Technology Data Exchange (ETDEWEB)

    Cook, Jeffrey J. [National Renewable Energy Lab. (NREL), Golden, CO (United States); Booth, Samuel [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2017-06-21

    Carbon fiber is increasingly used in a wide variety of applications due largely to its superior material properties such as high strength-to-weight ratio. The current global carbon fiber manufacturing industry is predominately located in China, Europe, Japan, and the United States. The carbon fiber market is expected to expand significantly through 2024 and to require additional manufacturing capacity to meet demand. Carbon fiber manufacturing facilities can offer significant economic development and employment opportunities as exemplified by the $1 billion investment and 500 jobs expected at a new Toray plant in Moore, South Carolina. Though the market is expected to expand, it is unclear where new manufacturing facilities will locate to meet demand. This uncertainty stems from the lack of research evaluating how different nations with significant carbon fiber manufacturing capacity compare as it relates to certain manufacturing facility siting factors such as costs of labor and energy as well as policy directed at supporting carbon fiber development, domestic deployment, and exports. This report fills these gaps by evaluating the top carbon fiber manufacturing countries, including China, European Union countries, Japan, Mexico, South Korea, Taiwan, and the United States. The report documents how the United States compares to these countries based on a range of manufacturing siting considerations and existing policies related to carbon fiber. It concludes with a discussion of various policy options the United States could adopt to both (1) increase the competitiveness of the United States as it relates to attracting new carbon fiber manufacturing and (2) foster broader end-use markets for deployment.

  3. Environmental and workplace contamination in the semiconductor industry: implications for future health of the workforce and community.

    Science.gov (United States)

    Edelman, P

    1990-01-01

    The semiconductor industry has been an enormous worldwide growth industry. At the heart of computer and other electronic technological advances, the environment in and around these manufacturing facilities has not been scrutinized to fully detail the health effects to the workers and the community from such exposures. Hazard identification in this industry leads to the conclusion that there are many sources of potential exposure to chemicals including arsenic, solvents, photoactive polymers and other materials. As the size of the semiconductor work force expands, the potential for adverse health effects, ranging from transient irritant symptoms to reproductive effects and cancer, must be determined and control measures instituted. Risk assessments need to be effected for areas where these facilities conduct manufacturing. The predominance of women in the manufacturing areas requires evaluating the exposures to reproductive hazards and outcomes. Arsenic exposures must also be evaluated and minimized, especially for maintenance workers; evaluation for lung and skin cancers is also appropriate. PMID:2401268

  4. Visit to China's ARJ21 Aircraft Manufacturing Facility

    National Research Council Canada - National Science Library

    Balut, Stephen J; McNicol, David L; Nelson, J. R; Harmon, Bruce R; Holder, Stephen G

    2008-01-01

    During a December 2007 visit to China to share information about civilian aircraft costs, a delegation from IDA visited the Shanghai Aircraft Manufacturing Facility where the commercial chinese ARJ21...

  5. Installation of Tc-99m generator manufacturing facilities

    International Nuclear Information System (INIS)

    Shin, B. C.; Choung, W. M.; Park, J. H.; Park, S. H.; Kim, S. J.; Park, K. B.

    2004-01-01

    For the characteristics of radiopharmaceuticals, the manufacturing facility should be complied with the radiation safety standards for operators as well as GMP (Good Manufacturing Practice) cleanness standards for production. We intensively modified the existing Radioisotope production facilities, which were installed only in radiation safety points of view, to meet cleanness criteria. And the concept of multi-barrier buffer zones was introduced to apply negative air pressure for hot cell with first priority and to continue relative positive air pressure for clean room. The manufacturing area for Tc-99m Generator can be entered only through a second change. The doors of each change area are interlocked to maintain air pressure differentials. The pass box for material transfer are also interlocked so that only one side may be opened at any one time to keep cleanness. Two door-type autoclave was installed crossing the wall between preparing room and aseptic room to keep cleanness after sterilization. Three lead hot cells were installed and final inspection including gamma survey test were performed. The clean room was installed and TAB for this facility was performed in order to acquire the necessary air flow. The filter bank for filtration of exhausted radiation air was installed and its efficiency test was performed. In this facility, radiation shielding utilities and manufacturing instruments were set up and their operating manuals were documented. Efficiency tests for every utilities and instruments were satisfied and the approval for use of the facilities was achieved from MOST (Ministry of Science and Technology). The Sam Young Unitech, the lessee of the facilities set up the equipment in the hot cell, which is needed to produce Tc-99m Generator, supported by IPPE in Russia. They are composing the systems complied with the guidelines and the regulations, and keep in contact to KFDA for acquiring its approval. It is expected to produce Tc-99m Generator within

  6. Where the chips fall: environmental health in the semiconductor industry.

    Science.gov (United States)

    Chepesiuk, R

    1999-09-01

    Three recent lawsuits are focusing public attention on the environmental and occupational health effects of the world's largest and fastest growing manufacturing sector-the $150 billion semiconductor industry. The suits allege that exposure to toxic chemicals in semiconductor manufacturing plants led to adverse health effects such as miscarriage and cancer among workers. To manufacture computer components, the semiconductor industry uses large amounts of hazardous chemicals including hydrochloric acid, toxic metals and gases, and volatile solvents. Little is known about the long-term health consequences of exposure to chemicals by semiconductor workers. According to industry critics, the semiconductor industry also adversely impacts the environment, causing groundwater and air pollution and generating toxic waste as a by-product of the semiconductor manufacturing process. In contrast, the U.S. Bureau of Statistics shows the semiconductor industry as having a worker illness rate of about one-third of the average of all manufacturers, and advocates defend the industry, pointing to recent research collaborations and product replacement as proof that semiconductor manufacturers adequately protect both their employees and the environment.

  7. Facile synthesis and enhanced visible-light photocatalysis of graphitic carbon nitride composite semiconductors.

    Science.gov (United States)

    Li, Huiquan; Liu, Yuxing; Gao, Xing; Fu, Cong; Wang, Xinchen

    2015-04-13

    The semiconductor heterojunction has been an effective architecture to enhance photocatalytic activity by promoting photogenerated charge separation. Here, graphitic carbon nitride (CN) and B-modified graphitic carbon nitride (CNB) composite semiconductors were fabricated by a facile calcination process using cheap, sustainable, and easily available sodium tetraphenylboron and urea as precursors. The synthetic CN-CNB-25 semiconductor with a suitable CNB content showed the highest visible-light activity. Its degradation ratio for methyl orange and phenol was more than twice that of CN and CNB and its H2 evolution rate was ∼3.4 and ∼1.8 times higher than that of CN and CNB, respectively. It also displayed excellent stability and reusability. The enhanced activity of CN-CNB-25 was attributed predominantly to the efficient separation of photoinduced electrons and holes. This paper describes a visible-light-responsive CN composite semiconductor with great potential in environmental and energy applications. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Bacteria inside semiconductors as potential sensor elements: biochip progress.

    Science.gov (United States)

    Sah, Vasu R; Baier, Robert E

    2014-06-24

    It was discovered at the beginning of this Century that living bacteria-and specifically the extremophile Pseudomonas syzgii-could be captured inside growing crystals of pure water-corroding semiconductors-specifically germanium-and thereby initiated pursuit of truly functional "biochip-based" biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs) and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities' features at the time of first production of these potential biochips.

  9. Micro-manufacturing: design and manufacturing of micro-products

    National Research Council Canada - National Science Library

    Koç, Muammer; Özel, Tuğrul

    2011-01-01

    .... After addressing the fundamentals and non-metallic-based micro-manufacturing processes in the semiconductor industry, it goes on to address specific metallic-based micro-manufacturing processes...

  10. Prolonged menstrual cycles in female workers exposed to ethylene glycol ethers in the semiconductor manufacturing industry.

    Science.gov (United States)

    Hsieh, G-Y; Wang, J-D; Cheng, T-J; Chen, P-C

    2005-08-01

    It has been shown that female workers exposed to ethylene glycol ethers (EGEs) in the semiconductor industry have higher risks of spontaneous abortion, subfertility, and menstrual disturbances, and prolonged waiting time to pregnancy. To examine whether EGEs or other chemicals are associated with long menstrual cycles in female workers in the semiconductor manufacturing industry. Cross-sectional questionnaire survey during the annual health examination at a wafer manufacturing company in Taiwan in 1997. A three tiered exposure-assessment strategy was used to analyse the risk. A short menstrual cycle was defined to be a cycle less than 24 days and a long cycle to be more than 35 days. There were 606 valid questionnaires from 473 workers in fabrication jobs and 133 in non-fabrication areas. Long menstrual cycles were associated with workers in fabrication areas compared to those in non-fabrication areas. Using workers in non-fabrication areas as referents, workers in photolithography and diffusion areas had higher risks for long menstrual cycles. Workers exposed to EGEs and isopropanol, and hydrofluoric acid, isopropanol, and phosphorous compounds also showed increased risks of a long menstrual cycle. Exposure to multiple chemicals, including EGEs in photolithography, might be associated with long menstrual cycles, and may play an important role in a prolonged time to pregnancy in the wafer manufacturing industry; however, the prevalence in the design, possible exposure misclassification, and chance should be considered.

  11. Evaluation of pelletron accelerator facility to study radiation effects on semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, A. P. Gnana; Pushpa, N.; Praveen, K. C.; Naik, P. S.; Revannasiddaiah, D. [Department of Studies in Physics, University of Mysore, Manasagangotri, Mysore-570006, Karnataka (India)

    2012-06-05

    In this paper we present the comprehensive results on the effects of different radiation on the electrical characteristics of different semiconductor devices like Si BJT, n-channel MOSFETs, 50 GHz and 200 GHz silicon-germanium heterojunction bipolar transistor (SiGe HBTs). The total dose effects of different radiation are compared in the same total dose ranging from 100 krad to 100 Mrad. We show that the irradiation time needed to reach very high total dose can be reduced by using Pelletron accelerator facilities instead of conventional irradiation facilities.

  12. Evaluation of pelletron accelerator facility to study radiation effects on semiconductor devices

    International Nuclear Information System (INIS)

    Prakash, A. P. Gnana; Pushpa, N.; Praveen, K. C.; Naik, P. S.; Revannasiddaiah, D.

    2012-01-01

    In this paper we present the comprehensive results on the effects of different radiation on the electrical characteristics of different semiconductor devices like Si BJT, n-channel MOSFETs, 50 GHz and 200 GHz silicon-germanium heterojunction bipolar transistor (SiGe HBTs). The total dose effects of different radiation are compared in the same total dose ranging from 100 krad to 100 Mrad. We show that the irradiation time needed to reach very high total dose can be reduced by using Pelletron accelerator facilities instead of conventional irradiation facilities.

  13. Surrogate Final Technical Report for "Solar: A Photovoltaic Manufacturing Development Facility"

    Energy Technology Data Exchange (ETDEWEB)

    Farrar, Paul [State University of New York Research Foundation, Albany, NY (United States)

    2014-06-27

    The project goal to create a first-of-a-kind crystalline Silicon (c-Si) photovoltaic (PV) Manufacturing & Technology Development Facility (MDF) that will support the growth and maturation of a strong domestic PV manufacturing industry, based on innovative and differentiated technology, by ensuring industry participants can, in a timely and cost-effective manner, access cutting-edge manufacturing equipment and production expertise needed to accelerate the transition of innovative technologies from R&D into manufacturing.

  14. Manufacturing Demonstration Facility: Low Temperature Materials Synthesis

    International Nuclear Information System (INIS)

    Graham, David E.; Moon, Ji-Won; Armstrong, Beth L.; Datskos, Panos G.; Duty, Chad E.; Gresback, Ryan; Ivanov, Ilia N.; Jacobs, Christopher B.; Jellison, Gerald Earle; Jang, Gyoung Gug; Joshi, Pooran C.; Jung, Hyunsung; Meyer, Harry M.; Phelps, Tommy

    2015-01-01

    The Manufacturing Demonstration Facility (MDF) low temperature materials synthesis project was established to demonstrate a scalable and sustainable process to produce nanoparticles (NPs) for advanced manufacturing. Previous methods to chemically synthesize NPs typically required expensive, high-purity inorganic chemical reagents, organic solvents and high temperatures. These processes were typically applied at small laboratory scales at yields sufficient for NP characterization, but insufficient to support roll-to-roll processing efforts or device fabrication. The new NanoFermentation processes described here operated at a low temperature (~60 C) in low-cost, aqueous media using bacteria that produce extracellular NPs with controlled size and elemental stoichiometry. Up-scaling activities successfully demonstrated high NP yields and quality in a 900-L pilot-scale reactor, establishing this NanoFermentation process as a competitive biomanufacturing strategy to produce NPs for advanced manufacturing of power electronics, solid-state lighting and sensors.

  15. Manufacturing Demonstration Facility: Low Temperature Materials Synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Graham, David E. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Moon, Ji-Won [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Armstrong, Beth L. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Datskos, Panos G. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Duty, Chad E. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Gresback, Ryan [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Ivanov, Ilia N. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Jacobs, Christopher B. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Jellison, Gerald Earle [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Jang, Gyoung Gug [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Joshi, Pooran C. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Jung, Hyunsung [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Meyer, III, Harry M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Phelps, Tommy [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2015-06-30

    The Manufacturing Demonstration Facility (MDF) low temperature materials synthesis project was established to demonstrate a scalable and sustainable process to produce nanoparticles (NPs) for advanced manufacturing. Previous methods to chemically synthesize NPs typically required expensive, high-purity inorganic chemical reagents, organic solvents and high temperatures. These processes were typically applied at small laboratory scales at yields sufficient for NP characterization, but insufficient to support roll-to-roll processing efforts or device fabrication. The new NanoFermentation processes described here operated at a low temperature (~60 C) in low-cost, aqueous media using bacteria that produce extracellular NPs with controlled size and elemental stoichiometry. Up-scaling activities successfully demonstrated high NP yields and quality in a 900-L pilot-scale reactor, establishing this NanoFermentation process as a competitive biomanufacturing strategy to produce NPs for advanced manufacturing of power electronics, solid-state lighting and sensors.

  16. Treatment of exhaust gas from the semiconductor manufacturing process. 3; Handotai seizo sochi kara no hai gas shori. 3

    Energy Technology Data Exchange (ETDEWEB)

    Fukunaga, A. [Ebara Research Co. Ltd., Kanagawa (Japan); Mori, Y.; Osato, M.; Tsujimura, M. [Ebara Corp., Tokyo (Japan)

    1995-10-20

    Demand has been building up for an individual dry type scrubber for treating exhaust gas from the semiconductor manufacturing process. Some factors for the wide acceptance of such a scrubber would be the capability for complete treatment, easy maintenance and safety features, etc. Practical gas analysis and optimum scrubbing techniques would have to be applied, as well as effective monitoring, alarm, and fail-safe techniques. The overall exhaust gas line, i.e. the line connecting the scrubber system and the upstream process, including that extending to pump system, has to be fully considered for enabling effective scrubbing performance. Such factors, which have until now not been given any priority, would have to be fully studied for the development of a practical, individual dry type scrubber. Cooperation on this matter from the semiconductor manufacturing industry would also be essential. 6 refs., 3 figs., 5 tabs.

  17. Microeconomics of yield learning and process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Simple microeconomic models that directly link yield learning to profitability in semiconductor manufacturing have been rare or non-existent. In this work, we review such a model and provide links to inspection capability and cost. Using a small number of input parameters, we explain current yield management practices in 200mm factories. The model is then used to extrapolate requirements for 300mm factories, including the impact of technology transitions to 130nm design rules and below. We show that the dramatic increase in value per wafer at the 300mm transition becomes a driver for increasing metrology and inspection capability and sampling. These analyses correlate well wtih actual factory data and often identify millions of dollars in potential cost savings. We demonstrate this using the example of grating-based overlay metrology for the 65nm node.

  18. Qualification of academic facilities for small-scale automated manufacture of autologous cell-based products.

    Science.gov (United States)

    Hourd, Paul; Chandra, Amit; Alvey, David; Ginty, Patrick; McCall, Mark; Ratcliffe, Elizabeth; Rayment, Erin; Williams, David J

    2014-01-01

    Academic centers, hospitals and small companies, as typical development settings for UK regenerative medicine assets, are significant contributors to the development of autologous cell-based therapies. Often lacking the appropriate funding, quality assurance heritage or specialist regulatory expertise, qualifying aseptic cell processing facilities for GMP compliance is a significant challenge. The qualification of a new Cell Therapy Manufacturing Facility with automated processing capability, the first of its kind in a UK academic setting, provides a unique demonstrator for the qualification of small-scale, automated facilities for GMP-compliant manufacture of autologous cell-based products in these settings. This paper shares our experiences in qualifying the Cell Therapy Manufacturing Facility, focusing on our approach to streamlining the qualification effort, the challenges, project delays and inefficiencies we encountered, and the subsequent lessons learned.

  19. Dispatching capacity in manufacturing facility offshoring

    DEFF Research Database (Denmark)

    Madsen, Erik Skov; Knudsen, Mette Præst

    2010-01-01

    This paper investigates how a dispatching capacity of motivation, relational dynamics and structures seen from the sending context influence the entire knowledge transfer process in manufacturing facility offshoring. An inductive and qualitative approach is taken and five main themes are derived...... from the four empirical cases. In the discussion, the five themes i.e. extra tasks, previous experiences, involvement of all groups of employees, teaching skills and organizational support in the dispatching context are linked with a theoretical model leading to the identification of seven testable...

  20. Coordination in International Manufacturing: The Role of Competitive Priorities and the Focus of Globally Dispersed Facilities

    Directory of Open Access Journals (Sweden)

    Ahmed Sayem

    2018-04-01

    Full Text Available In this era of globalization, network integration has received great attention, as it certainly has implications for the competitiveness in international manufacturing. A key issue in integration is to coordinate activities of dispersed facilities in a way to align the target of locating abroad and the priorities to be competitive. This study explores and clarifies the effect of competitive priority and focus of dispersed facilities on coordinating the activities in intra-firm network manufacturing. Based on a multiple case study involving four different companies manufacturing in globally dispersed facilities, the results confirm that both competitive priorities and specific focus of global manufacturing are important for selecting mechanisms to coordinate overseas facilities, with the competitive priorities ‘quality’ and ‘flexibility’ being the more important. Furthermore, the findings reveal that companies place emphasis on informal mechanisms to coordinate the low-cost focused facilities. In turn, the importance of formal mechanisms seems equal for coordinating both low-cost focused facilities and those focused on capturing a local market. Finally, the findings of this paper suggest that elements of competitive priority, as well as the focus of dispersed facilities, should be considered towards making the choice for mechanisms of coordination. The findings bear important implications for the effective coordination of activities in international manufacturing.

  1. Elimination of Porcine Epidemic Diarrhea Virus in an Animal Feed Manufacturing Facility.

    Directory of Open Access Journals (Sweden)

    Anne R Huss

    Full Text Available Porcine Epidemic Diarrhea Virus (PEDV was the first virus of wide scale concern to be linked to possible transmission by livestock feed or ingredients. Measures to exclude pathogens, prevent cross-contamination, and actively reduce the pathogenic load of feed and ingredients are being developed. However, research thus far has focused on the role of chemicals or thermal treatment to reduce the RNA in the actual feedstuffs, and has not addressed potential residual contamination within the manufacturing facility that may lead to continuous contamination of finished feeds. The purpose of this experiment was to evaluate the use of a standardized protocol to sanitize an animal feed manufacturing facility contaminated with PEDV. Environmental swabs were collected throughout the facility during the manufacturing of a swine diet inoculated with PEDV. To monitor facility contamination of the virus, swabs were collected at: 1 baseline prior to inoculation, 2 after production of the inoculated feed, 3 after application of a quaternary ammonium-glutaraldehyde blend cleaner, 4 after application of a sodium hypochlorite sanitizing solution, and 5 after facility heat-up to 60°C for 48 hours. Decontamination step, surface, type, zone and their interactions were all found to impact the quantity of detectable PEDV RNA (P < 0.05. As expected, all samples collected from equipment surfaces contained PEDV RNA after production of the contaminated feed. Additionally, the majority of samples collected from non-direct feed contact surfaces were also positive for PEDV RNA after the production of the contaminated feed, emphasizing the potential role dust plays in cross-contamination of pathogen throughout a manufacturing facility. Application of the cleaner, sanitizer, and heat were effective at reducing PEDV genomic material (P < 0.05, but did not completely eliminate it.

  2. Neural manufacturing: a novel concept for processing modeling, monitoring, and control

    Science.gov (United States)

    Fu, Chi Y.; Petrich, Loren; Law, Benjamin

    1995-09-01

    Semiconductor fabrication lines have become extremely costly, and achieving a good return from such a high capital investment requires efficient utilization of these expensive facilities. It is highly desirable to shorten processing development time, increase fabrication yield, enhance flexibility, improve quality, and minimize downtime. We propose that these ends can be achieved by applying recent advances in the areas of artificial neural networks, fuzzy logic, machine learning, and genetic algorithms. We use the term neural manufacturing to describe such applications. This paper describes our use of artificial neural networks to improve the monitoring and control of semiconductor process.

  3. Semiconductor device and method of manufacturing the same

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a semiconductor device (10) with a semiconductor body (12) comprising a bipolar transistor with an emitter region, a base region and a collector region (1, 2, 3) of, respectively, a first conductivity type, a second conductivity type opposite to the first conductivity type,

  4. Analytical chemistry in semiconductor manufacturing: Techniques, role of nuclear methods and need for quality control

    International Nuclear Information System (INIS)

    1989-06-01

    This report is the result of a consultants meeting held in Gaithersburg, USA, 2-3 October 1987. The meeting was hosted by the National Bureau of Standards and Technology, and it was attended by 18 participants from Denmark, Finland, India, Japan, Norway, People's Republic of China and the USA. The purpose of the meeting was to assess the present status of analytical chemistry in semiconductor manufacturing, the role of nuclear analytical methods and the need for internationally organized quality control of the chemical analysis. The report contains the three presentations in full and a summary report of the discussions. Thus, it gives an overview of the need of analytical chemistry in manufacturing of silicon based devices, the use of nuclear analytical methods, and discusses the need for quality control. Refs, figs and tabs

  5. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  6. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  7. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission

    Science.gov (United States)

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications.Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in

  8. Dosimetric evaluation of semiconductor detectors for application in neutron dosimetry and microdosimetry in nuclear reactor and radiosurgical facilities

    International Nuclear Information System (INIS)

    Cardenas, Jose Patricio Nahuel

    2010-01-01

    The main objective of this research is the dosimetric evaluation of semiconductor components (surface barrier detectors and PIN photodiodes) for applications in dose equivalent measurements on low dose fields (fast and thermal fluxes) using an AmBe neutron source, the IEA-R1 reactor neutrongraphy facility (epithermal and thermal fluxes) and the Critical Unit facility IPEN/MB-01 (fast fluxes). As moderator compound to fast neutrons flux from the AmBe source was used paraffin and boron and polyethylene as converter for thermal and fast neutrons measurements. The resulting fluxes were used to the irradiation of semiconductor components (SSB - Surface Barrier Detector and PIN photodiodes). A mixed converter made of a borated polyethylene foil (Kodak) was also used. Monte Carlo simulation methodology was employed to evaluate analytically the optimal paraffin thickness. The obtained results were similar to the experimental data and allowed the evaluation of emerging neutron flux from moderator, as well as the fast neutron flux reaching the polyethylene covering the semiconductor sensitive surface. Gamma radiation levels were evaluated covering the whole detector with cadmium foil 1 mm thick, allowing thermal neutrons blockage and gamma radiation measurements. The IPEN/MB-01 facility was employed to evaluate the detector response for high neutron flux. The results were in good agreement with other studies published. Using the obtained spectra an approach to dose equivalent calculation was established. (author)

  9. Facile synthesis of light harvesting semiconductor bismuth oxychloride nano photo-catalysts for efficient removal of hazardous organic pollutants.

    Directory of Open Access Journals (Sweden)

    Zaki S Seddigi

    Full Text Available In the present work, bismuth oxychloride nanoparticles-a light harvesting semiconductor photocatalyst-were synthesized by a facile hydrolysis route, with sodium bismuthate and hydroxylammonium chloride as the precursor materials. The as-synthesized semiconductor photocatalysts were characterized using X-ray diffraction analysis, Fourier transform infra-red spectroscopy, Raman spectroscopy, Field emission scanning electron microscopy, X-ray photoelectron spectroscopy and Photoluminescence spectroscopy techniques. The crystal structure, morphology, composition, and optical properties of these facile synthesized bismuth oxychloride nanoparticles (BiOCl NPs were compared to those of traditional bismuth oxychloride. In addition, the photocatalytic performance of facile-synthesized BiOCl NPs and traditional BiOCl, as applied to the removal of hazardous organic dyes under visible light illumination, is thoroughly investigated. Our results reveal that facile-synthesized BiOCl NPs display strong UV-Vis light adsorption, improved charge carrier mobility and an inhibited rate of charge carrier recombination, when compared to traditional BiOCl. These enhancements result in an improved photocatalytic degradation rate of hazardous organic dyes under UV-Vis irradiance. For instance, the facile-synthesized BiOCl NPs attained 100% degradation of methylene blue and methyl orange dyes in approximately 30 mins under UV-Vis irradiation, against 55% degradation for traditional BiOCl under similar experimental conditions.

  10. Facile synthesis of light harvesting semiconductor bismuth oxychloride nano photo-catalysts for efficient removal of hazardous organic pollutants.

    Science.gov (United States)

    Seddigi, Zaki S; Gondal, Mohammed A; Baig, Umair; Ahmed, Saleh A; Abdulaziz, M A; Danish, Ekram Y; Khaled, Mazen M; Lais, Abul

    2017-01-01

    In the present work, bismuth oxychloride nanoparticles-a light harvesting semiconductor photocatalyst-were synthesized by a facile hydrolysis route, with sodium bismuthate and hydroxylammonium chloride as the precursor materials. The as-synthesized semiconductor photocatalysts were characterized using X-ray diffraction analysis, Fourier transform infra-red spectroscopy, Raman spectroscopy, Field emission scanning electron microscopy, X-ray photoelectron spectroscopy and Photoluminescence spectroscopy techniques. The crystal structure, morphology, composition, and optical properties of these facile synthesized bismuth oxychloride nanoparticles (BiOCl NPs) were compared to those of traditional bismuth oxychloride. In addition, the photocatalytic performance of facile-synthesized BiOCl NPs and traditional BiOCl, as applied to the removal of hazardous organic dyes under visible light illumination, is thoroughly investigated. Our results reveal that facile-synthesized BiOCl NPs display strong UV-Vis light adsorption, improved charge carrier mobility and an inhibited rate of charge carrier recombination, when compared to traditional BiOCl. These enhancements result in an improved photocatalytic degradation rate of hazardous organic dyes under UV-Vis irradiance. For instance, the facile-synthesized BiOCl NPs attained 100% degradation of methylene blue and methyl orange dyes in approximately 30 mins under UV-Vis irradiation, against 55% degradation for traditional BiOCl under similar experimental conditions.

  11. Mechanical Design and Manufacturing Preparation of Loading Unloading Irradiation Facility in Reflector Irradiation Position

    International Nuclear Information System (INIS)

    Hasibuan, Djaruddin

    2004-01-01

    Base on planning to increase of the irradiation service quality in Multi purpose Reactor-GAS, the mechanical design and manufacturing of the (n,γ) irradiation facility has been done. The designed of (n,γ) irradiation facility is a new facility in Multi purpose Reactor-GAS. The design doing by design of stringer, guide bar and hanger. By the design installation, the continuous irradiation service of non fission reaction will be easy to be done without reactor shut down. The design of the facility needs 3 pieces Al pipe by 36 x 1.5 mm, a peace of Al round bar by 80 mm diameter and a piece of Al plate by 20 x 60 x 0.2 mm for the stringer and guide bar manufacturing. By the building of non fission irradiation facility in the reflector irradiation position, will make the irradiation service to be increased. (author)

  12. Fact Sheet for Friction Materials Manufacturing Facilities Residual Risk and Technology Review

    Science.gov (United States)

    proposed amendments to the National Emission Standards for Hazardous Air Pollutants (NESHAP) for Friction Materials Manufacturing Facilities to address the results of the residual risk and technology review

  13. A novel microgrid demand-side management system for manufacturing facilities

    Science.gov (United States)

    Harper, Terance J.

    Thirty-one percent of annual energy consumption in the United States occurs within the industrial sector, where manufacturing processes account for the largest amount of energy consumption and carbon emissions. For this reason, energy efficiency in manufacturing facilities is increasingly important for reducing operating costs and improving profits. Using microgrids to generate local sustainable power should reduce energy consumption from the main utility grid along with energy costs and carbon emissions. Also, microgrids have the potential to serve as reliable energy generators in international locations where the utility grid is often unstable. For this research, a manufacturing process that had approximately 20 kW of peak demand was matched with a solar photovoltaic array that had a peak output of approximately 3 KW. An innovative Demand-Side Management (DSM) strategy was developed to manage the process loads as part of this smart microgrid system. The DSM algorithm managed the intermittent nature of the microgrid and the instantaneous demand of the manufacturing process. The control algorithm required three input signals; one from the microgrid indicating the availability of renewable energy, another from the manufacturing process indicating energy use as a percent of peak production, and historical data for renewable sources and facility demand. Based on these inputs the algorithm had three modes of operation: normal (business as usual), curtailment (shutting off non-critical loads), and energy storage. The results show that a real-time management of a manufacturing process with a microgrid will reduce electrical consumption and peak demand. The renewable energy system for this research was rated to provide up to 13% of the total manufacturing capacity. With actively managing the process loads with the DSM program alone, electrical consumption from the utility grid was reduced by 17% on average. An additional 24% reduction was accomplished when the microgrid

  14. 3D Ceramic Microfluidic Device Manufacturing

    International Nuclear Information System (INIS)

    Natarajan, Govindarajan; Humenik, James N

    2006-01-01

    Today, semiconductor processing serves as the backbone for the bulk of micromachined devices. Precision lithography and etching technology used in the semiconductor industry are also leveraged by alternate techniques like electroforming and molding. The nature of such processing is complex, limited and expensive for any manufacturing foundry. This paper details the technology elements developed to manufacture cost effective and versatile microfluidic devices for applications ranging from medical diagnostics to characterization of bioassays. Two applications using multilayer ceramic technology to manufacture complex 3D microfluidic devices are discussed

  15. HNF - Helmholtz Nano Facility

    Directory of Open Access Journals (Sweden)

    Wolfgang Albrecht

    2017-05-01

    Full Text Available The Helmholtz Nano Facility (HNF is a state-of-the-art cleanroom facility. The cleanroom has ~1100 m2 with cleanroom classes of DIN ISO 1-3. HNF operates according to VDI DIN 2083, Good Manufacturing Practice (GMP and aquivalent to Semiconductor Industry Association (SIA standards. HNF is a user facility of Forschungszentrum Jülich and comprises a network of facilities, processes and systems for research, production and characterization of micro- and nanostructures. HNF meets the basic supply of micro- and nanostructures for nanoelectronics, fluidics. micromechanics, biology, neutron and energy science, etc.. The task of HNF is rapid progress in nanostructures and their technology, offering efficient access to infrastructure and equipment. HNF gives access to expertise and provides resources in production, synthesis, characterization and integration of structures, devices and circuits. HNF covers the range from basic research to application oriented research facilitating a broad variety of different materials and different sample sizes.

  16. Based on Weibull Information Fusion Analysis Semiconductors Quality the Key Technology of Manufacturing Execution Systems Reliability

    Science.gov (United States)

    Huang, Zhi-Hui; Tang, Ying-Chun; Dai, Kai

    2016-05-01

    Semiconductor materials and Product qualified rate are directly related to the manufacturing costs and survival of the enterprise. Application a dynamic reliability growth analysis method studies manufacturing execution system reliability growth to improve product quality. Refer to classical Duane model assumptions and tracking growth forecasts the TGP programming model, through the failure data, established the Weibull distribution model. Combining with the median rank of average rank method, through linear regression and least squares estimation method, match respectively weibull information fusion reliability growth curve. This assumption model overcome Duane model a weakness which is MTBF point estimation accuracy is not high, through the analysis of the failure data show that the method is an instance of the test and evaluation modeling process are basically identical. Median rank in the statistics is used to determine the method of random variable distribution function, which is a good way to solve the problem of complex systems such as the limited sample size. Therefore this method has great engineering application value.

  17. Aerospace Manufacturing and Rework Facilities: National Emission Standards for Hazardous Air Pollutants (NESHAP)

    Science.gov (United States)

    Find regulatory information regarding the NESHAP for Aerospace manufacturing and rework facilities. This page contains the rule summary, rule history, and related rules and additional resources for this standard.

  18. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  19. Impact of nano particles on semiconductor manufacturing

    NARCIS (Netherlands)

    Wali, F.; Knotter, D.M.; Kuper, F.G.

    2008-01-01

    Semiconductor industry faces a continuous challenge to decrease the transistor size as well as to increase the yield by eliminating defect sources. One of the sources of particle defects is ultra pure water used in different production tools at different stages of processing. In this paper, particle

  20. Research on Dynamic Facility Layout Problem of Manufacturing Unit Considering Human Factors

    Directory of Open Access Journals (Sweden)

    Jinying Li

    2018-01-01

    Full Text Available As many said, industry 4.0 is an epoch-making revolution which brought the manufacturing market much faster changes and severer competitions. As an important part of the manufacturing system, facility layout has direct impact on business benefit; at the same time, despite the intelligent factory, intelligent production has its own characteristics. However, there is one point on which industry and academia have basically formed a consensus: it is not true that industry 4.0 does not need human beings; on the contrary, human initiative plays an unabated role in the development of industry 4.0. This paper will focus on the dynamic facility layout of the manufacturing unit. Based on the system above and the traditional optimization model, a mathematic model is built to find the best solution combining safety, sustainability, high efficiency, and low cost. And penalty function with adaptive penalty factor and advanced artificial bee colony algorithm is used to solve the constrained model. In the end, by studying few cases, the model is proved to be effective in both efficiency improvement and the implementation of safe and comfort human-machine interaction.

  1. Evolutionary fuzzy ARTMAP neural networks for classification of semiconductor defects.

    Science.gov (United States)

    Tan, Shing Chiang; Watada, Junzo; Ibrahim, Zuwairie; Khalid, Marzuki

    2015-05-01

    Wafer defect detection using an intelligent system is an approach of quality improvement in semiconductor manufacturing that aims to enhance its process stability, increase production capacity, and improve yields. Occasionally, only few records that indicate defective units are available and they are classified as a minority group in a large database. Such a situation leads to an imbalanced data set problem, wherein it engenders a great challenge to deal with by applying machine-learning techniques for obtaining effective solution. In addition, the database may comprise overlapping samples of different classes. This paper introduces two models of evolutionary fuzzy ARTMAP (FAM) neural networks to deal with the imbalanced data set problems in a semiconductor manufacturing operations. In particular, both the FAM models and hybrid genetic algorithms are integrated in the proposed evolutionary artificial neural networks (EANNs) to classify an imbalanced data set. In addition, one of the proposed EANNs incorporates a facility to learn overlapping samples of different classes from the imbalanced data environment. The classification results of the proposed evolutionary FAM neural networks are presented, compared, and analyzed using several classification metrics. The outcomes positively indicate the effectiveness of the proposed networks in handling classification problems with imbalanced data sets.

  2. A Nonlinear Growth Analysis of Integrated Device Manufacturers’ Evolution to the Nanotechnology Manufacturing Outsourcing

    Directory of Open Access Journals (Sweden)

    Hung-Chi Hsiao

    2012-04-01

    Full Text Available With the increasing cost of setting up a semiconductor fabrication facility, coupled with significant costs of developing a leading nanotechnology process, aggressive outsourcing (asset-light business models via working more closely with foundry companies is how semiconductor manufacturing firms are looking to strengthen their sustainable competitive advantages. This study aims to construct a market intelligence framework for developing a wafer demand forecasting model based on long-term trend detection to facilitate decision makers in capacity planning. The proposed framework modifies market variables by employing inventory factors and uses a top-down forecasting approach with nonlinear least square method to estimate the forecast parameters. The nonlinear mathematical approaches could not only be used to examine forecasting performance, but also to anticipate future growth of the semiconductor industry. The results demonstrated the practical viability of this long-term demand forecast framework.

  3. Characterization of airborne and bulk particulate from iron and steel manufacturing facilities.

    Science.gov (United States)

    Machemer, Steven D

    2004-01-15

    Characterization of airborne and bulk particulate material from iron and steel manufacturing facilities, commonly referred to as kish, indicated graphite flakes and graphite flakes associated with spherical iron oxide particles were unique particle characteristics useful in identifying particle emissions from iron and steel manufacturing. Characterization of airborne particulate material collected in receptor areas was consistent with multiple atmospheric release events of kish particles from the local iron and steel facilities into neighboring residential areas. Kish particles deposited in nearby residential areas included an abundance of graphite flakes, tens of micrometers to millimeters in size, and spherical iron oxide particles, submicrometer to tens of micrometers in size. Bulk kish from local iron and steel facilities contained an abundance of similar particles. Approximately 60% of blast furnace kish by volume consisted of spherical iron oxide particles in the respirable size range. Basic oxygen furnace kish contained percent levels of strongly alkaline components such as calcium hydroxide. In addition, concentrations of respirable Mn in airborne particulate in residential areas and at local iron and steel facilities were approximately 1.6 and 53 times the inhalation reference concentration of 0.05 microg/m3 for chronic inhalation exposure of Mn, respectively. Thus, airborne release of kish may pose potential respirable particulate, corrosive, or toxic hazards for human health and/or a corrosive hazard for property and the environment.

  4. Semiconductor materials for solar photovoltaic cells

    CERN Document Server

    Wong-Ng, Winnie; Bhattacharya, Raghu

    2016-01-01

    This book reviews the current status of semiconductor materials for conversion of sunlight to electricity, and highlights advances in both basic science and manufacturing.  Photovoltaic (PV) solar electric technology will be a significant contributor to world energy supplies when reliable, efficient PV power products are manufactured in large volumes at low cost.  Expert chapters cover the full range of semiconductor materials for solar-to-electricity conversion, from crystalline silicon and amorphous silicon to cadmium telluride, copper indium gallium sulfide selenides, dye sensitized solar cells, organic solar cells, and environmentally friendly copper zinc tin sulfide selenides. The latest methods for synthesis and characterization of solar cell materials are described, together with techniques for measuring solar cell efficiency. Semiconductor Materials for Solar Photovoltaic Cells presents the current state of the art as well as key details about future strategies to increase the efficiency and reduce ...

  5. A system approach to controlling semiconductor manufacturing operations

    OpenAIRE

    Σταυράκης, Γιώργος Δ.

    1987-01-01

    Semicoductor manufacturers, faced with stiffening competition in both product cost and quality, require improved utilization of their development and manufacturing resources. Manufacturing philosophy must be changed, from focusing on short term results, to support continuous improvements in both output and quality. Such improvements demand better information management to monitor and control the manufacturing process. From these considerations, a process control methodology was develope...

  6. Application of statistics to VLSI circuit manufacturing : test, diagnosis, and reliability

    NARCIS (Netherlands)

    Krishnan, Shaji

    2017-01-01

    Semiconductor product manufacturing companies strive to deliver defect free, and reliable products to their customers. However, with the down-scaling of technology, increasing the throughput at every stage of semiconductor product manufacturing becomes a harder challenge. To avoid process-related

  7. Semiconductor technology for reducing emissions and increasing efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Duffin, B.; Frank, R. [Motorola Semiconductor Products Sector, Phoenix, AZ (United States)

    1997-12-31

    The cooperation and support of all industries are required to significantly impact a worldwide reduction in gaseous emissions that may contribute to climate change. Each industry also is striving to more efficiently utilize the resources that it consumes since this is both conservation for good citizenship and an intelligent approach to business. The semiconductor industry is also extremely concerned with these issues. However, semiconductor manufacturer`s products provide solutions for reduced emissions and increased efficiency in their industry, other industries and areas that can realize significant improvements through control technology. This paper will focus on semiconductor technologies of digital control, power switching and sensing to improve efficiency and reduce emissions in automotive, industrial, and office/home applications. 10 refs., 13 figs.

  8. Bacteria Inside Semiconductors as Potential Sensor Elements: Biochip Progress

    Directory of Open Access Journals (Sweden)

    Vasu R. Sah

    2014-06-01

    Full Text Available It was discovered at the beginning of this Century that living bacteria—and specifically the extremophile Pseudomonas syzgii—could be captured inside growing crystals of pure water-corroding semiconductors—specifically germanium—and thereby initiated pursuit of truly functional “biochip-based” biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities’ features at the time of first production of these potential biochips.

  9. National Manufacturing Strategy: Is a National Manufacturing Strategy Essential to National Security?

    Science.gov (United States)

    2011-05-01

    cycle found nearly a quarter of all homeowners owning more than their home was worth. 11 Both Paul Volcker and Warren Buffet arrived at similar...November 15, 2010; Warren Buffet , Testimony, Financial Crisis Inquiry Commission, June 2, 2010; “Subprime Mortgage Crisis,” http://en.wikipedia.org...overseas manufacturing. Case Study: Semiconductor Wafer Industry. The history of the semiconductor industry is an instructive account . It begins with

  10. Manufacture and installation of reactor auxiliary facilities for advanced thermal prototype reactor 'Fugen'

    International Nuclear Information System (INIS)

    Kawahara, Toshio; Matsushita, Tadashi

    1977-01-01

    The facilities of reactor auxiliary systems for the advanced thermal prtotype reactor ''Fugen'' were manufactured in factories since 1972, and the installation at the site began in November, 1974. It was almost completed in March, 1977, except a part of the tests and inspections, therefore the outline of the works is reported. The ATR ''Fugen'' is a heavy water-moderated, boiling light water reactor, and its reactor auxiliary systems comprise mainly the facilities for handling heavy water, such as heavy water cooling system, heavy water cleaning system, poison supplying system, helium circulating system, helium cleaning system, and carbon dioxide system. The poison supplying system supplies liquid poison to the heavy water cooling system to absorb excess reactivity in the initial reactor core. The helium circulating system covers heavy water surface with helium to prevent the deterioration of heavy water and maintains heavy water level by pressure difference. The carbon dioxide system flows highly pure CO 2 gas in the space of pressure tubes and carandria tubes, and provides thermal shielding. The design, manufacture and installation of the facilities of reactor auxiliary systems, and the helium leak test, synthetic pressure test and total cleaning are explained. (Kako, I.)

  11. Dissolved air flotation of polishing wastewater from semiconductor manufacturer.

    Science.gov (United States)

    Liu, J C; Lien, C Y

    2006-01-01

    The feasibility of the dissolved air flotation (DAF) process in treating chemical mechanical polishing (CMP) wastewater was evaluated in this study. Wastewater from a local semiconductor manufacturer was sampled and characterised. Nano-sized silica (77.6 nm) with turbidity of 130 +/- 3 NTU was found in the slightly alkaline wastewater with traces of other pollutants. Experimental results indicated removal efficiency of particles, measured as suspended particle or turbidity, increased with increasing concentration of cationic collector cetyltrimethyl ammonium bromide (CTAB). When CTAB concentration was 30 mg/L, pH of 6.5 +/- 0.1 and recycle ratio of 30%, very effective removal of particles (> 98%) was observed in saturation pressure range of 4 to 6 kg/cm2, and the reaction proceeded faster under higher pressure. Similarly, the reaction was faster under the higher recycle ratio, while final removal efficiency improved slightly as the recycle ratio increased from 20 to 40%. An insignificant effect of pH on treatment efficiency was found as pH varied from 4.5 to 8.5. The presence of activator, Al3+ and Fe3+, enhanced the system performance. It is proposed that CTAB adsorbs on silica particles in polishing wastewater through electrostatic interaction and makes particles more hydrophobic. The increase in hydrophobicity results in more effective bubble-particle collisions. In addition, flocculation of silica particles through bridging effect of collector was found; it is believed that flocculation of particles also contributed to flotation. Better attachment between gas bubble and solid, higher buoyancy and higher air to solid ratio all lead to effective flotation.

  12. Tungsten and other heavy metal contamination in aquatic environments receiving wastewater from semiconductor manufacturing

    International Nuclear Information System (INIS)

    Hsu, Shih-Chieh; Hsieh, Hwey-Lian; Chen, Chang-Po; Tseng, Chun-Mao; Huang, Shou-Chung; Huang, Chou-Hao; Huang, Yi-Tang; Radashevsky, Vasily; Lin, Shuen-Hsin

    2011-01-01

    Through analyses of water and sediments, we investigate tungsten and 14 other heavy metals in a stream receiving treated effluents from a semiconductor manufacturer-clustered science park in Taiwan. Treated effluents account for ∼50% of total annual river discharge and <1% of total sediment discharge. Dissolved tungsten concentrations in the effluents abnormally reach 400 μg/L, as compared to the world river average concentration of <0.1 μg/L. Particulate tungsten concentrations are up to 300 μg/g in suspended and deposited sediments, and the corresponding enrichment factors are three orders of magnitude higher than average crust composition. Surprisingly, the estimated amount of tungsten exported to the adjacent ocean is 23.5 t/yr, which can approximate the amount from the Yangtze River should it be unpolluted. This study highlights the urgency of investigating the biological effect of such contamination.

  13. Use of radioactive tracers in the semiconductor industry

    International Nuclear Information System (INIS)

    Akerman, Karol

    1975-01-01

    Manufacture of the semiconductor materials comprises production and purification of the raw materials (GeC14 or SiHC13), purification of the elemental semiconductors by metallurgical methods (including zone melting), production and doping of single crystals, dividing the crystals into slices of suitable size, formation of p-n junctions and fabrication of the finished semiconductor devices. In the sequence of operations, the behavior of very small quantities of an element must be monitored, and radioactive tracers are often used to solve these problems. Examples are given of the use of radioactive tracers in the semiconductor industry

  14. Characterization of exposure to silver nanoparticles in a manufacturing facility

    Science.gov (United States)

    Park, Junsu; Kwak, Byoung Kyu; Bae, Eunjoo; Lee, Jeongjin; Kim, Younghun; Choi, Kyunghee; Yi, Jongheop

    2009-10-01

    An assessment of the extent of exposure to nanomaterials in the workplace will be helpful in improving the occupational safety of workers. It is essential that the exposure data in the workplace are concerned with risk management to evaluate and reduce worker exposure. In a manufacturing facility dealing with nanomaterials, some exposure data for gas-phase reactions are available, but much less information is available regarding liquid-phase reactions. Although the potential for inhaling nanomaterials in a liquid-phase process is less than that for gas-phase, the risks of exposure during wet-chemistry processes are not negligible. In this study, we monitored and analyzed the exposure characteristics of silver nanoparticles during a liquid-phase process in a commercial production facility. Based on the measured exposure data, the source of Ag nanoparticles emitted during the production processes was indentified and a mechanism for the growth of Ag nanoparticle released is proposed. The data reported in this study could be used to establish occupational safety guidelines in the nanotechnology workplace, especially in a liquid-phase production facility.

  15. Development and manufacture of a Nb3Sn superconductor for the high-field test facility

    International Nuclear Information System (INIS)

    Scanlan, R.M.; Cornish, D.N.; Spencer, C.R.; Gregory, E.; Adam, E.

    1981-01-01

    The High-Field Test Facility (HFTF) project has two primary goals. The first is to establish manufacturing capability for a Nb 3 Sn conductor suitable for use in a mirror fusion coil. The second is to provide a test facility for evaluating other fusion conductor designs at high fields. This paper describes some of the problems encountered and the solutions devised in working toward the first goal. Construction of the test facility coils will be described in a subsequent paper

  16. Risk for work-related fatigue among the employees on semiconductor manufacturing lines.

    Science.gov (United States)

    Lin, Yu-Cheng; Chen, Yen-Cheng; Hsieh, Hui-I; Chen, Pau-Chung

    2015-03-01

    To examine the potential risk factors for work-related fatigue (WRF) among workers in modern industries, the authors analyzed the records of need-for-recovery questionnaires and health checkup results for 1545 employees. Compared with regular daytime workers, and after adjusting for confounders, the workers adapting to day-and-night rotating shift work (RSW) had a 4.0-fold (95% confidence interval [CI] = 2.7-5.9) increased risk for WRF, higher than the 2.2-fold risk (95% CI = 1.5-3.3) for persistent shift workers. Based on highest education level, the male employees with university degrees had the highest adjusted odds ratio (a-OR) 2.8 (95% CI = 1.0-7.8) for complaining of WRF versus compulsory education group. For female workers, currently married/cohabiting status was inversely associated with WRF (a-OR = 0.5; 95% CI = 0.2-0.9), and child-rearing responsibility moderately increased WRF risk (a-OR = 1.9; 95% CI = 1.0-3.7). Day-and-night RSW and the adaptation, educational levels of males, and domestic factors for females contributed to WRF among semiconductor manufacturing employees. © 2013 APJPH.

  17. `Climate wise` program at the Cosmair, Inc. Clark Manufacturing Facility

    Energy Technology Data Exchange (ETDEWEB)

    Kraly, K.

    1997-12-31

    Viewgraphs from the conference presentation are reproduced in this paper, which outlines energy efficiency improvements and emissions reductions at a hair care products manufacturing facility. Program management focuses on employee involvement in internal audits, utility tracking, public relations, and preventative maintenance. Energy savings, cost savings, and emission reductions are presented for 1996 and projected to the year 2000. Other program aspects outlined include a summary of utility costs; solid waste; chilled water system modifications; lighting modifications; boiler upgrades; and heating, ventilating, and air conditioning improvements.

  18. Manufacture of ribbon and solar cells of material of semiconductor grade

    International Nuclear Information System (INIS)

    1980-01-01

    A method is described of producing ribbon-like substantially monocrystalline bodies of silicon or other materials of semiconductor grade suitable for use in solar cells or other semiconductor devices. A tube of the material is made and a photovoltaic junction formed in it. The tube is then divided lengthwise into a number of ribbon-like bodies. The photovoltaic junction can be formed either by diffusion or by ion-implantation. (U.K.)

  19. Surrogate Plant Data Base : Volume 3. Appendix D : Facilities Planning Data ; Operating Manpower, Manufacturing Budgets and Pre-Production Launch ...

    Science.gov (United States)

    1983-05-01

    This four volume report consists of a data base describing "surrogate" automobile and truck manufacturing plants developed as part of a methodology for evaluating capital investment requirements in new manufacturing facilities to build new fleets of ...

  20. Revenue sharing in semiconductor industry supply chain ...

    Indian Academy of Sciences (India)

    to reduce demand opportunities, inventory needs and production efficiencies, in addition to reducing .... design based on coalition structures in semiconductor supply chain. ..... supplier/contract manufacturer for a product/component category.

  1. Mirror Fusion Test Facility-B (MFTF-B) axicell configuration: NbTi magnet system. Manufacturing/producibility final report. Volume 2

    International Nuclear Information System (INIS)

    Ritschel, A.J.; White, W.L.

    1985-05-01

    This Final MFTF-B Manufacturing/Producibility Report covers facilities, tooling plan, manufacturing sequence, schedule and performance, producibility, and lessons learned for the solenoid, axicell, and transition coils, as well as a deactivation plan, conclusions, references, and appendices

  2. A system approach for reducing the environmental impact of manufacturing and sustainability improvement of nano-scale manufacturing

    Science.gov (United States)

    Yuan, Yingchun

    This dissertation develops an effective and economical system approach to reduce the environmental impact of manufacturing. The system approach is developed by using a process-based holistic method for upstream analysis and source reduction of the environmental impact of manufacturing. The system approach developed consists of three components of a manufacturing system: technology, energy and material, and is useful for sustainable manufacturing as it establishes a clear link between manufacturing system components and its overall sustainability performance, and provides a framework for environmental impact reductions. In this dissertation, the system approach developed is applied for environmental impact reduction of a semiconductor nano-scale manufacturing system, with three case scenarios analyzed in depth on manufacturing process improvement, clean energy supply, and toxic chemical material selection. The analysis on manufacturing process improvement is conducted on Atomic Layer Deposition of Al2O3 dielectric gate on semiconductor microelectronics devices. Sustainability performance and scale-up impact of the ALD technology in terms of environmental emissions, energy consumption, nano-waste generation and manufacturing productivity are systematically investigated and the ways to improve the sustainability of the ALD technology are successfully developed. The clean energy supply is studied using solar photovoltaic, wind, and fuel cells systems for electricity generation. Environmental savings from each clean energy supply over grid power are quantitatively analyzed, and costs for greenhouse gas reductions on each clean energy supply are comparatively studied. For toxic chemical material selection, an innovative schematic method is developed as a visual decision tool for characterizing and benchmarking the human health impact of toxic chemicals, with a case study conducted on six chemicals commonly used as solvents in semiconductor manufacturing. Reliability of

  3. Coherent diffractive imaging methods for semiconductor manufacturing

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajeev, Rajendran; Fernandez, Sara; Ekinci, Yasin

    2017-12-01

    The paradigm shift of the semiconductor industry moving from deep ultraviolet to extreme ultraviolet lithography (EUVL) brought about new challenges in the fabrication of illumination and projection optics, which constitute one of the core sources of cost of ownership for many of the metrology tools needed in the lithography process. For this reason, lensless imaging techniques based on coherent diffractive imaging started to raise interest in the EUVL community. This paper presents an overview of currently on-going research endeavors that use a number of methods based on lensless imaging with coherent light.

  4. Life-cycle assessment of semiconductors

    CERN Document Server

    Boyd, Sarah B

    2012-01-01

    Life-Cycle Assessment of Semiconductors presents the first and thus far only available transparent and complete life cycle assessment of semiconductor devices. A lack of reliable semiconductor LCA data has been a major challenge to evaluation of the potential environmental benefits of information technologies (IT). The analysis and results presented in this book will allow a higher degree of confidence and certainty in decisions concerning the use of IT in efforts to reduce climate change and other environmental effects. Coverage includes but is not limited to semiconductor manufacturing trends by product type and geography, unique coverage of life-cycle assessment, with a focus on uncertainty and sensitivity analysis of energy and global warming missions for CMOS logic devices, life cycle assessment of flash memory and life cycle assessment of DRAM. The information and conclusions discussed here will be highly relevant and useful to individuals and institutions. The book also: Provides a detailed, complete a...

  5. Radiation processing of polymers and semiconductors at the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Zimek, Z.; Przybytniak, G.; Kaluska, I.

    2006-01-01

    R(and)D studies in the field of radiation technology in Poland are mostly concentrated at the Institute of Nuclear Chemistry and Technology (INCT). The results of the INCT works on polymer and semiconductor modification have been implemented in various branches of national economy, particularly in industry and medicine. Radiation technology for polymer modification was implemented in the middle of the 1970-ties. Among others, the processes of irradiation and heat shrinkable products expansion have been developed. The transfer of this technology to Polish industry was performed in the middle of the 1980-ties. The present study aims at the formulation of new PE composites better suited to new generation of heat shrinkable products, for example, a new generation of hot-melt adhesives has been developed to meet specific requirements of customers. Modified polypropylene was used for the production of medical devices sterilized by radiation, especially disposable syringes, to overcome the low radiation resistance of the basic material. Modified polypropylene (PP-M) has been formulated at the INCT to provide material suitable for medical application and radiation sterilization process. Modification of semiconductor devices by EB was applied on an industrial scale since 1978 when the INCT and the LAMINA semiconductor factory successfully adopted that technology to improve specific semiconductor devices. This activity is continued on commercial basis where the INCT facilities served to contract irradiation of certain semiconductor devices according to the manufacturing program of the Polish factory and customers from abroad. (author)

  6. Fundamentals of semiconductor lasers

    CERN Document Server

    Numai, Takahiro

    2015-01-01

    This book explains physics under the operating principles of semiconductor lasers in detail based on the experience of the author, dealing with the first manufacturing of phase-shifted DFB-LDs and recent research on transverse modes.   The book also bridges a wide gap between journal papers and textbooks, requiring only an undergraduate-level knowledge of electromagnetism and quantum mechanics, and helps readers to understand journal papers where definitions of some technical terms vary, depending on the paper. Two definitions of the photon density in the rate equations and two definitions of the phase-shift in the phase-shifted DFB-LD are explained, and differences in the calculated results are indicated, depending on the definitions.    Readers can understand the physics of semiconductor lasers and analytical tools for Fabry-Perot LDs, DFB-LDs, and VCSELs and will be stimulated to develop semiconductor lasers themselves.

  7. Manufacturing cost study on the ion sources for the Mirror Fusion Test Facility

    International Nuclear Information System (INIS)

    A study of the cost of manufacturing 48 ion sources for the Mirror Fusion Test Facility is described. The estimate is built up from individual part costs and assembly operation times for the 80 kV prototype source constructed by LLL and described by LLL drawings furnished during December 1978. Recommendations for cost reduction are made

  8. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    KAUST Repository

    Almuslem, A. S.; Hanna, Amir; Yapici, Tahir; Wehbe, N.; Diallo, Elhadj; Kutbee, Arwa T.; Bahabry, Rabab R.; Hussain, Muhammad Mustafa

    2017-01-01

    , in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured

  9. Automatic Semiconductor Wafer Image Segmentation for Defect Detection Using Multilevel Thresholding

    Directory of Open Access Journals (Sweden)

    Saad N.H.

    2016-01-01

    Full Text Available Quality control is one of important process in semiconductor manufacturing. A lot of issues trying to be solved in semiconductor manufacturing industry regarding the rate of production with respect to time. In most semiconductor assemblies, a lot of wafers from various processes in semiconductor wafer manufacturing need to be inspected manually using human experts and this process required full concentration of the operators. This human inspection procedure, however, is time consuming and highly subjective. In order to overcome this problem, implementation of machine vision will be the best solution. This paper presents automatic defect segmentation of semiconductor wafer image based on multilevel thresholding algorithm which can be further adopted in machine vision system. In this work, the defect image which is in RGB image at first is converted to the gray scale image. Median filtering then is implemented to enhance the gray scale image. Then the modified multilevel thresholding algorithm is performed to the enhanced image. The algorithm worked in three main stages which are determination of the peak location of the histogram, segmentation the histogram between the peak and determination of first global minimum of histogram that correspond to the threshold value of the image. The proposed approach is being evaluated using defected wafer images. The experimental results shown that it can be used to segment the defect correctly and outperformed other thresholding technique such as Otsu and iterative thresholding.

  10. Achievement Report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Development of silicon mass-production manufacturing technology for solar cells; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    In order to manufacture silicon for solar cells, development is intended on a technology to manufacture silicon (SOG-Si) for solar cells by means of metallurgical methods using metallic silicon with purity generally available as an interim starting material. The silicon is required of p-type electric conductivity characteristics with specific resistance of 0.5 to 1.5 ohm per cm, to be sufficient even with 6-7N as compared to silicon for semiconductors (11-N), and to be low in cost. While the NEDO fluid bed process and the metallurgical NEDO direct reduction process have been developed based on the technology to manufacture silicon for semiconductors, the basic policy was established to develop a new manufacturing method using commercially available high-purity metallic silicon as an interim starting material, with an objective to achieve cost as low as capable of responding to small-quantity phase production for proliferation purpose. Removal of boron and phosphor has been the main issue in the development, whereas SOG-Si was manufactured in a laboratory scale by combining with the conventional component technologies in fiscal 1991 and 1992. The scale was expanded to 20 kg since fiscal 1993, and a five year plan starting fiscal 1996 was decided to develop the technology for industrial scale. Fiscal 1997 has promoted the development by using the 20-kg scale device, and introduced facilities to develop technology for mass-production scale. (NEDO)

  11. High Volume Manufacturing and Field Stability of MEMS Products

    Science.gov (United States)

    Martin, Jack

    Low volume MEMS/NEMS production is practical when an attractive concept is implemented with business, manufacturing, packaging, and test support. Moving beyond this to high volume production adds requirements on design, process control, quality, product stability, market size, market maturity, capital investment, and business systems. In a broad sense, this chapter uses a case study approach: It describes and compares the silicon-based MEMS accelerometers, pressure sensors, image projection systems, and gyroscopes that are in high volume production. Although they serve several markets, these businesses have common characteristics. For example, the manufacturing lines use automated semiconductor equipment and standard material sets to make consistent products in large quantities. Standard, well controlled processes are sometimes modified for a MEMS product. However, novel processes that cannot run with standard equipment and material sets are avoided when possible. This reliance on semiconductor tools, as well as the organizational practices required to manufacture clean, particle-free products partially explains why the MEMS market leaders are integrated circuit manufacturers. There are other factors. MEMS and NEMS are enabling technologies, so it can take several years for high volume applications to develop. Indeed, market size is usually a strong function of price. This becomes a vicious circle, because low price requires low cost - a result that is normally achieved only after a product is in high volume production. During the early years, IC companies reduced cost and financial risk by using existing facilities for low volume MEMS production. As a result, product architectures are partially determined by capabilities developed for previous products. This chapter includes a discussion of MEMS product architecture with particular attention to the impact of electronic integration, packaging, and surfaces. Packaging and testing are critical, because they are

  12. Flexible, Photopatterned, Colloidal CdSe Semiconductor Nanocrystal Integrated Circuits

    Science.gov (United States)

    Stinner, F. Scott

    As semiconductor manufacturing pushes towards smaller and faster transistors, a parallel goal exists to create transistors which are not nearly as small. These transistors are not intended to match the performance of traditional crystalline semiconductors; they are designed to be significantly lower in cost and manufactured using methods that can make them physically flexible for applications where form is more important than speed. One of the developing technologies for this application is semiconductor nanocrystals. We first explore methods to develop CdSe nanocrystal semiconducting "inks" into large-scale, high-speed integrated circuits. We demonstrate photopatterned transistors with mobilities of 10 cm2/Vs on Kapton substrates. We develop new methods for vertical interconnect access holes to demonstrate multi-device integrated circuits including inverting amplifiers with 7 kHz bandwidths, ring oscillators with NFC) link. The device draws its power from the NFC transmitter common on smartphones and eliminates the need for a fixed battery. This allows for the mass deployment of flexible, interactive displays on product packaging.

  13. Characterizing the rapid spread of porcine epidemic diarrhea virus (PEDV through an animal food manufacturing facility.

    Directory of Open Access Journals (Sweden)

    Loni L Schumacher

    Full Text Available New regulatory and consumer demands highlight the importance of animal feed as a part of our national food safety system. Porcine epidemic diarrhea virus (PEDV is the first viral pathogen confirmed to be widely transmissible in animal food. Because the potential for viral contamination in animal food is not well characterized, the objectives of this study were to 1 observe the magnitude of virus contamination in an animal food manufacturing facility, and 2 investigate a proposed method, feed sequencing, to decrease virus decontamination on animal food-contact surfaces. A U.S. virulent PEDV isolate was used to inoculate 50 kg swine feed, which was mixed, conveyed, and discharged into bags using pilot-scale feed manufacturing equipment. Surfaces were swabbed and analyzed for the presence of PEDV RNA by quantitative real-time polymerase chain reaction (qPCR. Environmental swabs indicated complete contamination of animal food-contact surfaces (0/40 vs. 48/48, positive baseline samples/total baseline samples, positive subsequent samples/total subsequent samples, respectively; P < 0.05 and near complete contamination of non-animal food-contact surfaces (0/24 vs. 16/18, positive baseline samples/total baseline samples, positive subsequent samples/total subsequent samples, respectively; P < 0.05. Flushing animal food-contact surfaces with low-risk feed is commonly used to reduce cross-contamination in animal feed manufacturing. Thus, four subsequent 50 kg batches of virus-free swine feed were manufactured using the same system to test its impact on decontaminating animal food-contact surfaces. Even after 4 subsequent sequences, animal food-contact surfaces retained viral RNA (28/33 positive samples/total samples, with conveying system being more contaminated than the mixer. A bioassay to test infectivity of dust from animal food-contact surfaces failed to produce infectivity. This study demonstrates the potential widespread viral contamination of

  14. Analysis and simulation of semiconductor devices

    CERN Document Server

    Selberherr, Siegfried

    1984-01-01

    The invention of semiconductor devices is a fairly recent one, considering classical time scales in human life. The bipolar transistor was announced in 1947, and the MOS transistor, in a practically usable manner, was demonstrated in 1960. From these beginnings the semiconductor device field has grown rapidly. The first integrated circuits, which contained just a few devices, became commercially available in the early 1960s. Immediately thereafter an evolution has taken place so that today, less than 25 years later, the manufacture of integrated circuits with over 400.000 devices per single chip is possible. Coincident with the growth in semiconductor device development, the literature concerning semiconductor device and technology issues has literally exploded. In the last decade about 50.000 papers have been published on these subjects. The advent of so called Very-Large-Scale-Integration (VLSI) has certainly revealed the need for a better understanding of basic device behavior. The miniaturization of the s...

  15. Respirator use and its impact on particulate matter exposure in aluminum manufacturing facilities.

    Science.gov (United States)

    Liu, Sa; Noth, Elizabeth; Eisen, Ellen; Cullen, Mark R; Hammond, Katharine

    2018-05-31

    Objectives As part of a large epidemiologic study of particulate health effect, this study aimed to report respirator use among total particulate matter (TPM) samples collected in a major aluminum manufacturing company from 1966‒2013 and evaluate the impact of respirator-use adjustment on exposure estimation. Methods Descriptive analyses were performed to evaluate respirator use across facilities and by facility type and job. Protection factors were applied to TPM measurements for recorded respirator use. Estimated TPM exposure for each job ‒ before and after respirator-use adjustment ‒ were compared to assess the impact of adjustment on exposure estimation. Results Respirator use was noted for 37% of 12 402 full-shift personal TPM samples. Measured TPM concentration ranged from less than detectable to 8220 mg/m3, with arithmetic mean, median and standard deviation being 10.6, 0.87 and 130 mg/m 3 , respectively. Respirators were used more often in smelting facilities (52% of TPM measurements) than in fabricating (17%) or refinery facilities (28%) (Pfacilities were subject to respirator-use adjustment, whereas it was 20% and 70% in fabricating and refinery facilities, respectively. Applying protection factors to TPM measurements significantly reduced estimated job mean TPM exposures and changed exposure categories in these facilities, with larger impact in smelting than fabricating facilities. Conclusions Respirator use varied by time, facility and job. Adjusting respirator use resulted in differential impact in smelting and fabricating facilities, which will need to be incorporated into ongoing epidemiologic studies accordingly.

  16. 75 FR 24742 - In the Matter of Certain Large Scale Integrated Circuit Semiconductor Chips and Products...

    Science.gov (United States)

    2010-05-05

    ... Semiconductor, Xiqing Integrated Semiconductor, Manufacturing Site, No. 15 Xinghua Road, Xiqing Economic... Malaysia Sdn. Bhd., NO. 2 Jalan SS 8/2, Free Industrial Zone, Sungai Way, 47300 Petaling Jaya, Selengor, Malaysia. Freescale Semiconductor Pte. Ltd., 7 Changi South Street 2, 03-00, Singapore 486415. Freescale...

  17. Metrology needs and challenges for the semiconductor industry

    International Nuclear Information System (INIS)

    Schroeder, Kenneth; Ashkenaz, Scott; Hankinson, Matt

    2001-01-01

    The aggressively shrinking process window drives the semiconductor manufacturer to examine, refine, and control all aspects of the manufacturing process. Process budgets leave little room for error contribution. Budget management, and ultimately achieving the goal, requires an understanding of the constituent components, and development of mitigation strategies. We present some of the challenges facing our industry and strategies that we are taking to address them

  18. High brightness semiconductor lasers with reduced filamentation

    DEFF Research Database (Denmark)

    McInerney, John; O'Brien, Peter.; Skovgaard, Peter M. W.

    1999-01-01

    High brightness semiconductor lasers have applications in spectroscopy, fiber lasers, manufacturing and materials processing, medicine and free space communication or energy transfer. The main difficulty associated with high brightness is that, because of COD, high power requires a large aperture...

  19. Semiconductors detectors: basics principals, fabrication and repair

    International Nuclear Information System (INIS)

    Souza Coelho, L.F. de.

    1982-05-01

    The fabrication and repairing techniques of semiconductor detectors, are described. These methods are shown in the way they are applied by the semiconductor detector laboratory of the KFA-Julich, where they have been developed during the last 15 years. The history of the semiconductor detectors is presented here, being also described the detector fabrication experiences inside Brazil. The key problems of manufacturing are raised. In order to understand the fabrication and repairing techniques the working principles of these detectors, are described. The cases in which worked during the stay in the KFA-Julich, particularly the fabrication of a plane Ge (Li) detector, with side entry, and the repair of a coaxial Ge (Li) is described. The vanguard problems being researched in Julich are also described. Finally it is discussed a timetable for the semiconductor detector laboratory of the UFRJ, which laboratory is in the mounting stage now. (Author) [pt

  20. Green Manufacturing Fundamentals and Applications

    CERN Document Server

    2013-01-01

    Green Manufacturing: Fundamentals and Applications introduces the basic definitions and issues surrounding green manufacturing at the process, machine and system (including supply chain) levels. It also shows, by way of several examples from different industry sectors, the potential for substantial improvement and the paths to achieve the improvement. Additionally, this book discusses regulatory and government motivations for green manufacturing and outlines the path for making manufacturing more green as well as making production more sustainable. This book also: • Discusses new engineering approaches for manufacturing and provides a path from traditional manufacturing to green manufacturing • Addresses regulatory and economic issues surrounding green manufacturing • Details new supply chains that need to be in place before going green • Includes state-of-the-art case studies in the areas of automotive, semiconductor and medical areas as well as in the supply chain and packaging areas Green Manufactu...

  1. REDUCTION OF ARSENIC WASTES IN THE SEMICONDUCTOR INDUSTRY

    Science.gov (United States)

    The research described in this report was aimed at initiating and developing processes and process modifications that could be incorporated into semiconductor manufacturing operations to accomplish pollution prevention, especially to accomplish significant reduction in the quanti...

  2. Fabrication and application of amorphous semiconductor devices

    International Nuclear Information System (INIS)

    Kumurdjian, Pierre.

    1976-01-01

    This invention concerns the design and manufacture of elecric switching or memorisation components with amorphous semiconductors. As is known some compounds, particularly the chalcogenides, have a resistivity of the semiconductor type in the amorphous solid state. These materials are obtained by the high temperature homogeneisation of several single elements such as tellurium, arsenic, germanium and sulphur, followed by water or air quenching. In particular these compounds have useful switching and memorisation properties. In particular they have the characteristic of not suffering deterioration when placed in an environment subjected to nuclear radiations. In order to know more about the nature and properties of these amorphous semiconductors the French patent No. 71 28048 of 30 June 1971 may be consulted with advantage [fr

  3. Report of the Design Approval and the Safety Issues of the Ion Accelerator for Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Min, Yi Sub; Lee, Chan Young [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2013-05-15

    The application of ion implantation technology has been extended to the field of semiconductor, as well as of metals, ceramics and polymers. A change in the characteristics of the surface that is required in industries is various. To manufacture these devices which are by requirements of industrial, anyone must be licensed by nuclear law; producing permit. And that device must be certified to safety through the design approval. For the first time in domestic, Korea Multipurpose Accelerator Complex (KOMAC) has finished the producing facility inspection as well as the producing permit in August 2012 that can produce four types of radiation generator(RG)

  4. Creation of a U.S. Phosphorescent OLED Lighting Panel Manufacturing Facility

    Energy Technology Data Exchange (ETDEWEB)

    Hack, Michael

    2013-09-30

    Universal Display Corporation (UDC) has pioneered high efficacy phosphorescent OLED (PHOLED™) technology to enable the realization of an exciting new form of high quality, energy saving solid-date lighting. In laboratory test devices, we have demonstrated greater than 100 lm/W conversion efficacy. In this program, Universal Display will demonstrate the scalability of its proprietary UniversalPHOLED technology and materials for the manufacture of white OLED lighting panels that meet commercial lighting targets. Moser Baer Technologies will design and build a U.S.- based pilot facility. The objective of this project is to establish a pilot phosphorescent OLED (PHOLED) manufacturing line in the U.S. Our goal is that at the end of the project, prototype lighting panels could be provided to U.S. luminaire manufacturers for incorporation into products to facilitate the testing of design concepts and to gauge customer acceptance, so as to facilitate the growth of the embryonic U.S. OLED lighting industry. In addition, the team will provide a cost of ownership analysis to quantify production costs including OLED performance metrics which relate to OLED cost such as yield, materials usage, cycle time, substrate area, and capital depreciation. This project was part of a new DOE initiative designed to help establish and maintain U.S. leadership in this program will support key DOE objectives by showing a path to meet Department of Energy Solid-State Lighting Manufacturing Roadmap cost targets, as well as meeting its efficiency targets by demonstrating the energy saving potential of our technology through the realization of greater than 76 lm/W OLED lighting panels by 2012.

  5. Applications of Nuclear Analytical Methods for High Tech Industry

    International Nuclear Information System (INIS)

    Hossain, T.

    2013-01-01

    Silicon based semiconductor chip manufacturing is a worldwide high technology industry with numerous measurement issues. One of the major concerns in the semiconductor manufacturing is contamination such as the trace metal impurities. This concern is vividly illustrated by the fact that the manufacturing in this industry is done in ultra clean environment where the entire manufacturing facility or “Fab” is a clean room facility or each and every manufacturing tool is enclosed in a mini-environment Although semiconductor devices are fabricated on the surface of the Si wafers contamination in the bulk material is a major concern. Nuclear methods of analysis are uniquely suited for the contamination analysis in such a matrix. Many opportunities in the semiconductor manufacturing field exist for the nuclear methods to provide support services. Contamination analysis by NAA, depth profiles by NDP and prompt gamma analysis of H in thin films are a few examples. These needs are on-going and require commitment from the lab so that a manufacturing operation can rely on the delivery of these services when required

  6. Unified Controller Design for Intelligent Manufacturing Automation

    National Research Council Canada - National Science Library

    Kosut, Robert

    1997-01-01

    .... The demonstration system selected was rapid thermal processing (RTP) of semiconductor wafers. This novel approach in integrated circuit manufacturing demands fast tracking control laws that achieve near uniform spatial temperature distributions...

  7. Semiconductor research capabilities at the Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    1987-02-01

    This document discusses semiconductor research capabilities (advanced materials, processing, packaging) and national user facilities (electron microscopy, heavy-ion accelerators, advanced light source)

  8. Agile Multi-Parallel Micro Manufacturing Using a Grid of Equiplets

    NARCIS (Netherlands)

    Leo van Moergestel; Ing. Erik Puik

    2010-01-01

    Abstract: Unlike manufacturing technology for semiconductors and printed circuit boards, the market for traditional micro assembly lacks a clear public roadmap. More agile manufacturing strategies are needed in an environment in which dealing with change becomes a rule instead of an exception. In

  9. Semiconductor sensor device, diagnostic instrument comprising such a device and method of manufacturing such a device

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor sensor device (10) for sensing a substance comprising at least one mesa- shaped semiconductor region (11) which is formed on a surface of a semiconductor body (12) and which is connected at a first end to a first electrically conducting connection region (13)

  10. Specifying and manufacturing piping for the fast flux test facility

    International Nuclear Information System (INIS)

    Moen, R.A.; O'Keefe, D.P.; Irvin, J.E.; Tobin, J.C.

    1974-01-01

    Specification of materials for liquid metal reactor coolant piping, at service temperatures up to 1200 0 F, involves a number of considerations unique to these systems. The mechanical property/design allowable stress considerations which led to the selection and specification of specific materials for the Fast Flux Test Facility piping are discussed. Additional considerations are described indicating allowances made for material changes anticipated in service. These measures primarily involved raising the minimum carbon content to a value that would insure the strength of the material always remains above that assumed in the initial design, although other considerations are discussed. The processes by which this piping was manufactured, its resulting characteristics and methods of subsequent handling/assembly are briefly discussed. (U.S.)

  11. Capacity optimization and scheduling of a multiproduct manufacturing facility for biotech products.

    Science.gov (United States)

    Shaik, Munawar A; Dhakre, Ankita; Rathore, Anurag S; Patil, Nitin

    2014-01-01

    A general mathematical framework has been proposed in this work for scheduling of a multiproduct and multipurpose facility involving manufacturing of biotech products. The specific problem involves several batch operations occurring in multiple units involving fixed processing time, unlimited storage policy, transition times, shared units, and deterministic and fixed data in the given time horizon. The different batch operations are modeled using state-task network representation. Two different mathematical formulations are proposed based on discrete- and continuous-time representations leading to a mixed-integer linear programming model which is solved using General Algebraic Modeling System software. A case study based on a real facility is presented to illustrate the potential and applicability of the proposed models. The continuous-time model required less number of events and has a smaller problem size compared to the discrete-time model. © 2014 American Institute of Chemical Engineers.

  12. Mercury regulation, fate, transport, transformation, and abatement within cement manufacturing facilities: review.

    Science.gov (United States)

    Sikkema, Joel K; Alleman, James E; Ong, Say Kee; Wheelock, Thomas D

    2011-09-15

    The USEPA's 2010 mercury rule, which would reduce emissions from non-hazardous waste burning cement manufacturing facilities by an estimated 94%, represents a substantial regulatory challenge for the industry. These regulations, based on the performance of facilities that benefit from low concentrations of mercury in their feedstock and fuel inputs (e.g., limestone concentration was less than 25 ppb at each facility), will require non-compliant facilities to develop innovative controls. Control development is difficult because each facility's emissions must be assessed and simple correlation to mercury concentrations in limestone or an assumption of 'typically observed' mercury concentrations in inputs are unsupported by available data. Furthermore, atmospheric emissions are highly variable due to an internal control mechanism that captures and loops mercury between the high-temperature kiln and low-temperature raw materials mill. Two models have been reported to predict emissions; however, they have not been benchmarked against data from the internal components that capture mercury and do not distinguish between mercury species, which have different sorption and desorption properties. Control strategies include technologies applied from other industries and technologies developed specifically for cement facilities. Reported technologies, listed from highest to lowest anticipated mercury removal, include purge of collected dust or raw meal, changes in feedstocks and fuels, wet scrubbing, cleaning of mercury enriched dust, dry sorbent injection, and dry and semi-dry scrubbing. The effectiveness of these technologies is limited by an inadequate understanding of sorption, desorption, and mercury species involved in internal loop mercury control. To comply with the mercury rule and to improve current mercury control technologies and practices, research is needed to advance fundamental knowledge regarding mercury species sorption and desorption dynamics on materials

  13. Supplymentary type semiconductor device and manufacturing method. Soho gata handotai sochi oyobi sono seizo hoho

    Energy Technology Data Exchange (ETDEWEB)

    Uno, Masaaki

    1990-01-08

    As a supplementary type semiconductor device has a complicated structure, it is extremely difficult to construct it in a three dimensional structure. This invention aims to reduce its occupying area by forming p-channel and n-channel transistors in a solid structure; moreover in an easy method of production. In other words, an opening is made in the element-forming region of a semiconductor substrate, forming a gate-insulation film on each of the p-type and n-type semiconductors which are exposed on the two facing surfaces; on it formed a gate electrode; p-type semiconductor surface is used as a channel domain; a drain region of n-channel transistor on one surface and a source region on another surface; the n-type semiconductor surface corresponding to the gate electrode is used as a channel region; a source region of the n-channel transistor is formed on the same surface and the drain region on the substrate surface. Occupied area is thus made less and the production gets easier. 20 figs.

  14. Abatement of waste gases and water during the processes of semiconductor fabrication.

    Science.gov (United States)

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  15. National Institutes of Health–Sponsored Clinical Islet Transplantation Consortium Phase 3 Trial: Manufacture of a Complex Cellular Product at Eight Processing Facilities

    Science.gov (United States)

    Balamurugan, A.N.; Szot, Gregory L.; Kin, Tatsuya; Liu, Chengyang; Czarniecki, Christine W.; Barbaro, Barbara; Bridges, Nancy D.; Cano, Jose; Clarke, William R.; Eggerman, Thomas L.; Hunsicker, Lawrence G.; Kaufman, Dixon B.; Khan, Aisha; Lafontant, David-Erick; Linetsky, Elina; Luo, Xunrong; Markmann, James F.; Naji, Ali; Korsgren, Olle; Oberholzer, Jose; Turgeon, Nicole A.; Brandhorst, Daniel; Chen, Xiaojuan; Friberg, Andrew S.; Lei, Ji; Wang, Ling-jia; Wilhelm, Joshua J.; Willits, Jamie; Zhang, Xiaomin; Hering, Bernhard J.; Posselt, Andrew M.; Stock, Peter G.; Shapiro, A.M. James

    2016-01-01

    Eight manufacturing facilities participating in the National Institutes of Health–sponsored Clinical Islet Transplantation (CIT) Consortium jointly developed and implemented a harmonized process for the manufacture of allogeneic purified human pancreatic islet (PHPI) product evaluated in a phase 3 trial in subjects with type 1 diabetes. Manufacturing was controlled by a common master production batch record, standard operating procedures that included acceptance criteria for deceased donor organ pancreata and critical raw materials, PHPI product specifications, certificate of analysis, and test methods. The process was compliant with Current Good Manufacturing Practices and Current Good Tissue Practices. This report describes the manufacturing process for 75 PHPI clinical lots and summarizes the results, including lot release. The results demonstrate the feasibility of implementing a harmonized process at multiple facilities for the manufacture of a complex cellular product. The quality systems and regulatory and operational strategies developed by the CIT Consortium yielded product lots that met the prespecified characteristics of safety, purity, potency, and identity and were successfully transplanted into 48 subjects. No adverse events attributable to the product and no cases of primary nonfunction were observed. PMID:27465220

  16. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  17. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Wei-Lung, E-mail: wlchou@sunrise.hk.edu.tw [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China); Wang, Chih-Ta [Department of Safety Health and Environmental Engineering, Chung Hwa University of Medical Technology, Tainan Hsien 717, Taiwan (China); Chang, Wen-Chun; Chang, Shih-Yu [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China)

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L{sup -1}). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  18. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    International Nuclear Information System (INIS)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-01-01

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L -1 ). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  19. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation.

    Science.gov (United States)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L(-1)). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K. Copyright 2010 Elsevier B.V. All rights reserved.

  20. EDITORIAL: Extreme Ultraviolet Light Sources for Semiconductor Manufacturing

    Science.gov (United States)

    Attwood, David

    2004-12-01

    The International Technology Roadmap for Semiconductors (ITRS) [1] provides industry expectations for high volume computer chip fabrication a decade into the future. It provides expectations to anticipated performance and requisite specifications. While the roadmap provides a collective projection of what international industry expects to produce, it does not specify the technology that will be employed. Indeed, there are generally several competing technologies for each two or three year step forward—known as `nodes'. Recent successful technologies have been based on KrF (248 nm), and now ArF (193 nm) lasers, combined with ultraviolet transmissive refractive optics, in what are known as step and scan exposure tools. Less fortunate technologies in the recent past have included soft x-ray proximity printing and, it appears, 157 nm wavelength F2 lasers. In combination with higher numerical aperture liquid emersion optics, 193 nm is expected to be used for the manufacture of leading edge chip performance for the coming five years. Beyond that, starting in about 2009, the technology to be employed is less clear. The leading candidate for the 2009 node is extreme ultraviolet (EUV) lithography, however this requires that several remaining challenges, including sufficient EUV source power, be overcome in a timely manner. This technology is based on multilayer coated reflective optics [2] and an EUV emitting plasma. Following Moore's Law [3] it is expected, for example, that at the 2009 `32 nm node' (printable patterns of 32 nm half-pitch), isolated lines with 18 nm width will be formed in resist (using threshold effects), and that these will be further narrowed to 13 nm in transfer to metalized electronic gates. These narrow features are expected to provide computer chips of 19 GHz clock frequency, with of the order of 1.5 billion transistors per chip [1]. This issue of Journal of Physics D: Applied Physics contains a cluster of eight papers addressing the critical

  1. Evaluation of Quantitative Exposure Assessment Method for Nanomaterials in Mixed Dust Environments: Application in Tire Manufacturing Facilities.

    Science.gov (United States)

    Kreider, Marisa L; Cyrs, William D; Tosiano, Melissa A; Panko, Julie M

    2015-11-01

    Current recommendations for nanomaterial-specific exposure assessment require adaptation in order to be applied to complicated manufacturing settings, where a variety of particle types may contribute to the potential exposure. The purpose of this work was to evaluate a method that would allow for exposure assessment of nanostructured materials by chemical composition and size in a mixed dust setting, using carbon black (CB) and amorphous silica (AS) from tire manufacturing as an example. This method combined air sampling with a low pressure cascade impactor with analysis of elemental composition by size to quantitatively assess potential exposures in the workplace. This method was first pilot-tested in one tire manufacturing facility; air samples were collected with a Dekati Low Pressure Impactor (DLPI) during mixing where either CB or AS were used as the primary filler. Air samples were analyzed via scanning transmission electron microscopy (STEM) coupled with energy dispersive spectroscopy (EDS) to identify what fraction of particles were CB, AS, or 'other'. From this pilot study, it was determined that ~95% of all nanoscale particles were identified as CB or AS. Subsequent samples were collected with the Dekati Electrical Low Pressure Impactor (ELPI) at two tire manufacturing facilities and analyzed using the same methodology to quantify exposure to these materials. This analysis confirmed that CB and AS were the predominant nanoscale particle types in the mixing area at both facilities. Air concentrations of CB and AS ranged from ~8900 to 77600 and 400 to 22200 particles cm(-3), respectively. This method offers the potential to provide quantitative estimates of worker exposure to nanoparticles of specific materials in a mixed dust environment. With pending development of occupational exposure limits for nanomaterials, this methodology will allow occupational health and safety practitioners to estimate worker exposures to specific materials, even in scenarios

  2. Multilayer Semiconductor Charged-Particle Spectrometers for Accelerator Experiments

    Science.gov (United States)

    Gurov, Yu. B.; Lapushkin, S. V.; Sandukovsky, V. G.; Chernyshev, B. A.

    2018-03-01

    The current state of studies in the field of development of multilayer semiconductor systems (semiconductor detector (SCD) telescopes), which allow the energy to be precisely measured within a large dynamic range (from a few to a few hundred MeV) and the particles to be identified in a wide mass range (from pions to multiply charged nuclear fragments), is presented. The techniques for manufacturing the SCD telescopes from silicon and high-purity germanium are described. The issues of measuring characteristics of the constructed detectors and their impact on the energy resolution of the SCD telescopes and on the quality of the experimental data are considered. Much attention is given to the use of the constructed semiconductor devices in experimental studies at accelerators of PNPI (Gatchina), LANL (Los Alamos) and CELSIUS (Uppsala).

  3. Centro-Apical Self-Organization of Organic Semiconductors in a Line-Printed Organic Semiconductor: Polymer Blend for One-Step Printing Fabrication of Organic Field-Effect Transistors.

    Science.gov (United States)

    Lee, Su Jin; Kim, Yong-Jae; Yeo, So Young; Lee, Eunji; Lim, Ho Sun; Kim, Min; Song, Yong-Won; Cho, Jinhan; Lim, Jung Ah

    2015-09-11

    Here we report the first demonstration for centro-apical self-organization of organic semiconductors in a line-printed organic semiconductor: polymer blend. Key feature of this work is that organic semiconductor molecules were vertically segregated on top of the polymer phase and simultaneously crystallized at the center of the printed line pattern after solvent evaporation without an additive process. The thickness and width of the centro-apically segregated organic semiconductor crystalline stripe in the printed blend pattern were controlled by varying the relative content of the organic semiconductors, printing speed, and solution concentrations. The centro-apical self-organization of organic semiconductor molecules in a printed polymer blend may be attributed to the combination of an energetically favorable vertical phase-separation and hydrodynamic fluids inside the droplet during solvent evaporation. Finally, a centro-apically phase-separated bilayer structure of organic semiconductor: polymer blend was successfully demonstrated as a facile method to form the semiconductor and dielectric layer for OFETs in one- step.

  4. Semiconductor industry wafer fab exhaust management

    CERN Document Server

    Sherer, Michael J

    2005-01-01

    Given the myriad exhaust compounds and the corresponding problems that they can pose in an exhaust management system, the proper choice of such systems is a complex task. Presenting the fundamentals, technical details, and general solutions to real-world problems, Semiconductor Industry: Wafer Fab Exhaust Management offers practical guidance on selecting an appropriate system for a given application. Using examples that provide a clear understanding of the concepts discussed, Sherer covers facility layout, support facilities operations, and semiconductor process equipment, followed by exhaust types and challenges. He reviews exhaust point-of-use devices and exhaust line requirements needed between process equipment and the centralized exhaust system. The book includes information on wet scrubbers for a centralized acid exhaust system and a centralized ammonia exhaust system and on centralized equipment to control volatile organic compounds. It concludes with a chapter devoted to emergency releases and a separ...

  5. Australian national networked tele-test facility for integrated systems

    Science.gov (United States)

    Eshraghian, Kamran; Lachowicz, Stefan W.; Eshraghian, Sholeh

    2001-11-01

    The Australian Commonwealth government recently announced a grant of 4.75 million as part of a 13.5 million program to establish a world class networked IC tele-test facility in Australia. The facility will be based on a state-of-the-art semiconductor tester located at Edith Cowan University in Perth that will operate as a virtual centre spanning Australia. Satellite nodes will be located at the University of Western Australia, Griffith University, Macquarie University, Victoria University and the University of Adelaide. The facility will provide vital equipment to take Australia to the frontier of critically important and expanding fields in microelectronics research and development. The tele-test network will provide state of the art environment for the electronics and microelectronics research and the industry community around Australia to test and prototype Very Large Scale Integrated (VLSI) circuits and other System On a Chip (SOC) devices, prior to moving to the manufacturing stage. Such testing is absolutely essential to ensure that the device performs to specification. This paper presents the current context in which the testing facility is being established, the methodologies behind the integration of design and test strategies and the target shape of the tele-testing Facility.

  6. Educating Tomorrow's Workforce: A Report on the Semiconductor Industry's Commitment to Youth in K-12.

    Science.gov (United States)

    Semiconductor Industry Association, San Jose, CA.

    The U.S. semiconductor industry, now the nation's largest manufacturing industry, displays its commitment to training its current workers and educating future workers by supporting educational efforts on the K-12 level. This catalog describes innovative actions by 16 Semiconductor Industry Association companies to improve education at the K-12…

  7. Manufacturing technologies

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-09-01

    The Manufacturing Technologies Center is an integral part of Sandia National Laboratories, a multiprogram engineering and science laboratory, operated for the Department of Energy (DOE) with major facilities at Albuquerque, New Mexico, and Livermore, California. Our Center is at the core of Sandia`s Advanced Manufacturing effort which spans the entire product realization process.

  8. A product-process approach for development of the manufacturing footprint

    DEFF Research Database (Denmark)

    Farooq, Sami; Yang, Cheng; Johansen, John

    2009-01-01

    to ever changing global business environment there are certain other external factors that act as drivers for the manufacturing facility development process and therefore should be given considerable importance as they play a major role in defining the future footprint of a manufacturing organisation....... elaborating the development and establishment of various manufacturing facilities of a Danish pump manufacturer is then described. The discussion from the case leads to the conclusion that developing new manufacturing facilities can be explained using existing theories of manufacturing strategy. However due...

  9. Solid state semiconductor detectorized survey meter

    International Nuclear Information System (INIS)

    Okamoto, Eisuke; Nagase, Yoshiyuki; Furuhashi, Masato

    1987-01-01

    Survey meters are used for measurement of gamma ray dose rate of the space and the surface contamination dencity that the atomic energy plant and the radiation facility etc. We have recently developed semiconductor type survey meter (Commercial name: Compact Survey Meter). This survey meter is a small-sized dose rate meter with excellent function. The special features are using semiconductor type detector which we have developed by our own technique, stablar wide range than the old type, long life, and easy to carry. Now we introduce the efficiency and the function of the survey meter. (author)

  10. Automated capacitive spectrometer for measuring the parameters of deep centers in semiconductor materials

    International Nuclear Information System (INIS)

    Shajmeev, S.S.

    1985-01-01

    An automated capacitive spectrometer for determining deep centers parameters in semiconductor materials and instruments is described. The facility can be used in studying electrically active defects (impurity, radiation, thermal) having deep levels in the forbidden semiconductor zone. The facility permits to determine the following parameters of the deep centers: concentration of each deep level taken separately within 5x10 -1 +-5x10 -15 of the alloying impurity concentration, level energy position in the forbidden semiconductor zone in the range from 0.08 MeV above the valency zone ceiling to 0.08 eV below the conductivity zone bottom, hole or electron capture cross-section on the deep center; concentration profile of deep levels

  11. Flexible photonic-crystal Fano filters based on transferred semiconductor nanomembranes

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Weidong; Yang Hongjun; Qiang Zexuan; Chen Li; Yang Weiquan; Chuwongin, Santhad; Zhao Deyin [Department of Electrical Engineering, NanoFAB Center, University of Texas at Arlington, TX 76019 (United States); Ma Zhenqiang; Qin Guoxuan; Pang Huiqing, E-mail: wzhou@uta.ed, E-mail: mazq@engr.wisc.ed [Department of Electrical and Computer Engineering, University of Wisconsin-Madison, WI 53706 (United States)

    2009-12-07

    Crystalline semiconductor nanomembranes (NMs), which are transferable, stackable, bondable and manufacturable, offer unprecedented opportunities for unique and novel device applications. We report and review here nanophotonic devices based on stacked semiconductor NMs that were built on Si, glass and flexible PET substrates. Photonic-crystal Fano resonance based surface-normal optical filters and broadband reflectors have been demonstrated with unique angle and polarization properties. Such a low temperature NM stacking process can lead to a paradigm shift on silicon photonic integration and inorganic flexible photonics.

  12. The need for powder characterisation in the additive manufacturing industry and the establishment of a national facility

    Directory of Open Access Journals (Sweden)

    Benson, Jeffrey Malcolm

    2015-08-01

    Full Text Available The characteristics of powders used in additive manufacturing can have significant effects on process efficiencies and the quality of the final products. Powder sizes and morphologies need to be optimised for a particular process, and this requires the facilities to perform these measurements as well as provide a quality check on powder batches that are purchased. The establishment of a national powder characterisation facility has been identified by the Titanium Centre of Competence (a DST-funded initiative as a critical form of support for the development of a South African titanium metal industry. This paper discusses what effect the different powder characteristics can have on the selective laser sintering processes, as well as the state of development of this national facility.

  13. Implementation of activity-based costing (ABC) to drive cost reduction efforts in a semiconductor manufacturing operation

    Science.gov (United States)

    Naguib, Hussein; Bol, Igor I.; Lora, J.; Chowdhry, R.

    1994-09-01

    This paper presents a case study on the implementation of ABC to calculate the cost per wafer and to drive cost reduction efforts for a new IC product line. The cost reduction activities were conducted through the efforts of 11 cross-functional teams which included members of the finance, purchasing, technology development, process engineering, equipment engineering, production control, and facility groups. The activities of these cross functional teams were coordinated by a cost council. It will be shown that these activities have resulted in a 57% reduction in the wafer manufacturing cost of the new product line. Factors contributed to successful implementation of an ABC management system are discussed.

  14. Measurement of ionising radiation semiconductor detectors: a review

    International Nuclear Information System (INIS)

    Aussel, J.P.

    1986-06-01

    Manufacturing techniques for nuclear detectors using semiconductors are constantly advancing, and a large range of models with different specificities and characteristics are available. After a theoretical reminder, this report describes the main types of detectors, their working and their preferential use. A comparative table guides the neophyte reader in his choice [fr

  15. Technician Training for the Semiconductor Microdevices Industry. Final Report.

    Science.gov (United States)

    Center for Occupational Research and Development, Inc., Waco, TX.

    The Center for Occupational Research and Development (CORD) carried out four activities to foster semiconductor manufacturing technician (SMT) training: (1) collaboration with industry experts and educators while developing a curriculum to train SMTs; (2) implementation and testing of the curriculum at a technical college; (3) dissemination of…

  16. Simulation Environment Synchronizing Real Equipment for Manufacturing Cell

    Science.gov (United States)

    Inukai, Toshihiro; Hibino, Hironori; Fukuda, Yoshiro

    Recently, manufacturing industries face various problems such as shorter product life cycle, more diversified customer needs. In this situation, it is very important to reduce lead-time of manufacturing system constructions. At the manufacturing system implementation stage, it is important to make and evaluate facility control programs for a manufacturing cell, such as ladder programs for programmable logical controllers (PLCs) rapidly. However, before the manufacturing systems are implemented, methods to evaluate the facility control programs for the equipment while mixing and synchronizing real equipment and virtual factory models on the computers have not been developed. This difficulty is caused by the complexity of the manufacturing system composed of a great variety of equipment, and stopped precise and rapid support of a manufacturing engineering process. In this paper, a manufacturing engineering environment (MEE) to support manufacturing engineering processes using simulation technologies is proposed. MEE consists of a manufacturing cell simulation environment (MCSE) and a distributed simulation environment (DSE). MCSE, which consists of a manufacturing cell simulator and a soft-wiring system, is emphatically proposed in detail. MCSE realizes making and evaluating facility control programs by using virtual factory models on computers before manufacturing systems are implemented.

  17. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    KAUST Repository

    Almuslem, A. S.

    2017-02-14

    In the recent past, with the advent of transient electronics for mostly implantable and secured electronic applications, the whole field effect transistor structure has been dissolved in a variety of chemicals. Here, we show simple water soluble nano-scale (sub-10 nm) germanium oxide (GeO) as the dissolvable component to remove the functional structures of metal oxide semiconductor devices and then reuse the expensive germanium substrate again for functional device fabrication. This way, in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured and billions are disposed, which extend the harmful impact to our environment. Therefore, this is a key study to show a pragmatic approach for water soluble high performance electronics for environmentally friendly manufacturing and bioresorbable electronic applications.

  18. 76 FR 39127 - Manufacturer of Controlled Substances; Notice of Application

    Science.gov (United States)

    2011-07-05

    ... Administration (DEA) to be registered as a bulk manufacturer of Remifentanil (9739) the basic class of controlled substance in schedule II. The company plans to utilize this facility to manufacture small quantities of the... primary manufacturing facility in West Deptford, New Jersey. The controlled substances manufactured in...

  19. Nanoimprint system development and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Hiura, Hiromi; Takabayashi, Yukio; Takashima, Tsuneo; Emoto, Keiji; Choi, Jin; Schumaker, Phil

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography* (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. For imprint lithography, recent attention has been given to the areas of overlay, throughput, defectivity, and mask replication. This paper reviews progress in these critical areas. Recent demonstrations have proven that mix and match overlay of less than 5nm can achieved. Further reductions require a higher order correction system. Modeling and experimental data are presented which provide a path towards reducing the overlay errors to less than 3nm. Throughput is mainly impacted by the fill time of the relief images on the mask. Improvement in resist materials provides a solution that allows 15 wafers per hour per station, or a tool throughput of 60 wafers per hour. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. Finally, on the mask side, a new replication tool, the FPA-1100NR2 is

  20. 77 FR 5849 - Manufacturer of Controlled Substances; Notice of Registration

    Science.gov (United States)

    2012-02-06

    ... (DEA) to be registered as a bulk manufacturer of Remifentanil (9739), the basic class of controlled substance in schedule II. The company plans to utilize this facility to manufacture small quantities of the... manufacturing facility in West Deptford, New Jersey. The controlled substances manufactured in bulk at this...

  1. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  2. Semiconductor relay and its manufacture method. Handotai relay oyobi sono seizo hoho

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, M

    1993-06-01

    The invention relates to a semiconductor relay in which a light emitting diode and a photovoltaic element are arranged in the opposite positions and connected with a light connection and aims to present a light transmission path to transmit input signals to the light emitting diode to the side of the photovoltaic element with a negligible light loss effectively. The invention presents a semiconductor relay, in which a light emitting diode loaded on the first lead frame and the light receiving part of the photovoltaic element to drive a MOSFET element loaded on the second lead frame and acting as a switch element are connected through an insulator tube with an opaque outer wall, and the interior of the insulator tube is filled with a transparent insulating filler, so that the invention affords a light transmission path without light leakage from the interior of the opaque insulator tube and with the stability in the form and no light loss. 3 figs.

  3. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  4. Applications of Nuclear Reaction Analysis for Semiconductor Industry

    International Nuclear Information System (INIS)

    Wei Luncun

    2003-01-01

    Many thin film samples used in the semiconductor industry contain C, N and O. The detection limits and accuracy obtained by Rutherford Backscattering Spectroscopy (RBS) measurement are limited due to the small cross section values. High energy non-Rutherford backscattering is often used to enhance the sensitivities. But non-Rutherford cross section values are irregular and can not be calculated as normal Rutherford backscattering values. It is also difficult to find an appropriate energy window that for all these elements, and high-energy ions are needed. In this paper, the Nuclear Reaction Analysis (NRA) method is used to simultaneously measure C, N and O. several applications in the semiconductor research, development, and manufacturing areas are presented

  5. Cancer and reproductive risks in the semiconductor industry.

    Science.gov (United States)

    LaDou, Joseph; Bailar, John C

    2007-01-01

    Although many reproductive toxicants and carcinogens are used in the manufacture of semiconductor chips, and worrisome findings have been reported, no broad epidemiologic study has been conducted to define possible risks in a comprehensive way. With few exceptions, the American semiconductor industry has not supported access for independent studies. Older technologies are exported to newly industrialized countries as newer technologies are installed in Japan, the United States, and Europe. Thus there is particular concern about the many workers, mostly in countries that are still industrializing, who have jobs that use chemicals, technologies, and equipment that are no longer in use in developed countries. Since most countries lack cancer registries and have inadequate reproductive and cancer reporting mechanisms, industry efforts to control exposures to carcinogens are of particular importance. Government agencies, the courts, industry, publishers, and academia, on occasion, collude to ignore or to downplay the importance of occupational diseases. Examples of how this happens in the semiconductor industry are presented.

  6. Evaluation of efficiency of a semiconductor gamma camera

    CERN Document Server

    Otake, H; Takeuchi, Y

    2002-01-01

    We evaluation basic characteristics of a compact type semiconductor gamma camera (eZ-SCOPE AN) of Cadmium Zinc Telluride (CdZnTe). This new compact gamma camera has 256 semiconductors representing the same number of pixels. Each semiconductor is 2 mm square and is located in 16 lines and rows on the surface of the detector. The specific performance characteristics were evaluated in the study referring to National Electrical Manufactures Association (NEMA) standards; intrinsic energy resolution, intrinsic count rate performance, integral uniformity, system planar sensitivity, system spatial resolution, and noise to the neighboring pixels. The intrinsic energy resolution measured 5.7% as full width half maximum (FWHM). The intrinsic count rate performance ranging from 17 kcps to 1,285 kcps was evaluated, but the highest intrinsic count rate was not observed. Twenty percents count loss was recognized at 1,021 kcps. The integral uniformity was 1.3% with high sensitivity collimator. The system planar sensitivity w...

  7. Potential criticality accident at the General Electric Nuclear Fuel and Component Manufacturing Facility, May 29, 1991

    International Nuclear Information System (INIS)

    1991-08-01

    At the General Electric Nuclear Fuel and Component Manufacturing facility, located near Wilmington, North Carolina, on May 28 and 29, 1991, approximately 150 kilograms of uranium were inadvertently transferred from safe process tanks to an unsafe tank located at the waste treatment facility, thus creating the potential for a localized criticality safety problem. The excess uranium was ultimately safely recovered when the tank contents were centrifuged to remove the uranium-bearing material. Subsequently, the US Nuclear Regulatory Commission dispatched an Incident Investigation Team to determine what happened, to identify probable causes, and to make appropriate findings and conclusions. This report describes the incident, the methodology used by the team in its investigation, and presents the team's findings and conclusions. 48 figs., 8 tabs

  8. Power electronic modules design and manufacture

    CERN Document Server

    Sheng, William W

    2004-01-01

    IntroductionSelection ProcedureMaterialsInsulating Substrate and MetallizationBase PlateBonding MaterialPower Interconnection and TerminalEncapsulantPlastic Case and Cover Manufacturing of Power IGBT ModulesManufacturing Process Process Control/Long-Term ReliabilityManufacturing FacilitiesManufacturing Flow Charts DesignThermal ManagementCircuit PartitioningDesign Guidelines and ConsiderationsThermal Results of Different Samples

  9. Manufacturing of nuclear power components in CDM

    International Nuclear Information System (INIS)

    Krishnan, J.; Jawale, S.B.

    2002-01-01

    Full text: In the nuclear research programme in India, Dr. H.J. Bhabha, the architecture of the Indian Nuclear programme felt a need for proto-type development and precision manufacturing facility to fulfill the requirements of mechanical components in establishing the manufacturing capability for the successful and self sustained nuclear programme. Centre for Design and Manufacture (CDM) hitherto known as CWS was established in 1964 to cater to the specific requirements of DAE and other associated units like ISRO, DRDO. Since then CDM has made multiple technological achievements and changes towards high quality products. The acquisition of up-to-date machines during High-Tech facility under VIII Plan project and Advance Precision Fabrication facility under IX Plan project has changed the capability of CDM towards CAD, CAM, CAE and CNC machining centres. Considering the rapid growth in the design and manufacturing, it was renamed as Centre for Design and Manufacture in March 2002, with the mission of quality output through group effort and team work

  10. Manufacturing and test of a low cost polypropylene bag to reduce the radioactive gas released by a radiopharmaceutical production facility

    Energy Technology Data Exchange (ETDEWEB)

    Tavares, Jose Carlos Freitas; Lacerda, Marco Aurelio de Sousa, E-mail: jcft@cdtn.b, E-mail: masl@cdtn.b [Centro de Desenvolvimento da Tecnologia Nuclear (SEPRA/ CDTN/CNEN-MG) Belo Horizonte, MG (Brazil). Servico de Protecao Radiologica; Nascimento, Leonardo Tafas Constantino do; Silva, Juliana Batista da, E-mail: ltcn@cdtn.b, E-mail: silvajb@cdtn.b [Centro de Desenvolvimento da Tecnologia Nuclear (SECPRA/ CDTN/CNEN-MG) Belo Horizonte, MG (Brazil). Secao de Producao de Radiofarmacos

    2011-07-01

    The main objective of this work was to evaluate the efficiency of a plastic gas storage bag to reduce the radioactive gas released by the chimney of a radiopharmaceutical production facility during the 2-[{sup 18}F]fluoro-2- deoxy-D-glucose ({sup 18}FDG) synthesis. The studied facility was the Development Centre of Nuclear Technology (CDTN/CNEN) in Belo Horizonte, Brazil. The bag was manufactured utilizing foils of polypropylene of 360 x 550 x 0.16 mm and disposable components of the cassette of the synthesizer. Two synthesis of {sup 18}FDG were done using the same hot cell and synthesizer to evaluate the efficiency of the bag. The manufactured bag was put in the gas exit of the synthesizer and the activity reported by the online radiation monitoring system in the first synthesis. These results were compared to the activity released in a synthesis performed without the bag. We observed when the bag was used the amount released was about 0.2% in 270 minutes. The second synthesis was performed without the bag, about 7,1% of the input activity was released by the exhaust of the facility in the same time interval. The bag presented a very good efficiency in the reducing of the radioactive gas released by the chimney of the radiopharmaceutical production facility. (author)

  11. Manufacturing and test of a low cost polypropylene bag to reduce the radioactive gas released by a radiopharmaceutical production facility

    International Nuclear Information System (INIS)

    Tavares, Jose Carlos Freitas; Lacerda, Marco Aurelio de Sousa; Nascimento, Leonardo Tafas Constantino do; Silva, Juliana Batista da

    2011-01-01

    The main objective of this work was to evaluate the efficiency of a plastic gas storage bag to reduce the radioactive gas released by the chimney of a radiopharmaceutical production facility during the 2-[ 18 F]fluoro-2- deoxy-D-glucose ( 18 FDG) synthesis. The studied facility was the Development Centre of Nuclear Technology (CDTN/CNEN) in Belo Horizonte, Brazil. The bag was manufactured utilizing foils of polypropylene of 360 x 550 x 0.16 mm and disposable components of the cassette of the synthesizer. Two synthesis of 18 FDG were done using the same hot cell and synthesizer to evaluate the efficiency of the bag. The manufactured bag was put in the gas exit of the synthesizer and the activity reported by the online radiation monitoring system in the first synthesis. These results were compared to the activity released in a synthesis performed without the bag. We observed when the bag was used the amount released was about 0.2% in 270 minutes. The second synthesis was performed without the bag, about 7,1% of the input activity was released by the exhaust of the facility in the same time interval. The bag presented a very good efficiency in the reducing of the radioactive gas released by the chimney of the radiopharmaceutical production facility. (author)

  12. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  13. Manufacturing a submicron structure using a liquid precursor

    NARCIS (Netherlands)

    Ishihara, R.; Van de Zwan, M.; Trifunovic, M.

    2014-01-01

    Methods for manufacture of a submicron semiconductor structure on a substrate are described. The method may comprise: forming at least one template layer over a support substrate; forming one or more template structures, preferably one or more recesses and/or mesas, in said template layer, said one

  14. Janus droplets: liquid marbles coated with dielectric/semiconductor particles.

    Science.gov (United States)

    Bormashenko, Edward; Bormashenko, Yelena; Pogreb, Roman; Gendelman, Oleg

    2011-01-04

    The manufacturing of water droplets wrapped with two different powders, carbon black (semiconductor) and polytetrafluoroethylene (dielectric), is presented. Droplets composed of two hemispheres (Janus droplets) characterized by various physical and chemical properties are reported first. Watermelon-like striped liquid marbles are reported. Janus droplets remained stable on solid and liquid supports and could be activated with an electric field.

  15. Electron beam writing on semiconductors

    International Nuclear Information System (INIS)

    Bierhenke, H.; Kutzer, E.; Pascher, A.; Plitzner, H.; Rummel, P.; Siemens A.G., Muenchen; Siemens A.G., Muenchen

    1979-08-01

    Reported are the results of the 3 1/2 year research project 'Electron beam Writing on Semiconductors'. Work has been done in the field of direct wafer exposure techniques, and of mask making. Described are resist technology, setting up of a research device, exploration of alignment procedures, manufacturing of devices and their radiation influence. Furthermore, investigations and measurements of an electron beam machine bought for mask making purposes, the development of LSI-circuits with this machine, the software necessary and important developments of digital subsystems are reported. (orig.) [de

  16. Neutron and gamma irradiation effects on power semiconductor switches

    International Nuclear Information System (INIS)

    Schwarze, G.E.; Frasca, A.J.

    1990-01-01

    The performance characteristics of high power semiconductor switches subjected to high levels of neutron fluence and gamma dose must be known by the designer of the power conditioning, control and transmission subsystem of space nuclear power systems. Location and the allowable shielding mass budget will determine the level of radiation tolerance required by the switches to meet performance and reliability requirements. Neutron and gamma ray interactions with semiconductor materials and how these interactions affect the electrical and switching characteristics of solid state power switches is discussed. The experimental measurement system and radiation facilities are described. Experimental data showing the effects of neutron and gamma irradiation on the performance characteristics are given for power-type NPN bipolar junction transistors (BJTs), and metal-oxide-semiconductor field effect transistors (MOSFETs)

  17. Manufacturing progress of EDIPO. A Nb{sub 3}Sn-dipole for the ITER conductor test facility

    Energy Technology Data Exchange (ETDEWEB)

    Borlein, M.; Amend, J.; Theisen, W.; Walter, W. [Babcock Noell GmbH, Wuerzburg (Germany); Baker, W.; Fernandez-Cano, E.; Portone, A.; Salpietro, E. [Fusion For Energy F4E, Barcelona (Spain)

    2010-05-15

    ITER (International Thermonuclear Experimental Reactor) is a joint international research and development project that aims to demonstrate the scientific and technical feasibility of fusion power. For the construction of ITER and the manufacturing of its components, high quality standards must be met. Especially the coils of the magnet system - the heart of the ITER machine - are unique in size and complexity. Therefore the magnet coil manufacturing must be followed by a lot of quality measures. One of the necessary tests is the control of the conductor - to be sure that the conductor fulfils the technical performance needed for a proper magnet operation. As the conductor will experience a magnetic field of approx. 12-13 T during operation, it has to be tested within a magnetic background field. The European Dipole-magnet, called EDIPO, will be the heart of this conductor test facility which will be constructed at the CRPP Villigen (CH). Following the presentation, given in the Annual Meeting on Nuclear Technology in 2007, this paper shows the current status of the manufacturing of this complex Nb{sub 3}Sn-Magnet. At first, the design of the EDIPO shall be described. (orig.)

  18. An analysis of workplace exposures to benzene over four decades at a petrochemical processing and manufacturing facility (1962-1999).

    Science.gov (United States)

    Sahmel, J; Devlin, K; Burns, A; Ferracini, T; Ground, M; Paustenbach, D

    2013-01-01

    Benzene, a known carcinogen, can be generated as a by-product during the use of petroleum-based raw materials in chemical manufacturing. The aim of this study was to analyze a large data set of benzene air concentration measurements collected over nearly 40 years during routine employee exposure monitoring at a petrochemical manufacturing facility. The facility used ethane, propane, and natural gas as raw materials in the production of common commercial materials such as polyethylene, polypropylene, waxes, adhesives, alcohols, and aldehydes. In total, 3607 benzene air samples were collected at the facility from 1962 to 1999. Of these, in total 2359 long-term (>1 h) personal exposure samples for benzene were collected during routine operations at the facility between 1974 and 1999. These samples were analyzed by division, department, and job title to establish employee benzene exposures in different areas of the facility over time. Sampling data were also analyzed by key events over time, including changes in the occupational exposure limits (OELs) for benzene and key equipment process changes at the facility. Although mean benzene concentrations varied according to operation, in nearly all cases measured benzene quantities were below the OEL in place at the time for benzene (10 ppm for 1974-1986 and 1 ppm for 1987-1999). Decreases in mean benzene air concentrations were also found when data were evaluated according to 7- to 10-yr periods following key equipment process changes. Further, an evaluation of mortality rates for a retrospective employee cohort (n = 3938) demonstrated that the average personal benzene exposures at this facility (0.89 ppm for the period 1974-1986 and 0.125 ppm for the period 1987-1999) did not result in increased standardized mortality ratio (SMRs) for diseases or malignancies of the lymphatic system. The robust nature of this data set provides comprehensive exposure information that may be useful for assessing human benzene exposures at

  19. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying; Tee, Benjamin C-K.; Giri, Gaurav; Xu, Jie; Kim, Do Hwan; Becerril, Hector A.; Stoltenberg, Randall M.; Lee, Tae Hoon; Xue, Gi; Mannsfeld, Stefan C. B.; Bao, Zhenan

    2013-01-01

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control

  20. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  1. Advanced Manufacturing Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — The Advanced Manufacturing Laboratory at the University of Maryland provides the state of the art facilities for realizing next generation products and educating the...

  2. Characterization of contaminated nuclear sites, facilities and materials: radioisotope and radiopharmaceutical manufacturers and suppliers. Final report

    International Nuclear Information System (INIS)

    1983-01-01

    The Environmental Protection Agency (EPA) is developing environmental protection standards for evaluating the risks and characterizing problems associated with disposal of radioactive wastes arising from decontamination and decommissioning DandD operations. Information on operations conducted at sites authorized to possess radioactive materials for the production and/or distribution of radioisotopes and radiopharmaceuticals was compiled and evaluated. This information was used to project the types, nature, and volumes of wastes which are likely to be generated during decontamination and decommissioning at representative facilities and identifying special problems that may occur. Radioisotope and radiopharmaceutical manufacturers have been grouped together because decommissioning operations will be similar. Nuclear pharmacies were also evaluated because of their increasing numbers and their role as middlemen between manufacturers and users of radiopharmaceuticals. The majority of the radioactive waste will arise from the decontamination of the laboratories, rather than the disposal of components

  3. Safety procedures used during the manufacturing of amorphous silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Dickson, C R

    1987-01-01

    The Solarex Thin Film Division is a leader in the manufacturing of amorphous-silicon products for sale in domestic and foreign markets. Similarly, Solarex assumes a leadership role in recognizing the importance of safety in a manufacturing environment. Although many of the safety issues are similar to those in the semiconductor industry, this paper presents topics specific to amorphous silicon technology and the manufacturing ,f amorphous-silicon products. These topics are deposition of conducting transparent oxides (CTOs), amorphous silicon deposition, laser scribing, processing chemicals, fire prevention and administrative responsibilities.

  4. Pre-commissioning, commissioning, start-up and operation of a major extension to an LNG manufacturing facility in Bintulu, Sarawak

    International Nuclear Information System (INIS)

    Wong, T.

    1997-01-01

    In 1989, a decision was taken by the Shareholders of Malaysia LNG Sdn Bhd (MLNG) to expand their existing LNG manufacturing facility of some 8.0 million tonnes per annum, and to minimise the capital investment by maximizing the use of available off-plot facilities and utilities, together with the introduction of proven technological enhancements. Accordingly a new Company (MLNG Dua) was set up to own and manage this project and joint venture between existing shareholders. This paper describes the organisation, planning, and execution of the precommissioning, commissioning, start-up, and operation of the off-plot facilities, integrated utilities, and the first new process module, such that on-grade LNG rundown into MLNG's existing storage capacity was achieved within 26 days of the process module being signed off as Ready for Start-up (RFSU). (au)

  5. Space station automation study: Automation requriements derived from space manufacturing concepts,volume 2

    Science.gov (United States)

    1984-01-01

    Automation reuirements were developed for two manufacturing concepts: (1) Gallium Arsenide Electroepitaxial Crystal Production and Wafer Manufacturing Facility, and (2) Gallium Arsenide VLSI Microelectronics Chip Processing Facility. A functional overview of the ultimate design concept incoporating the two manufacturing facilities on the space station are provided. The concepts were selected to facilitate an in-depth analysis of manufacturing automation requirements in the form of process mechanization, teleoperation and robotics, sensors, and artificial intelligence. While the cost-effectiveness of these facilities was not analyzed, both appear entirely feasible for the year 2000 timeframe.

  6. Industrial Manufacturing Facilities, Located during MicroData field address collection 2004-2006. Kept in Spillman database for retrieval., Published in 2004, Vilas County Government.

    Data.gov (United States)

    NSGIC Local Govt | GIS Inventory — Industrial Manufacturing Facilities dataset current as of 2004. Located during MicroData field address collection 2004-2006. Kept in Spillman database for retrieval..

  7. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  8. Improvements in Operational Readiness by Distributing Manufacturing Capability in the Supply Chain through Additive Manufacturing

    Science.gov (United States)

    2017-12-01

    Second, the report presents the results of laboratory tests designed to evaluate additive manufacturing time of four repair parts. C. RESEARCH...since the production repeatability and reliability of the parts are not well understood (Merritt 2015, 9). The Army’s Additive Manufacturing ...that has a single factory that produces all of their products . This definition is expanded to include a network of manufacturing facilities where each

  9. Production of recombinant antigens and antibodies in Nicotiana benthamiana using 'magnifection' technology: GMP-compliant facilities for small- and large-scale manufacturing.

    Science.gov (United States)

    Klimyuk, Victor; Pogue, Gregory; Herz, Stefan; Butler, John; Haydon, Hugh

    2014-01-01

    This review describes the adaptation of the plant virus-based transient expression system, magnICON(®) for the at-scale manufacturing of pharmaceutical proteins. The system utilizes so-called "deconstructed" viral vectors that rely on Agrobacterium-mediated systemic delivery into the plant cells for recombinant protein production. The system is also suitable for production of hetero-oligomeric proteins like immunoglobulins. By taking advantage of well established R&D tools for optimizing the expression of protein of interest using this system, product concepts can reach the manufacturing stage in highly competitive time periods. At the manufacturing stage, the system offers many remarkable features including rapid production cycles, high product yield, virtually unlimited scale-up potential, and flexibility for different manufacturing schemes. The magnICON system has been successfully adaptated to very different logistical manufacturing formats: (1) speedy production of multiple small batches of individualized pharmaceuticals proteins (e.g. antigens comprising individualized vaccines to treat NonHodgkin's Lymphoma patients) and (2) large-scale production of other pharmaceutical proteins such as therapeutic antibodies. General descriptions of the prototype GMP-compliant manufacturing processes and facilities for the product formats that are in preclinical and clinical testing are provided.

  10. Instrumentation for characterizing materials and composed semiconductors for ionizing radiation detectors

    International Nuclear Information System (INIS)

    Paschoal, Arquimedes J.A.; Leite, Adolfo M.B.; Nazzre, Fabio V.B.; Santos, Luiz A.P.

    2007-01-01

    The purpose of this work is the development of instrumentation for characterizing some type of ionizing radiation detectors. Those detectors are being manufactured by the Nuclear Instrumentation Laboratory at CRCN/Recife and can be used both on photon beam and with particles. Such detectors consist of semiconductor material in the form of films generated by oxide growing or by means of semiconductor material deposition in a substrate. Those materials can be made of metals, semi-metals, composites or semiconductor polymers. Prior to expose those detectors to ionizing radiation, it must be physically and electrically characterized. In this intention it was developed an electromechanical system. An electrical circuit was built to measure the signal from the detector and another circuit to control the movement of four probes (4-points technique) by using a stepper motor and the micro stepping technique avoiding damage to the detector. This system can be of interest to researchers that work with a sort of semiconductor materials in the form of thin film and in nanotechnological processes aiming the design of radiation ionizing detectors. (author)

  11. Single-use disposable technologies for biopharmaceutical manufacturing.

    Science.gov (United States)

    Shukla, Abhinav A; Gottschalk, Uwe

    2013-03-01

    The manufacture of protein biopharmaceuticals is conducted under current good manufacturing practice (cGMP) and involves multiple unit operations for upstream production and downstream purification. Until recently, production facilities relied on the use of relatively inflexible, hard-piped equipment including large stainless steel bioreactors and tanks to hold product intermediates and buffers. However, there is an increasing trend towards the adoption of single-use technologies across the manufacturing process. Technical advances have now made an end-to-end single-use manufacturing facility possible, but several aspects of single-use technology require further improvement and are continually evolving. This article provides a perspective on the current state-of-the-art in single-use technologies and highlights trends that will improve performance and increase the market penetration of disposable manufacturing in the future. Copyright © 2012 Elsevier Ltd. All rights reserved.

  12. Pellet to Part Manufacturing System for CNCs

    Energy Technology Data Exchange (ETDEWEB)

    Roschli, Alex C. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Love, Lonnie J. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Post, Brian K. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Chesser, Phillip C. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Lloyd, Peter D. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Bandari, Yashwanth Kumar [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Jones, Jason [Hybrid Manufacturing Technologies, Swadlincote (United Kingdom); Gaul, Katherine T. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2018-03-14

    Oak Ridge National Laboratory’s Manufacturing Demonstration Facility worked with Hybrid Manufacturing Technologies to develop a compact prototype composite additive manufacturing head that can effectively extrude injection molding pellets. The head interfaces with conventional CNC machine tools enabling rapid conversion of conventional machine tools to additive manufacturing tools. The intent was to enable wider adoption of Big Area Additive Manufacturing (BAAM) technology and combine BAAM technology with conventional machining systems.

  13. Chalon/Saint-Marcel manufacturing plant

    International Nuclear Information System (INIS)

    2008-01-01

    AREVA is the world leader in the design and construction of nuclear power plants, the manufacture of heavy components, and the supply of nuclear fuel and nuclear services such as maintenance and inspection. The Equipment Division provides the widest range of nuclear components and equipment, manufactured at its two facilities in Jeumont, northern France, and St. Marcel, in Burgundy. The St. Marcel plant, set on 35 ha (87.5 acres) near Chalon-sur-Saone, was established in 1973 in a region with a long history of specialized metalworking and mechanical activities to meet the demand for non-military nuclear requirements in France. The site offers two advantages: - excellent facilities for loading and transporting heavy components on the Saone river, - it's proximity to other group sites. Since its completion in 1975, the Chalon/St. Marcel facility has manufactured all the heavy components for French pressurized water reactors (PWRs) ranging from 900 MW to 1500 MW. It has also completed a significant number of export contracts that have made AREVA world leader. Nearly 600 heavy components (reactor vessels, steam generators, pressurizers and closure heads) have been manufactured or are currently being manufactured since the plant opened in 1975. The plant is at the heart of the manufacturing chain for nuclear steam supply systems (NSSS) supplied by AREVA. On the basis of engineering data, the plant manufactures reactor vessels, reactor vessel internals, steam generators, pressurizers and related components such as accumulators, auxiliary heat exchangers and supporting elements. Vessel upper internals Other similar components such as reactor vessels for boiling water reactors (BWR) or high temperature reactors (HTR) and other types of steam generators can also be manufactured in the plant (for example Once Through Steam Generators - OTSG). The basic activities performed at Chalon/St. Marcel are metalworking and heavy machining. These activities are carried out in strict

  14. Low Cost Lithography Tool for High Brightness LED Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Andrew Hawryluk; Emily True

    2012-06-30

    The objective of this activity was to address the need for improved manufacturing tools for LEDs. Improvements include lower cost (both capital equipment cost reductions and cost-ofownership reductions), better automation and better yields. To meet the DOE objective of $1- 2/kilolumen, it will be necessary to develop these highly automated manufacturing tools. Lithography is used extensively in the fabrication of high-brightness LEDs, but the tools used to date are not scalable to high-volume manufacturing. This activity addressed the LED lithography process. During R&D and low volume manufacturing, most LED companies use contact-printers. However, several industries have shown that these printers are incompatible with high volume manufacturing and the LED industry needs to evolve to projection steppers. The need for projection lithography tools for LED manufacturing is identified in the Solid State Lighting Manufacturing Roadmap Draft, June 2009. The Roadmap states that Projection tools are needed by 2011. This work will modify a stepper, originally designed for semiconductor manufacturing, for use in LED manufacturing. This work addresses improvements to yield, material handling, automation and throughput for LED manufacturing while reducing the capital equipment cost.

  15. Game Analysis of Determinants of Stability of Semiconductor Modular Production Networks

    Directory of Open Access Journals (Sweden)

    Wei He

    2014-07-01

    Full Text Available In today’s rapidly changing environment, semiconductor manufacturers compete more in the area of modular production networks. However, the instability of semiconductor modular production networks can to a large extent lead to the failure of these networks. The aim of this paper is to discuss the significance and explore the maintenance of the stability of these semiconductor modular production networks. Firstly, this paper qualitatively and quantitatively defines the stability of semiconductor modular production networks. Based on this, by establishing game models, this paper analyzes the influence mechanism of the main factors: external market fluctuation, the internal benefit allocation mechanism, and opportunism, which can jeopardize the stability of these networks. We find that: the greater the benefits a member enterprise derives from the common benefits, the more likely it is the member enterprise will not exit the modular production network; the adaptive ability of the networks to the external environment is closely related to the stability of the modular production networks; the supervision and punishment in networks can be substituted for each other and the level of supervision, punishment and trust can exert great influence on the stability of semiconductor modular production networks. Lastly, we propose some specific suggestions.

  16. Future semiconductor material requirements and innovations as projected in the ITRS 2005 roadmap

    International Nuclear Information System (INIS)

    Arden, Wolfgang

    2006-01-01

    The international technology roadmap for semiconductors (ITRS) is a joint global effort of the semiconductor industry, the manufacturing equipment and material industry and the research community and consortia to define the future requirements and development of the semiconductor technology for the next 15 years. The ITRS started in 1992 as a US-national roadmap and became an international effort in 1998 with all major five industrial global regions (US, Japan, Taiwan, Korea and Europe) participating in its definition. The outlook in semiconductor manufacturing expects the continuous application of silicon technology for the next 15 years where complementary metal oxide semiconductor (CMOS) based devices will carry the development of the industry at least for one more decade. New device architectures and concepts based on silicon wafer material are being developed to support the development of the IC industry for another one or two decade. The major section of the ITRS contains technical information about frontend processing and interconnects, device structures and memory concepts, lithography and metrology as well as factory integration and environmental issues. This paper will review the material requirements and the expected material innovations for the industry as outlined in the ITRS Version 2005. Materials to be discussed are, for example, high permittivity gate dielectrics, insulating layers with low dielectric constants for interconnects, and capacitor dielectrics for dynamic memories. In addition, the paper will address, for example, new transistor gate materials, new solutions for interconnect systems beyond copper as well as new starting materials for wafer sizes beyond 300 mm. This publication was presented as an invited paper in the Symposium V of the 2006 spring meeting of the European Materials Research Society (E-MRS) in Nice, May 29th

  17. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  18. Facility effluent monitoring plan determinations for the 400 Area facilities

    International Nuclear Information System (INIS)

    Nickels, J.M.

    1991-09-01

    This Facility Effluent Monitoring Plan determination resulted from an evaluation conducted for the Westinghouse Hanford Company 400 Area facilities on the Hanford Site. The Facility Effluent Monitoring Plan determinations have been prepared in accordance with A Guide for Preparing Hanford Site Facility Effluent Monitoring Plans. Two major Westinghouse Hanford Company facilities in the 400 Area were evaluated: the Fast Flux Test Facility and the Fuels Manufacturing and examination Facility. The determinations were prepared by Westinghouse Hanford Company. Of these two facilities, only the Fast Flux Test Facility will require a Facility Effluent Monitoring Plan. 7 refs., 5 figs., 4 tabs

  19. Use of fused deposit modeling for additive manufacturing in hospital facilities: European certification directives.

    Science.gov (United States)

    Otero, Joel J; Vijverman, An; Mommaerts, Maurice Y

    2017-09-01

    The goal of this study was to identify current European Union regulations governing hospital-based use of fused deposit modeling (FDM), as implemented via desktop three-dimensional (3D) printers. Literature and Internet sources were screened, searching for official documents, regulations/legislation, and views of specialized attorneys or consultants regarding European regulations for 3D printing or additive manufacturing (AM) in a healthcare facility. A detailed review of the latest amendment (2016) of the European Parliament and Council legislation for medical devices and its classification was performed, which has regularly updated published guidelines for medical devices, that are classified by type and duration of patient contact. As expected, regulations increase in accordance with the level (I-III) of classification. Custom-made medical devices are subject to different regulations than those controlling serially mass-produced items, as originally specified in 98/79/EC European Parliament and Council legislation (1993) and again recently amended (2016). Healthcare facilities undertaking in-house custom production are not obliged to fully follow the directives as stipulated, given an exception for this scenario (Article 4.4a, 98/79/EC). Patient treatment and diagnosis with the aid of customized 3D printing in a healthcare facility can be performed without fully meeting the European Parliament and Council legislation if the materials used are ISO 10993 certified and article 4.4a applies. Copyright © 2017 European Association for Cranio-Maxillo-Facial Surgery. Published by Elsevier Ltd. All rights reserved.

  20. COMMERCIAL DEMONSTRATION OF THE MANUFACTURED AGGREGATE PROCESSING TECHNOLOGY UTILIZING SPRAY DRYER ASH

    Energy Technology Data Exchange (ETDEWEB)

    Roy Scandrol

    2003-10-01

    Universal Aggregates, LLC proposes to design, construct and operate a lightweight aggregate manufacturing plant at the Birchwood Power Facility in King George, Virginia. The installation and start-up expenses for the Birchwood Aggregate Facility are $19.5 million. The DOE share is $7.2 million (37%) and the Universal Aggregates share is $12.3 (63%). The project team consists of CONSOL Energy Inc., P.J. Dick, Inc., SynAggs, LLC, and Universal Aggregates, LLC. The Birchwood Facility will transform 115,000 tons per year of spray dryer by-products that are currently being disposed of in an offsite landfill into 167,000 tons of a useful product, lightweight aggregates that can be used to manufacture lightweight aggregates that can be used to manufacture lightweight and medium weight masonry blocks. In addition to the environmental benefits, the Birchwood Facility will create nine (9) manufacturing jobs plus additional employment in the local trucking industry to deliver the aggregate to customers or reagents to the facility. A successful demonstration would lead to additional lightweight aggregate manufacturing facilities in the United States. There are currently twenty-one (21) spray dryer facilities operating in the United States that produce an adequate amount of spray dryer by-product to economically justify the installation of a lightweight aggregate manufacturing facility. Industry sources believe that as additional scrubbing is required, dry FGD technologies will be the technology of choice. Letters from potential lightweight aggregate customers indicate that there is a market for the product once the commercialization barriers are eliminated by this demonstration project.

  1. COMMERCIAL DEMONSTRATION OF THE MANUFACTURED AGGREGATE PROCESSING TECHNOLOGY UTILIZING SPRAY DRYER ASH

    Energy Technology Data Exchange (ETDEWEB)

    Roy Scandrol

    2003-04-01

    Universal Aggregates, LLC proposes to design, construct and operate a lightweight aggregate manufacturing plant at the Birchwood Power Facility in King George, Virginia. The installation and start-up expenses for the Birchwood Aggregate Facility are $19.5 million. The DOE share is $7.2 million (37%) and the Universal Aggregates share is $12.3 (63%). The project team consists of CONSOL Energy Inc., P.J. Dick, Inc., SynAggs, LLC, and Universal Aggregates, LLC. The Birchwood Facility will transform 115,000 tons per year of spray dryer by-products that are currently being disposed of in an offsite landfill into 167,000 tons of a useful product, lightweight aggregates that can be used to manufacture lightweight aggregates that can be used to manufacture lightweight and medium weight masonry blocks. In addition to the environmental benefits, the Birchwood Facility will create eight (8) manufacturing jobs plus additional employment in the local trucking industry to deliver the aggregate to customers or reagents to the facility. A successful demonstration would lead to additional lightweight aggregate manufacturing facilities in the United States. There are currently twenty-one (21) spray dryer facilities operating in the United States that produce an adequate amount of spray dryer by-product to economically justify the installation of a lightweight aggregate manufacturing facility. Industry sources believe that as additional scrubbing is required, dry flue gas desulfurization (FGD) technologies will be the technology of choice. Letters from potential lightweight aggregate customers indicate that there is a market for the product once the commercialization barriers are eliminated by this demonstration project.

  2. Multi-analytical study of historical semiconductor pigments

    International Nuclear Information System (INIS)

    Caporosso, V.

    2015-01-01

    This work is focused on the study of semiconductor-based pigments, which substituted traditional pigments in the second half of the 19. century. Synthetic semiconductor pigments may be chemically unstable due to the presence of many impurities unintentionally introduced during manufacturing. The aim of this work is to provide an insight on the application of X-ray Fluorescence (XRF) for the analysis of these painting materials, including both Cd- and Zn-based pigments. Three different approaches have been followed: the semi-quantitative analysis of samples with similar elemental composition, the complementary use of XRF and Raman spectroscopy for the analysis of elemental and molecular composition and the synchrotron-based XRF and XANES for the detection of impurities. The synergetic combination of different techniques provides information useful for the definition of specific markers for future analysis of paint-samples with implications for the conservation and treatment of late 19. and early 20. century paintings.

  3. Persistence of organochlorine chemical residues in fish from the Tombigbee River (Alabama, USA): Continuing risk to wildlife from a former DDT manufacturing facility

    International Nuclear Information System (INIS)

    Hinck, Jo Ellen; Norstrom, Ross J.; Orazio, Carl E.; Schmitt, Christopher J.; Tillitt, Donald E.

    2009-01-01

    Organochlorine pesticide and total polychlorinated biphenyl (PCB) concentrations were measured in largemouth bass from the Tombigbee River near a former DDT manufacturing facility at McIntosh, Alabama. Evaluation of mean p,p'- and o,p'-DDT isomer concentrations and o,p'- versus p,p'-isomer proportions in McIntosh bass indicated that DDT is moving off site from the facility and into the Tombigbee River. Concentrations of p,p'-DDT isomers in McIntosh bass remained unchanged from 1974 to 2004 and were four times greater than contemporary concentrations from a national program. Total DDT in McIntosh bass exceeded dietary effect concentrations developed for bald eagle and osprey. Hexachlorobenzene, PCBs, and toxaphene concentrations in bass from McIntosh also exceeded thresholds to protect fish and piscivorous wildlife. Whereas concentrations of DDT and most other organochlorine chemicals in fish have generally declined in the U.S. since their ban, concentrations of DDT in fish from McIntosh remain elevated and represent a threat to wildlife. - DDT persists in the environment near a former manufacturing facility that ceased production over 40 years ago, and concentrations represent a risk to fish and piscivorous birds in the area

  4. Good Manufacturing Practices (GMP) manufacturing of advanced therapy medicinal products: a novel tailored model for optimizing performance and estimating costs.

    Science.gov (United States)

    Abou-El-Enein, Mohamed; Römhild, Andy; Kaiser, Daniel; Beier, Carola; Bauer, Gerhard; Volk, Hans-Dieter; Reinke, Petra

    2013-03-01

    Advanced therapy medicinal products (ATMP) have gained considerable attention in academia due to their therapeutic potential. Good Manufacturing Practice (GMP) principles ensure the quality and sterility of manufacturing these products. We developed a model for estimating the manufacturing costs of cell therapy products and optimizing the performance of academic GMP-facilities. The "Clean-Room Technology Assessment Technique" (CTAT) was tested prospectively in the GMP facility of BCRT, Berlin, Germany, then retrospectively in the GMP facility of the University of California-Davis, California, USA. CTAT is a two-level model: level one identifies operational (core) processes and measures their fixed costs; level two identifies production (supporting) processes and measures their variable costs. The model comprises several tools to measure and optimize performance of these processes. Manufacturing costs were itemized using adjusted micro-costing system. CTAT identified GMP activities with strong correlation to the manufacturing process of cell-based products. Building best practice standards allowed for performance improvement and elimination of human errors. The model also demonstrated the unidirectional dependencies that may exist among the core GMP activities. When compared to traditional business models, the CTAT assessment resulted in a more accurate allocation of annual expenses. The estimated expenses were used to set a fee structure for both GMP facilities. A mathematical equation was also developed to provide the final product cost. CTAT can be a useful tool in estimating accurate costs for the ATMPs manufactured in an optimized GMP process. These estimates are useful when analyzing the cost-effectiveness of these novel interventions. Copyright © 2013 International Society for Cellular Therapy. Published by Elsevier Inc. All rights reserved.

  5. Optimization of broadband semiconductor chirped mirrors with genetic algorithm

    OpenAIRE

    Dems, M.; Wnuk, P.; Wasylczyk, P.; Zinkiewicz, L.; Wojcik-Jedlinska, A.; Reginski, K.; Hejduk, K.; Jasik, A.

    2016-01-01

    Genetic algorithm was applied for optimization of dispersion properties in semiconductor Bragg reflectors for applications in femtosecond lasers. Broadband, large negative group-delay dispersion was achieved in the optimized design: The group-delay dispersion (GDD) as large as −3500 fs2 was theoretically obtained over a 10-nm bandwidth. The designed structure was manufactured and tested, providing GDD −3320 fs2 over a 7-nm bandwidth. The mirror performance was ...

  6. A similarity score-based two-phase heuristic approach to solve the dynamic cellular facility layout for manufacturing systems

    Science.gov (United States)

    Kumar, Ravi; Singh, Surya Prakash

    2017-11-01

    The dynamic cellular facility layout problem (DCFLP) is a well-known NP-hard problem. It has been estimated that the efficient design of DCFLP reduces the manufacturing cost of products by maintaining the minimum material flow among all machines in all cells, as the material flow contributes around 10-30% of the total product cost. However, being NP hard, solving the DCFLP optimally is very difficult in reasonable time. Therefore, this article proposes a novel similarity score-based two-phase heuristic approach to solve the DCFLP optimally considering multiple products in multiple times to be manufactured in the manufacturing layout. In the first phase of the proposed heuristic, a machine-cell cluster is created based on similarity scores between machines. This is provided as an input to the second phase to minimize inter/intracell material handling costs and rearrangement costs over the entire planning period. The solution methodology of the proposed approach is demonstrated. To show the efficiency of the two-phase heuristic approach, 21 instances are generated and solved using the optimization software package LINGO. The results show that the proposed approach can optimally solve the DCFLP in reasonable time.

  7. 76 FR 70758 - Notice Pursuant to the National Cooperative Research and Production Act of 1993-Sematech, Inc. D...

    Science.gov (United States)

    2011-11-15

    ..., test, make, market, or support materials, equipment, processes, software, systems, or facilities for... enhancing semiconductor manufacturing equipment productivity, contributing to increased automation in the...

  8. Feasibility Study of Nanoscale Semiconductor Manufacture Using Thermal Dip Pen Nanolithography

    National Research Council Canada - National Science Library

    King, William P

    2006-01-01

    ...) for the purpose of nanoscale electronics manufacturing. In this project, we have demonstrated that using the thermal DPN technique that both indium metal, and semiconducting organic materials (PDDT, PVDF...

  9. 78 FR 9884 - Approval of Subzone Status; Zimmer Manufacturing BV; Ponce, Puerto Rico

    Science.gov (United States)

    2013-02-12

    ...; Zimmer Manufacturing BV; Ponce, Puerto Rico Pursuant to its authority under the Foreign-Trade Zones Act... subzone at the facility of Zimmer Manufacturing BV located in Ponce, Puerto Rico (FTZ Docket B-81-2012... hereby approves subzone status at the facility of Zimmer Manufacturing BV located in Ponce, Puerto Rico...

  10. Utility of Big Area Additive Manufacturing (BAAM) For The Rapid Manufacture of Customized Electric Vehicles

    Energy Technology Data Exchange (ETDEWEB)

    Love, Lonnie J [ORNL

    2015-08-01

    This Oak Ridge National Laboratory (ORNL) Manufacturing Development Facility (MDF) technical collaboration project was conducted in two phases as a CRADA with Local Motors Inc. Phase 1 was previously reported as Advanced Manufacturing of Complex Cyber Mechanical Devices through Community Engagement and Micro-manufacturing and demonstrated the integration of components onto a prototype body part for a vehicle. Phase 2 was reported as Utility of Big Area Additive Manufacturing (BAAM) for the Rapid Manufacture of Customized Electric Vehicles and demonstrated the high profile live printing of an all-electric vehicle using ONRL s Big Area Additive Manufacturing (BAAM) technology. This demonstration generated considerable national attention and successfully demonstrated the capabilities of the BAAM system as developed by ORNL and Cincinnati, Inc. and the feasibility of additive manufacturing of a full scale electric vehicle as envisioned by the CRADA partner Local Motors, Inc.

  11. Analysis of phthalate esters in soils near an electronics manufacturing facility and from a non-industrialized area by gas purge microsyringe extraction and gas chromatography

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Wei [MOE Key Laboratory of Environment and Health, Institute of Environmental Medicine, School of Public Health, Tongji Medical College, Huazhong University of Science and Technology, Wuhan, Hubei (China); Hu, Jia [Suzhou Center for Disease Prevention and Control, Suzhou, Jiangsu (China); Wang, Jinqi; Chen, Xuerong; Yao, Na [MOE Key Laboratory of Environment and Health, Institute of Environmental Medicine, School of Public Health, Tongji Medical College, Huazhong University of Science and Technology, Wuhan, Hubei (China); Tao, Jing, E-mail: jingtao1982@126.com [MOE Key Laboratory of Environment and Health, Institute of Environmental Medicine, School of Public Health, Tongji Medical College, Huazhong University of Science and Technology, Wuhan, Hubei (China); Zhou, Yi-Kai, E-mail: zhouyk@mails.tjmu.edu.cn [MOE Key Laboratory of Environment and Health, Institute of Environmental Medicine, School of Public Health, Tongji Medical College, Huazhong University of Science and Technology, Wuhan, Hubei (China)

    2015-03-01

    Here, a novel technique is described for the extraction and quantitative determination of six phthalate esters (PAEs) from soils by gas purge microsyringe extraction and gas chromatography. Recovery of PAEs ranged from 81.4% to 120.3%, and the relative standard deviation (n = 6) ranged from 5.3% to 10.5%. Soil samples were collected from roadsides, farmlands, residential areas, and non-cultivated areas in a non-industrialized region, and from the same land-use types within 1 km of an electronics manufacturing facility (n = 142). Total PAEs varied from 2.21 to 157.62 mg kg{sup −1} in non-industrialized areas and from 8.63 to 171.64 mg kg{sup −1} in the electronics manufacturing area. PAE concentrations in the non-industrialized area were highest in farmland, followed (in decreasing order) by roadsides, residential areas, and non-cultivated soil. In the electronics manufacturing area, PAE concentrations were highest in roadside soils, followed by residential areas, farmland, and non-cultivated soils. Concentrations of dimethyl phthalate (DMP), diethyl phthalate (DEP), and di-n-butyl phthalate (DnBP) differed significantly (P < 0.01) between the industrial and non-industrialized areas. Principal component analysis indicated that the strongest explanatory factor was related to DMP and DnBP in non-industrialized soils and to butyl benzyl phthalate (BBP) and DMP in soils near the electronics manufacturing facility. Congener-specific analysis confirmed that diethylhexyl phthalate (DEHP) was a predictive indication both in the non-industrialized area (r{sup 2} = 0.944, P < 0.01) and the industrialized area (r{sup 2} = 0.860, P < 0.01). The higher PAE contents in soils near the electronics manufacturing facility are of concern, considering the large quantities of electronic wastes generated with ongoing industrialization. - Highlights: • A new method for determining phthalate esters in soil samples was developed. • Investigate six phthalates near an industry and a

  12. Neutron and gamma irradiation effects on power semiconductor switches

    Science.gov (United States)

    Schwarze, G. E.; Frasca, A. J.

    1990-01-01

    The performance characteristics of high power semiconductor switches subjected to high levels of neutron fluence and gamma dose must be known by the designer of the power conditioning, control and transmission subsystem of space nuclear power systems. Location and the allowable shielding mass budget will determine the level of radiation tolerance required by the switches to meet performance and reliability requirements. Neutron and gamma ray interactions with semiconductor materials and how these interactions affect the electrical and switching characteristics of solid state power switches is discussed. The experimental measurement system and radiation facilities are described. Experimental data showing the effects of neutron and gamma irradiation on the performance characteristics are given for power-type NPN Bipolar Junction Transistors (BJTs), and Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs). BJTs show a rapid decrease in gain, blocking voltage, and storage time for neutron irradiation, and MOSFETs show a rapid decrease in the gate threshold voltage for gamma irradiation.

  13. An integrated semiconductor device enabling non-optical genome sequencing.

    Science.gov (United States)

    Rothberg, Jonathan M; Hinz, Wolfgang; Rearick, Todd M; Schultz, Jonathan; Mileski, William; Davey, Mel; Leamon, John H; Johnson, Kim; Milgrew, Mark J; Edwards, Matthew; Hoon, Jeremy; Simons, Jan F; Marran, David; Myers, Jason W; Davidson, John F; Branting, Annika; Nobile, John R; Puc, Bernard P; Light, David; Clark, Travis A; Huber, Martin; Branciforte, Jeffrey T; Stoner, Isaac B; Cawley, Simon E; Lyons, Michael; Fu, Yutao; Homer, Nils; Sedova, Marina; Miao, Xin; Reed, Brian; Sabina, Jeffrey; Feierstein, Erika; Schorn, Michelle; Alanjary, Mohammad; Dimalanta, Eileen; Dressman, Devin; Kasinskas, Rachel; Sokolsky, Tanya; Fidanza, Jacqueline A; Namsaraev, Eugeni; McKernan, Kevin J; Williams, Alan; Roth, G Thomas; Bustillo, James

    2011-07-20

    The seminal importance of DNA sequencing to the life sciences, biotechnology and medicine has driven the search for more scalable and lower-cost solutions. Here we describe a DNA sequencing technology in which scalable, low-cost semiconductor manufacturing techniques are used to make an integrated circuit able to directly perform non-optical DNA sequencing of genomes. Sequence data are obtained by directly sensing the ions produced by template-directed DNA polymerase synthesis using all-natural nucleotides on this massively parallel semiconductor-sensing device or ion chip. The ion chip contains ion-sensitive, field-effect transistor-based sensors in perfect register with 1.2 million wells, which provide confinement and allow parallel, simultaneous detection of independent sequencing reactions. Use of the most widely used technology for constructing integrated circuits, the complementary metal-oxide semiconductor (CMOS) process, allows for low-cost, large-scale production and scaling of the device to higher densities and larger array sizes. We show the performance of the system by sequencing three bacterial genomes, its robustness and scalability by producing ion chips with up to 10 times as many sensors and sequencing a human genome.

  14. Technological assessment of local manufacturers for wind turbine blade manufacturing in Pakistan

    Science.gov (United States)

    Mahmood, Khurram; Haroon, General

    2012-11-01

    Composite materials manufacturing industry is one of the world's hi-tech industry. Manufacturing of wind turbine blades is one of the specialized fields requiring high degree of precision and composite manufacturing techniques. This paper identifies the industries specializing in the composite manufacturing and is able to manufacture wind turbines blades in Pakistan. In the second phase, their technology readiness level is determined, based on some factors and then a readiness level are assigned to them. The assigned technology readiness level will depict the absorptive capacity of each manufacturing unit and its capability to take on such projects. The individual readiness level of manufacturing unit will then be used to establish combined technology readiness level of Pakistan particularly for wind turbine blades manufacturing. The composite manufacturing industry provides many spin offs and a diverse range of products can be manufactured using this facility. This research will be helpful to categorize the strong points and flaws of local industry for the gap analysis. It can also be used as a prerequisite study before the evaluation of technologies and specialties to improve the industry of the country for the most favorable results. This will form a basic data base which can be used for the decision making related to transfer of technology, training of local skilled workers and general up-gradation of the local manufacturing units.

  15. Production of fiberglass/metal composite material suitable for building habitat and manufacturing facilities

    Science.gov (United States)

    1987-01-01

    The production of a fiberglass/metal composite material suitable for building habitats and manufacturing facilities was the project for Clemson. The concept and development of the knowledge necessary to produce glass fibers originated in the spring semester. During the summer, while at Johnson Space Center, fiberglass from a rock composition similar to ones found at the Apollo 16 site on the moon was successfully produced. The project this year was a continuation of last year's studies. We addressed the following problems which emerged as the work progressed: (1) Methods for coating the fibers with a metal were explored. We manufactured composites in two stages: Glass fibers without any coating on them; and fibers coated with metals as they were made. This proved to be a difficult process. Future activities include using a chemical vapor deposition process on fibers which have been made. (2) A glass furnace was developed which relies primarily on solar energy for melting the glass. The temperature of the melted glass is maintained by electrical means. The design is for 250 kg of glass per day. An electrical engineering student developed a scheme for controlling the melting and manufacturing process from the earth. This was done to minimize the human risk. Graphite refractories are relied on to contain the melt. (3) The glass composition chosen for the project is a relatively pure anorthite which is available in the highland regions of the lunar surface. A major problems with this material is that it melts at a comparatively high temperature. This problem will be solved by using graphite refractory materials for the furnace. The advantage of this glass composition is that it is very stable and does not tend to crystallize. (4) We have also refined the experimental furnace and fiber making machinery which we will be using at Johnson Space Center this summer. We believe that we will be able to draw and coat glass fibers in a vacuum for use in composites. We intend to

  16. Fiscal 1998 research achievement report. Development of key technology for high-efficiency semiconductor manufacturing process; 1998 nendo kokoritsu handotai seizo process kiban gijutsu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-05-01

    In the development of large-aperture/high-density plasma technology, research and development was carried out for balanced electron drift plasma technologies for uniform control of plasma density and the like, such as an excited plasma source and plasma drift to enable wide-range plasma generation in a chamber. In the development of high-efficiency exposure technology, studies were made for stable generation and control of short wavelength excimer laser and for higher-speed large-aperture mask writing by use of an electron beam. In the development of higher-speed processing and energy-efficient technologies, research and development was conducted involving probe card technology for increasing the speed of semiconductor inspection, software-aided virtual tester technology, local energy-efficient cleaning technology in wafer processing and transportation, sheet-type flexible manufacturing system, and the like. (NEDO)

  17. Materials and Reliability Handbook for Semiconductor Optical and Electron Devices

    CERN Document Server

    Pearton, Stephen

    2013-01-01

    Materials and Reliability Handbook for Semiconductor Optical and Electron Devices provides comprehensive coverage of reliability procedures and approaches for electron and photonic devices. These include lasers and high speed electronics used in cell phones, satellites, data transmission systems and displays. Lifetime predictions for compound semiconductor devices are notoriously inaccurate due to the absence of standard protocols. Manufacturers have relied on extrapolation back to room temperature of accelerated testing at elevated temperature. This technique fails for scaled, high current density devices. Device failure is driven by electric field or current mechanisms or low activation energy processes that are masked by other mechanisms at high temperature. The Handbook addresses reliability engineering for III-V devices, including materials and electrical characterization, reliability testing, and electronic characterization. These are used to develop new simulation technologies for device operation and ...

  18. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  19. Robotics and automation in manufacture

    International Nuclear Information System (INIS)

    Glasgow, J.R.

    1989-01-01

    NEI involvement in Heysham II and Torness included contracts for equipment both for the Nuclear Island and for non-nuclear associated plant. Fundamental to the approach to manufacture was the capital investment in plant and facilities to ensure the quality requirements were met with economic production methods and prompt delivery. Some of the production facilities for a selection of varied components are described. Examples of subsequent development of facilities are given to illustrate their current capability. (author)

  20. Intelligent Processing Equipment Developments Within the Navy's Manufacturing Technology Centers of Excellence

    Science.gov (United States)

    Nanzetta, Philip

    1992-01-01

    The U.S. Navy has had an active Manufacturing Technology (MANTECH) Program aimed at developing advanced production processes and equipment since the late-1960's. During the past decade, however, the resources of the MANTECH program were concentrated in Centers of Excellence. Today, the Navy sponsors four manufacturing technology Centers of Excellence: the Automated Manufacturing Research Facility (AMRF); the Electronics Manufacturing Productivity Facility (EMPF); the National Center for Excellence in Metalworking Technology (NCEMT); and the Center of Excellence for Composites Manufacturing Technology (CECMT). This paper briefly describes each of the centers and summarizes typical Intelligent Equipment Processing (IEP) projects that were undertaken.

  1. Distribution of perfluorooctane sulfonate and other perfluorochemicals in the ambient environment around a manufacturing facility in China.

    Science.gov (United States)

    Wang, Yawei; Fu, Jianjie; Wang, Thanh; Liang, Yong; Pan, Yuanyuan; Cai, Yaqi; Jiang, Guibin

    2010-11-01

    Perfluorinated compounds (PFCs) can be released to the surrounding environment during manufacturing and usage of PFC containing products, which are considered as main direct sources of PFCs in the environment. This study evaluates the release of perfluorooctane sulfonate (PFOS) and other PFCs to the ambient environment around a manufacturing plant. Among the nine PFCs analyzed, only PFOS, perfluorooctanoic acid (PFOA), and perfluorohexane sulfonate (PFHxS) were found in dust, water, soil, and chicken eggs. Very high concentrations of PFOS and PFOA were found in dust from the production storage, raw material stock room, and sulfonation workshop in the manufacturing facility, with the highest value at 4962 μg/g (dry weight) for PFOS and 160 μg/g for PFOA. A decreasing trend of the three PFCs concentrations in soils, water, and chicken eggs with increasing distance from the plant was found, indicating the production site to be the primary source of PFCs in this region. Risk quotients (RQs) assessment for surface water >500 m away from the plant were less than unity. Risk assessment of PFOS using predicted no-effect concentration (PNEC, 3.23 ng/g on a logarithmic scale) indicated no immediate ecological risk of a reduction in offspring survival. PFOS concentrations in most egg samples did not exceed the benchmark concentration derived in setting a reference dose for noncancer health effects (0.025 μg/(kgxd)).

  2. Nonterrestrial material processing and manufacturing of large space systems

    Science.gov (United States)

    Von Tiesenhausen, G.

    1979-01-01

    Nonterrestrial processing of materials and manufacturing of large space system components from preprocessed lunar materials at a manufacturing site in space is described. Lunar materials mined and preprocessed at the lunar resource complex will be flown to the space manufacturing facility (SMF), where together with supplementary terrestrial materials, they will be final processed and fabricated into space communication systems, solar cell blankets, radio frequency generators, and electrical equipment. Satellite Power System (SPS) material requirements and lunar material availability and utilization are detailed, and the SMF processing, refining, fabricating facilities, material flow and manpower requirements are described.

  3. Fully Disposable Manufacturing Concepts for Clinical and Commercial Manufacturing and Ballroom Concepts.

    Science.gov (United States)

    Boedeker, Berthold; Goldstein, Adam; Mahajan, Ekta

    2017-11-04

    The availability and use of pre-sterilized disposables has greatly changed the methods used in biopharmaceuticals development and production, particularly from mammalian cell culture. Nowadays, almost all process steps from cell expansion, fermentation, cell removal, and purification to formulation and storage of drug substances can be carried out in disposables, although there are still limitations with single-use technologies, particularly in the areas of pretesting and quality control of disposables, bag and connections standardization and qualification, extractables and leachables (E/L) validation, and dependency on individual vendors. The current status of single-use technologies is summarized for all process unit operations using a standard mAb process as an example. In addition, current pros and cons of using disposables are addressed in a comparative way, including quality control and E/L validation.The continuing progress in developing single-use technologies has an important impact on manufacturing facilities, resulting in much faster, less expensive and simpler plant design, start-up, and operation, because cell culture process steps are no longer performed in hard-piped unit operations. This leads to simpler operations in a lab-like environment. Overall it enriches the current landscape of available facilities from standard hard-piped to hard-piped/disposables hybrid to completely single-use-based production plants using the current segregation and containment concept. At the top, disposables in combination with completely and functionally closed systems facilitate a new, revolutionary design of ballroom facilities without or with much less segregation, which enables us to perform good manufacturing practice manufacturing of different products simultaneously in unclassified but controlled areas.Finally, single-use processing in lab-like shell facilities is a big enabler of transferring and establishing production in emergent countries, and this is

  4. Protons, Aerospace, and Electronics: A National Interest

    Science.gov (United States)

    LaBel, Kenneth A.; Turflinger, Thomas L.

    2018-01-01

    The aerospace and semiconductor industries lost approx. 2000 hours annually of research access when IUCF closed. An ad hoc team between the U.S. government and industry was formed to evaluate other facility options. In this presentation, we will discuss: 1) Why aerospace, semiconductor manufacturers, and others are interested in proton facility access, as well as, 2) Some of the basics of a typical test for electronics, and 3) We"ll conclude with the brief current status on progress.

  5. 10 CFR 611.206 - Existing facilities.

    Science.gov (United States)

    2010-01-01

    ... 10 Energy 4 2010-01-01 2010-01-01 false Existing facilities. 611.206 Section 611.206 Energy... PROGRAM Facility/Funding Awards § 611.206 Existing facilities. The Secretary shall, in making awards to those manufacturers that have existing facilities, give priority to those facilities that are oldest or...

  6. Fabrication and performance of pressure-sensing device consisting of electret film and organic semiconductor

    Science.gov (United States)

    Kodzasa, Takehito; Nobeshima, Daiki; Kuribara, Kazunori; Uemura, Sei; Yoshida, Manabu

    2017-04-01

    We propose a new concept of a pressure-sensitive device that consists of an organic electret film and an organic semiconductor. This device exhibits high sensitivity and selectivity against various types of pressure. The sensing mechanism of this device originates from a modulation of the electric conductivity of the organic semiconductor film induced by the interaction between the semiconductor film and the charged electret film placed face to face. It is expected that a complicated sensor array will be fabricated by using a roll-to-roll manufacturing system, because this device can be prepared by an all-printing and simple lamination process without high-level positional adjustment for printing processes. This also shows that this device with a simple structure is suitable for application to a highly flexible device array sheet for an Internet of Things (IoT) or wearable sensing system.

  7. Passivation of electrically active centers by Hydrogen and Lithium in Semiconductors

    CERN Multimedia

    2002-01-01

    The hyperfine technique of Perturbed Angular Correlation Spectroscopy (PAC) has proven to be excellently suited for the microscopic investigation of impurity complexes in semiconductors. But this method is seriously limited by the small number of chemically different isotopes which are suitable for PAC measurements and represent electrically active centers in semiconductors. This bottleneck can be widely overcome by the ISOLDE facility which provides a great variety of shortliving PAC isotopes. The probe atom $^{111m}$Cd, provided by ISOLDE opened the first successful access to PAC investigations of III-V compounds and enabled also the first PAC experiments on double acceptors in silicon and germamum. \\\\ \\\\ At the new ISOLDE facility our experiments were concentrated on the passivation of electrically active centres by hydrogen and lithium in Si, Ge and III-V compounds. Experiments on $^{111m}$Cd in Ge revealed the formation of two different acceptor hydrogen and two different acceptor lithium complexes respe...

  8. Computer-controlled ultrasonic equipment for automatic inspection of nuclear reactor components after manufacturing

    International Nuclear Information System (INIS)

    Moeller, P.; Roehrich, H.

    1983-01-01

    After foundation of the working team ''Automated US-Manufacture Testing'' in 1976 the realization of an ultrasonic test facility for nuclear reactor components after manufacturing has been started. During a period of about 5 years, an automated prototype facility has been developed, fabricated and successfully tested. The function of this facility is to replace the manual ultrasonic tests, which are carried out autonomically at different stages of the manufacturing process and to fulfil the test specification under improved economic conditions. This prototype facility has been designed as to be transported to the components to be tested at low expenditure. Hereby the reproduceability of a test is entirely guaranteed. (orig.) [de

  9. High-power semiconductor RSD-based switch

    Energy Technology Data Exchange (ETDEWEB)

    Bezuglov, V G; Galakhov, I V; Grusin, I A [All-Russian Scientific Research Inst. of Experimental Physics, Sarov (Russian Federation); and others

    1997-12-31

    The operating principle and test results of a high-power semiconductor RSD-based switch with the following operating parameters is described: operating voltage 25 kV, peak operating current 200 kA, maximum transferred charge 70 C. The switch is intended for use by high-power capacitor banks of state-of-the-art research facilities. The switch was evaluated for applicability in commercial pulsed systems. The possibility of increasing the peak operating current to 500 kA is demonstrated. (author). 4 figs., 2 refs.

  10. Semiconductor nanostructures for artificial photosynthesis

    Science.gov (United States)

    Yang, Peidong

    2012-02-01

    Nanowires, with their unique capability to bridge the nanoscopic and macroscopic worlds, have already been demonstrated as important materials for different energy conversion. One emerging and exciting direction is their application for solar to fuel conversion. The generation of fuels by the direct conversion of solar energy in a fully integrated system is an attractive goal, but no such system has been demonstrated that shows the required efficiency, is sufficiently durable, or can be manufactured at reasonable cost. One of the most critical issues in solar water splitting is the development of a suitable photoanode with high efficiency and long-term durability in an aqueous environment. Semiconductor nanowires represent an important class of nanostructure building block for direct solar-to-fuel application because of their high surface area, tunable bandgap and efficient charge transport and collection. Nanowires can be readily designed and synthesized to deterministically incorporate heterojunctions with improved light absorption, charge separation and vectorial transport. Meanwhile, it is also possible to selectively decorate different oxidation or reduction catalysts onto specific segments of the nanowires to mimic the compartmentalized reactions in natural photosynthesis. In this talk, I will highlight several recent examples in this lab using semiconductor nanowires and their heterostructures for the purpose of direct solar water splitting.

  11. 21 CFR 606.40 - Facilities.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Facilities. 606.40 Section 606.40 Food and Drugs... GOOD MANUFACTURING PRACTICE FOR BLOOD AND BLOOD COMPONENTS Plant and Facilities § 606.40 Facilities. Facilities shall be maintained in a clean and orderly manner, and shall be of suitable size, construction and...

  12. Semiconductor physics

    CERN Document Server

    Böer, Karl W

    2018-01-01

    This handbook gives a complete survey of the important topics and results in semiconductor physics. It addresses every fundamental principle and most research topics and areas of application in the field of semiconductor physics. Comprehensive information is provided on crystalline bulk and low-dimensional as well as amporphous semiconductors, including optical, transport, and dynamic properties.

  13. A Single-use Strategy to Enable Manufacturing of Affordable Biologics

    Directory of Open Access Journals (Sweden)

    Renaud Jacquemart

    2016-01-01

    Full Text Available The current processing paradigm of large manufacturing facilities dedicated to single product production is no longer an effective approach for best manufacturing practices. Increasing competition for new indications and the launch of biosimilars for the monoclonal antibody market have put pressure on manufacturers to produce at lower cost. Single-use technologies and continuous upstream processes have proven to be cost-efficient options to increase biomass production but as of today the adoption has been only minimal for the purification operations, partly due to concerns related to cost and scale-up. This review summarizes how a single-use holistic process and facility strategy can overcome scale limitations and enable cost-efficient manufacturing to support the growing demand for affordable biologics. Technologies enabling high productivity, right-sized, small footprint, continuous, and automated upstream and downstream operations are evaluated in order to propose a concept for the flexible facility of the future.

  14. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  15. Filter optimization of Si and SiC semiconductor-based H5 and Conergy-NPC transformerless PV inverters

    DEFF Research Database (Denmark)

    Saridakis, Stefanos; Koutroulis, Eftichios; Blaabjerg, Frede

    2013-01-01

    Single-phase transformerless Photovoltaic (PV) inverters are synthesized by combining available solutions in terms of the power section topology, power semiconductors manufacturing technology and structure of the output filter. A design method is presented in this paper for optimizing the power......C-based PV inverters will inject more energy into the electric grid, compared to the Si-based structures and enable the reduction of the output filter size, weight and cost. Employing an LLCL-type output filter and simultaneously reducing the cost of SiC power semiconductors to the level of their Si...

  16. New Icosahedral Boron Carbide Semiconductors

    Science.gov (United States)

    Echeverria Mora, Elena Maria

    Novel semiconductor boron carbide films and boron carbide films doped with aromatic compounds have been investigated and characterized. Most of these semiconductors were formed by plasma enhanced chemical vapor deposition. The aromatic compound additives used, in this thesis, were pyridine (Py), aniline, and diaminobenzene (DAB). As one of the key parameters for semiconducting device functionality is the metal contact and, therefore, the chemical interactions or band bending that may occur at the metal/semiconductor interface, X-ray photoemission spectroscopy has been used to investigate the interaction of gold (Au) with these novel boron carbide-based semiconductors. Both n- and p-type films have been tested and pure boron carbide devices are compared to those containing aromatic compounds. The results show that boron carbide seems to behave differently from other semiconductors, opening a way for new analysis and approaches in device's functionality. By studying the electrical and optical properties of these films, it has been found that samples containing the aromatic compound exhibit an improvement in the electron-hole separation and charge extraction, as well as a decrease in the band gap. The hole carrier lifetimes for each sample were extracted from the capacitance-voltage, C(V), and current-voltage, I(V), curves. Additionally, devices, with boron carbide with the addition of pyridine, exhibited better collection of neutron capture generated pulses at ZERO applied bias, compared to the pure boron carbide samples. This is consistent with the longer carrier lifetimes estimated for these films. The I-V curves, as a function of external magnetic field, of the pure boron carbide films and films containing DAB demonstrate that significant room temperature negative magneto-resistance (> 100% for pure samples, and > 50% for samples containing DAB) is possible in the resulting dielectric thin films. Inclusion of DAB is not essential for significant negative magneto

  17. Analysis of adverse events with Essure hysteroscopic sterilization reported to the Manufacturer and User Facility Device Experience database.

    Science.gov (United States)

    Al-Safi, Zain A; Shavell, Valerie I; Hobson, Deslyn T G; Berman, Jay M; Diamond, Michael P

    2013-01-01

    The Manufacturer and User Facility Device Experience database may be useful for clinicians using a Food and Drug Administration-approved medical device to identify the occurrence of adverse events and complications. We sought to analyze and investigate reports associated with the Essure hysteroscopic sterilization system (Conceptus Inc., Mountain View, CA) using this database. Retrospective review of the Manufacturer and User Facility Device Experience database for events related to Essure hysteroscopic sterilization from November 2002 to February 2012 (Canadian Task Force Classification III). Online retrospective review. Online reports of patients who underwent Essure tubal sterilization. Essure tubal sterilization. Four hundred fifty-seven adverse events were reported in the study period. Pain was the most frequently reported event (217 events [47.5%]) followed by delivery catheter malfunction (121 events [26.4%]). Poststerilization pregnancy was reported in 61 events (13.3%), of which 29 were ectopic pregnancies. Other reported events included perforation (90 events [19.7%]), abnormal bleeding (44 events [9.6%]), and microinsert malposition (33 events [7.2%]). The evaluation and management of these events resulted in an additional surgical procedure in 270 cases (59.1%), of which 44 were hysterectomies. Sixty-one unintended poststerilization pregnancies were reported in the study period, of which 29 (47.5%) were ectopic gestations. Thus, ectopic pregnancy must be considered if a woman becomes pregnant after Essure hysteroscopic sterilization. Additionally, 44 women underwent hysterectomy after an adverse event reported to be associated with the use of the device. Copyright © 2013 AAGL. Published by Elsevier Inc. All rights reserved.

  18. Rapid thermal processing and beyond applications in semiconductor processing

    CERN Document Server

    Lerch, W

    2008-01-01

    Heat-treatment and thermal annealing are very common processing steps which have been employed during semiconductor manufacturing right from the beginning of integrated circuit technology. In order to minimize undesired diffusion, and other thermal budget-dependent effects, the trend has been to reduce the annealing time sharply by switching from standard furnace batch-processing (involving several hours or even days), to rapid thermal processing involving soaking times of just a few seconds. This transition from thermal equilibrium, to highly non-equilibrium, processing was very challenging a

  19. Rapsodie first core manufacture. 1. part: processing plant

    International Nuclear Information System (INIS)

    Masselot, Y.; Bataller, S.; Ganivet, M.; Guillet, H.; Robillard, A.; Stosskopf, F.

    1968-01-01

    This report is the first in a series of three describing the processes, results and peculiar technical problems related to the manufacture of the first core of the fast reactor Rapsodie. A detailed study of manufacturing processes(pellets, pins, fissile sub-assemblies), the associated testings (raw materials, processed pellets and pins, sub-assemblies before delivery), manufacturing facilities and improvements for a second campaign are described. (author) [fr

  20. Progress on ANSTO'S OPAL reactor project and its future importance as the centrepiece of ANSTO'S facilities

    International Nuclear Information System (INIS)

    Smith, I.O.

    2006-01-01

    Full text: After an intensive process of analysis, the Australian government approved the construction of a multi-purpose research reactor in 1997. Following the conduct of a comprehensive tender evaluation process in 1998-2000, INVAP was contracted to construct a 20 MW open pool research reactor and associated neutron beam facilities. The construction of the reactor is now almost complete, and we have commenced cold commissioning. ANSTO has applied for an operating licence, and we hope for a decision on that application in June, following the consideration by the regulator of the results of cold commissioning. The OPAL reactor will provide neutrons to a world-class neutron beam facility, in which a number of the instruments will have the best performance available in the world to date. We intend to establish the Bragg Institute as a regional centre of excellence on neutron beam science, with a significant number of international scientists using the facility to produce cutting edge science in the fields of biology, materials science, food science and other area. The reactor also has extensive irradiation facilities within the reflector vessel. These facilities will be used to produce medical isotopes - ANSTO supplies the bulk of the Australian market and also exports into this region - and for the transmutation doping of silicon ingots for semiconductor manufacture. There are also a number of pneumatically loaded radiation facilities allowing for short term irradiation of samples for such activities as neutron activation analysis

  1. Feature scale modeling for etching and deposition processes in semiconductor manufacturing

    International Nuclear Information System (INIS)

    Pyka, W.

    2000-04-01

    Simulation of etching and deposition processes as well as three-dimensional geometry generation are important issues in state of the art TCAD applications. Three-dimensional effects are gaining importance for semiconductor devices and for their interconnects. Therefore a strictly physically based simulation of their topography is required. Accurate investigation of single etching and deposition processes has become equally important as process integration. Within this context several aspects of three-dimensional topography simulation have been covered by this thesis and new and interesting results have been achieved in various areas. The algorithmic core of the cell-based structuring element surface propagation method has been optimized and has been eliminated from its position as factor which predominantly determines the required CPU time. In parallel with investigated optimization techniques and required by various process models, the implementation of the surface normal calculation and the special handling of voids and unconnected parts of the geometry has been completed in three dimensions. A process-step-based solid modeling tool which incorporates layout data as well as aerial image simulation has been supplied. It can be coupled with the topography simulation and includes simple geometrically based models for CMP and oxidation. In the presented combination, the tool makes use of the design information stored in the layout file, combines it with the manufacturing recipe, and hence is extremely helpful for the automatic generation of three-dimensional structures. Its usefulness has been proven with several interconnect examples. Regarding topography models, resist development not only turned out to be very helpful for predicting exposed and etched resist profiles within a rigorous lithography simulation, but, by means of benchmark examples, also demonstrated the extraordinary stability of the proposed cellular surface movement algorithm. With respect to

  2. The Electrical Characteristics of The N-Organic Semiconductor/P-Inorganic Semiconductor Diode

    International Nuclear Information System (INIS)

    Aydin, M. E.

    2008-01-01

    n-organic semiconductor (PEDOT) / p-inorganic semiconductor Si diode was formed by deep coating method. The method has been achieved by coating n-inorganic semiconductor PEDOT on top of p-inorganic semiconductor. The n-organic semiconductor PEDOT/ p-inorganic semiconductor diode demonstrated rectifying behavior by the current-voltage (I-V) curves studied at room temperature. The barrier height , ideality factor values were obtained as of 0.88 eV and 1.95 respectively. The diode showed non-ideal I-V behavior with an ideality factor greater than unity that could be ascribed to the interfacial layer

  3. The relationship between spontaneous abortion and female workers in the semiconductor industry.

    Science.gov (United States)

    Kim, Heechan; Kwon, Ho-Jang; Rhie, Jeongbae; Lim, Sinye; Kang, Yun-Dan; Eom, Sang-Yong; Lim, Hyungryul; Myong, Jun-Pyo; Roh, Sangchul

    2017-01-01

    This study investigated the relationship between job type and the risk for spontaneous abortion to assess the reproductive toxicity of female workers in the semiconductor industry. A questionnaire survey was administered to current female workers of two semiconductor manufacturing plants in Korea. We included female workers who became pregnant at least 6 months after the start of their employment with the company. The pregnancy outcomes of 2,242 female workers who experienced 4,037 pregnancies were investigated. Personnel records were used to assign the subjects to one of three groups: fabrication process workers, packaging process workers, and clerical workers. To adjust for within-person correlations between pregnancies, a generalized estimating equation was used. The logistic regression analysis was limited to the first pregnancy after joining the company to satisfy the assumption of independence among pregnancies. Moreover, we stratified the analysis by time period (pregnancy in the years prior to 2008 vs. after 2009) to reflect differences in occupational exposure based on semiconductor production periods. The risk for spontaneous abortion in female semiconductor workers was not significantly higher for fabrication and packaging process workers than for clerical workers. However, when we stratified by time period, the odds ratio for spontaneous abortion was significantly higher for packaging process workers who became pregnant prior to 2008 when compared with clerical workers (odds ratio: 2.21; 95% confidence interval: 1.01-4.81). When examining the pregnancies of female semiconductor workers that occurred prior to 2008, packaging process workers showed a significantly higher risk for spontaneous abortions than did clerical workers. The two semiconductor production periods in our study (prior to 2008 vs. after 2009) had different automated processes, chemical exposure levels, and working environments. Thus, the conditions prior to 2008 may have increased the

  4. Respiratory symptoms as health status indicators in workers at ceramics manufacturing facilities.

    Science.gov (United States)

    Rondon, Edilaura Nunes; Silva, Regina Maria Veras Gonçalves da; Botelho, Clovis

    2011-01-01

    To assess the prevalence of respiratory symptoms and their association with sociodemographic variables and with the characteristics of the work environment. A cross-sectional study comprising 464 workers employed at ceramics manufacturing facilities located in the city of Várzea Grande, Brazil. Data were collected by means of a questionnaire comprising questions regarding sociodemographic variables, work environment characteristics, and respiratory symptoms. Data were analyzed by means of prevalence ratios and their respective 95% CIs between the dependent variable (respiratory symptoms) and the other explanatory variables. In the multivariate analysis, two hierarchical models were built, the response variables being "all respiratory symptoms" and "severe respiratory symptoms". In the sample studied, the prevalence of "all respiratory symptoms" was 78%, whereas that of "severe respiratory symptoms" was 35%. The factors associated with "all respiratory symptoms" were gender, age bracket, level of education, type of occupation, exposure to dust, and exposure to chemical products. The factors associated with "severe respiratory symptoms" were level of education, exposure to dust, and exposure to chemical products. Our results indicate the presence of upper and lower airway disease in the population studied.

  5. Hybrid and disposable facilities for manufacturing of biopharmaceuticals: pros and cons.

    Science.gov (United States)

    Ravisé, Aline; Cameau, Emmanuelle; De Abreu, Georges; Pralong, Alain

    2009-01-01

    Modern biotechnology has grown over the last 35 years to a maturing industry producing and delivering high-value biopharmaceuticals that yield important medical and economical benefits. The constantly increasing need for biopharmaceuticals and significant costs related to time-consuming R&D work makes this industry risky and highly competitive. This trend is confirmed by the important number of biopharmaceuticals that are actually under development at all stages by all major pharmaceutical industry companies. A consequence of this evolution is an increasing need for development and manufacturing capacity. The build up of traditional - stainless steel - technology is complicated, time consuming and very expensive. The decision for such a major investment needs to be taken early in the development cycle of a promising drug to cope with future demands for clinical trials and product launch. Possibilities for the reduction of R&D and manufacturing costs are therefore of significant interest in order to be competitive.In this chapter, four case studies are presented which outline ways to reduce significantly R&D and manufacturing costs by using disposable technology in the frame of a the transfer of an antibody manufacturing process, the preparation of media and buffers in commercial manufacturing and a direct comparison of a traditional and a fully disposable pilot plant.

  6. Photovoltaic industry manufacturing technology. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Vanecek, D.; Diver, M.; Fernandez, R. [Automation and Robotics Research Inst., Fort Worth, TX (United States)

    1998-08-01

    This report contains the results of the Photovoltaic (PV) Industry Manufacturing Technology Assessment performed by the Automation and Robotics Research Institute (ARRI) of the University of Texas at Arlington for the National Renewable Energy laboratory. ARRI surveyed eleven companies to determine their state-of-manufacturing in the areas of engineering design, operations management, manufacturing technology, equipment maintenance, quality management, and plant conditions. Interviews with company personnel and plant tours at each of the facilities were conducted and the information compiled. The report is divided into two main segments. The first part of the report presents how the industry as a whole conforms to ``World Class`` manufacturing practices. Conclusions are drawn from the results of a survey as to the areas that the PV industry can improve on to become more competitive in the industry and World Class. Appendix A contains the questions asked in the survey, a brief description of the benefits to performing this task and the aggregate response to the questions. Each company participating in the assessment process received the results of their own facility to compare against the industry as a whole. The second part of the report outlines opportunities that exist on the shop floor for improving Process Equipment and Automation Strategies. Appendix B contains the survey that was used to assess each of the manufacturing processes.

  7. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  8. A facile approach to manufacturing non-ionic surfactant nanodipsersions using proniosome technology and high-pressure homogenization.

    Science.gov (United States)

    Najlah, Mohammad; Hidayat, Kanar; Omer, Huner K; Mwesigwa, Enosh; Ahmed, Waqar; AlObaidy, Kais G; Phoenix, David A; Elhissi, Abdelbary

    2015-03-01

    In this study, a niosome nanodispersion was manufactured using high-pressure homogenization following the hydration of proniosomes. Using beclometasone dipropionate (BDP) as a model drug, the characteristics of the homogenized niosomes were compared with vesicles prepared via the conventional approach of probe-sonication. Particle size, zeta potential, and the drug entrapment efficiency were similar for both size reduction mechanisms. However, high-pressure homogenization was much more efficient than sonication in terms of homogenization output rate, avoidance of sample contamination, offering a greater potential for a large-scale manufacturing of noisome nanodispersions. For example, high-pressure homogenization was capable of producing small size niosomes (209 nm) using a short single-step of size reduction (6 min) as compared with the time-consuming process of sonication (237 nm in >18 min) and the BDP entrapment efficiency was 29.65% ± 4.04 and 36.4% ± 2.8. In addition, for homogenization, the output rate of the high-pressure homogenization was 10 ml/min compared with 0.83 ml/min using the sonication protocol. In conclusion, a facile, applicable, and highly efficient approach for preparing niosome nanodispersions has been established using proniosome technology and high-pressure homogenization.

  9. Manufacture of Radio Frequency Micromachined Switches with Annealing

    OpenAIRE

    Lin, Cheng-Yang; Dai, Ching-Liang

    2014-01-01

    The fabrication and characterization of a radio frequency (RF) micromachined switch with annealing were presented. The structure of the RF switch consists of a membrane, coplanar waveguide (CPW) lines, and eight springs. The RF switch is manufactured using the complementary metal oxide semiconductor (CMOS) process. The switch requires a post-process to release the membrane and springs. The post-process uses a wet etching to remove the sacrificial silicon dioxide layer, and to obtain the suspe...

  10. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  11. 21 CFR 123.5 - Current good manufacturing practice.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 2 2010-04-01 2010-04-01 false Current good manufacturing practice. 123.5 Section...) FOOD FOR HUMAN CONSUMPTION FISH AND FISHERY PRODUCTS General Provisions § 123.5 Current good manufacturing practice. (a) Part 110 of this chapter applies in determining whether the facilities, methods...

  12. Nanostructured Semiconductor Materials for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Carmen Cavallo

    2017-01-01

    Full Text Available Since O’Regan and Grätzel’s first report in 1991, dye-sensitized solar cells (DSSCs appeared immediately as a promising low-cost photovoltaic technology. In fact, though being far less efficient than conventional silicon-based photovoltaics (being the maximum, lab scale prototype reported efficiency around 13%, the simple design of the device and the absence of the strict and expensive manufacturing processes needed for conventional photovoltaics make them attractive in small-power applications especially in low-light conditions, where they outperform their silicon counterparts. Nanomaterials are at the very heart of DSSC, as the success of its design is due to the use of nanostructures at both the anode and the cathode. In this review, we present the state of the art for both n-type and p-type semiconductors used in the photoelectrodes of DSSCs, showing the evolution of the materials during the 25 years of history of this kind of devices. In the case of p-type semiconductors, also some other energy conversion applications are touched upon.

  13. 21 CFR 1271.190 - Facilities.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Facilities. 1271.190 Section 1271.190 Food and... CELLULAR AND TISSUE-BASED PRODUCTS Current Good Tissue Practice § 1271.190 Facilities. (a) General. Any facility used in the manufacture of HCT/Ps must be of suitable size, construction, and location to prevent...

  14. Bio-Manufacturing to market pilot project

    Energy Technology Data Exchange (ETDEWEB)

    Dressen, Tiffaney [Univ. of California, Berkeley, CA (United States)

    2017-09-25

    The Bio-Manufacturing to Market pilot project was a part of the AMJIAC, the Advanced Manufacturing Jobs and Innovation Accelerator Challenge grant. This internship program set out to further define and enhance the talent pipeline from the University and local Community Colleges to startup culture in East Bay Area, provide undergraduate STEM students with opportunities outside academia, and provide startup companies with much needed talent. Over the 4 year period of performance, the Bio-Manufacturing to Market internship program sponsored 75 undergraduate STEM students who were able to spend anywhere from one to six semesters working with local Bay Area startup companies and DOE sponsored facilities/programs in the biotech, bio-manufacturing, and biomedical device fields.

  15. The use of GaSe semiconductor detectors for monitoring high energy muon beams

    CERN Document Server

    Mancini, A M; Murri, R; Quirini, A; Rizzo, A; Vasanelli, L

    1976-01-01

    GaSe semiconductor detectors have been successfully tested during one year for monitoring muon beams in the GeV range in the neutrino experiment at CERN. Their performances are comparable with those of commercial Si surface barrier detectors for this particular application. Crystal growth, detector fabrication and characterization are briefly described. Various advantages (cost, ruggedness, resistance to radiation damage, manufacturing simplicity, etc.) are discussed. (8 refs).

  16. Extraterrestrial processing and manufacturing of large space systems. Volume 3: Executive summary

    Science.gov (United States)

    Miller, R. H.; Smith, D. B. S.

    1979-01-01

    Facilities and equipment are defined for refining processes to commercial grade of lunar material that is delivered to a 'space manufacturing facility' in beneficiated, primary processed quality. The manufacturing facilities and the equipment for producing elements of large space systems from these materials and providing programmatic assessments of the concepts are also defined. In-space production processes of solar cells (by vapor deposition) and arrays, structures and joints, conduits, waveguides, RF equipment radiators, wire cables, converters, and others are described.

  17. 21 CFR 120.5 - Current good manufacturing practice.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 2 2010-04-01 2010-04-01 false Current good manufacturing practice. 120.5 Section... Provisions § 120.5 Current good manufacturing practice. Part 110 of this chapter applies in determining whether the facilities, methods, practices, and controls used to process juice are safe, and whether the...

  18. Compound Semiconductor Radiation Detector

    International Nuclear Information System (INIS)

    Kim, Y. K.; Park, S. H.; Lee, W. G.; Ha, J. H.

    2005-01-01

    In 1945, Van Heerden measured α, β and γ radiations with the cooled AgCl crystal. It was the first radiation measurement using the compound semiconductor detector. Since then the compound semiconductor has been extensively studied as radiation detector. Generally the radiation detector can be divided into the gas detector, the scintillator and the semiconductor detector. The semiconductor detector has good points comparing to other radiation detectors. Since the density of the semiconductor detector is higher than that of the gas detector, the semiconductor detector can be made with the compact size to measure the high energy radiation. In the scintillator, the radiation is measured with the two-step process. That is, the radiation is converted into the photons, which are changed into electrons by a photo-detector, inside the scintillator. However in the semiconductor radiation detector, the radiation is measured only with the one-step process. The electron-hole pairs are generated from the radiation interaction inside the semiconductor detector, and these electrons and charged ions are directly collected to get the signal. The energy resolution of the semiconductor detector is generally better than that of the scintillator. At present, the commonly used semiconductors as the radiation detector are Si and Ge. However, these semiconductor detectors have weak points. That is, one needs thick material to measure the high energy radiation because of the relatively low atomic number of the composite material. In Ge case, the dark current of the detector is large at room temperature because of the small band-gap energy. Recently the compound semiconductor detectors have been extensively studied to overcome these problems. In this paper, we will briefly summarize the recent research topics about the compound semiconductor detector. We will introduce the research activities of our group, too

  19. Solid spectroscopy: semiconductors

    International Nuclear Information System (INIS)

    Silva, C.E.T.G. da

    1983-01-01

    Photoemission as technique of study of the semiconductor electronic structure is shortly discussed. Homogeneous and heterogeneous semiconductors, where volume and surface electronic structure, core levels and O and H chemisorption in GaAs, Schottky barrier are treated, respectively. Amorphous semiconductors are also discussed. (L.C.) [pt

  20. Engineering and technology in the deconstruction of nuclear materials production facilities

    International Nuclear Information System (INIS)

    Kingsley, R.S.; Reynolds, W.E.; Heffner, D.C.

    1996-01-01

    Technology and equipment exist to support nuclear facility deactivation, decontamination, and decommissioning. In reality, this statement is not surprising because the nuclear industry has been decontaminating and decommissioning production plants for decades as new generations of production technology were introduced. Since the 1950s, the Babcock and Wilcox Company (B ampersand W) has operated a number of nuclear materials processing facilities to manufacture nuclear fuel for the commercial power industry and the U.S. Navy. These manufacturing facilities included a mixed oxide (PuO 2 -UO 2 ) nuclear fuel manufacturing plant, low- and high-enriched uranium (HEU/LEU) chemical and fuel plants, and fuel assembly plants. In addition, B ampersand W designed and build a major nuclear research center in Lynchburg, Virginia, to support these nuclear fuel manufacturing activities and to conduct nuclear power research. These nuclear research facilities included two research reactors, a hot-cell complex for nuclear materials research, four critical experiment facilities, and a plutonium fuels research and development facility. This article describes the B ampersand W deactivation, decomtanimation, and decommisioning program

  1. PERANCANGAN ULANG TATA LETAK FASILITAS DENGAN PENDEKATAN LEAN MANUFACTURING

    Directory of Open Access Journals (Sweden)

    Alexander Prasetya

    2016-01-01

    Full Text Available One of the big investment in a business is facility design. It is a long-term investment due to great value. In its development, PT. Dwi Putra Sakti faced some problems related to facility layout. Problems that can be identified, such as work in process that has accumulated on the production floor, as well as the anorganizad facility layout. Therefore, it is necessary to redesign the layout for the production process more effective and efficient. This study uses a lean manufacturing approach to redesign facility layout. It used value stream mapping, seven waste, cellular manufacturing and 5S principle. Analysis of the implementation result is used to design the layout of the new facility. Level layout that will be examined are the macro-and micro-layout layout. Results of macro-layout design is decreasing production cycle time of trousers. While the micro-layout design is decreasing in material handling displacement.

  2. Dual-Energy Semiconductor Detector of X-rays and Gamma Radiation

    Directory of Open Access Journals (Sweden)

    Brodyn, M.S.

    2014-03-01

    Full Text Available Analysis of the major types of ionizing radiation detectors, their advantages and disadvantages are presented. Application of ZnSe-based semiconductor detector in high temperature environment is substantiated. Different forms of ZnSe-based detector samples and double-crystal scheme for registration of X- and gamma rays in a broad energy range were used . Based on the manufactured simulator device, the study sustains the feasibility of the gamma quanta recording by a high-resistance ZnSe-based detector operating in a perpulse mode.

  3. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  4. Solution-Processed Donor-Acceptor Polymer Nanowire Network Semiconductors For High-Performance Field-Effect Transistors

    Science.gov (United States)

    Lei, Yanlian; Deng, Ping; Li, Jun; Lin, Ming; Zhu, Furong; Ng, Tsz-Wai; Lee, Chun-Sing; Ong, Beng S.

    2016-01-01

    Organic field-effect transistors (OFETs) represent a low-cost transistor technology for creating next-generation large-area, flexible and ultra-low-cost electronics. Conjugated electron donor-acceptor (D-A) polymers have surfaced as ideal channel semiconductor candidates for OFETs. However, high-molecular weight (MW) D-A polymer semiconductors, which offer high field-effect mobility, generally suffer from processing complications due to limited solubility. Conversely, the readily soluble, low-MW D-A polymers give low mobility. We report herein a facile solution process which transformed a lower-MW, low-mobility diketopyrrolopyrrole-dithienylthieno[3,2-b]thiophene (I) into a high crystalline order and high-mobility semiconductor for OFETs applications. The process involved solution fabrication of a channel semiconductor film from a lower-MW (I) and polystyrene blends. With the help of cooperative shifting motion of polystyrene chain segments, (I) readily self-assembled and crystallized out in the polystyrene matrix as an interpenetrating, nanowire semiconductor network, providing significantly enhanced mobility (over 8 cm2V−1s−1), on/off ratio (107), and other desirable field-effect properties that meet impactful OFET application requirements. PMID:27091315

  5. Microscopical Studies of Structural and Electronic Properties of Semiconductors

    CERN Multimedia

    2002-01-01

    The electronic and structural properties of point defects in semiconductors, e.g. radiation defects, impurities or passivating defects can excellently be studied by the hyperfine technique of Perturbed Angular Correlation (PAC). The serious limitation of this method, the small number of chemically different radioactive PAC probe atoms can be widely overcome by means of ISOLDE. Providing shortliving isotopes, which represent common dopants as well as suitable PAC probe atoms, the ISOLDE facility enables a much broader application of PAC to problems in semiconductor physics.\\\\ Using the probe atom $^{111m}$ Cd , the whole class of III-V compounds becomes accessible for PAC investigations. First successful experiments in GaAs, InP and GaP have been performed, concerning impurity complex formation and plasma induced defects. In Si and Ge, the electronic properties~-~especially their influence on acceptor-donor interaction~-~could be exemplarily st...

  6. Flexible manufacturing systems and their relevance in nuclear fuel fabrication in India

    International Nuclear Information System (INIS)

    Ramakumar, M.S.

    1989-01-01

    Fabrication of nuclear reactor fuel bundle involves several materials and a number of complicated technologies and the process of manufacture has to conform to stringent standards. The Indian Nuclear Programme relies heavily on indigeneous capability of manufacture of nuclear fuels as well as automation of the related facilities. Automation of the existing nuclear facilities is a challenge in view of the characteristic plant environments and process demands as well as the various mechanical and metallurgical steps involved. This paper discusses their requirements and the measures initiated for achieving a high order of automation in Indian nuclear facilities. As a first step, specific automation steps are being incorporated in the existing plants. Such interface automation will enhance productivity and avoid the need for building new totally automated palnts. Flexible manufacturing system as applied here, has a different connotation vis-a-vis conventional manufacturing industry. Robotic devices, even for stacking jobs, have not been used on a large scale the world over. (author). 6 figs

  7. Semiconductor spintronics

    International Nuclear Information System (INIS)

    Fabian, J.; Abiague, A.M.; Ertler, Ch.; Stano, P.; Zutic, I.

    2007-01-01

    Spintronics refers commonly to phenomena in which the spin of electrons in a solid state environment plays the determining role. In a more narrow sense spintronics is an emerging research field of electronics: spintronics devices are based on a spin control of electronics, or on an electrical and optical control of spin of magnetism. While metal spintronics has already found its niche in the computer industry - giant magnetoresistance systems are used as hard disk read heads - semiconductor spintronics is vet demonstrate its full potential. This review presents selected themes of semiconductor spintronics, introducing important concepts in spin transport, spin transport, spin injection. Silsbee-Johnson spin-charge coupling, and spin-dependent tunneling, as well as spin relaxation and spin dynamics. The most fundamental spin-dependent interaction in nonmagnetic semiconductors is spin-orbit coupling. Depending on the crystal symmetries of the material, as well as on the structural properties of semiconductor based heterostructures, the spin-orbit coupling takes on different functional forms, giving a nice playground of effective spin-orbit Hamiltonians. The effective Hamiltonians for the most relevant classes of materials and heterostructures are derived here from realistic electronic band structure descriptions. Most semiconductor device systems are still theoretical concepts, waiting for experimental demonstrations. A review of selected proposed, and a few demonstrated devices is presented, with detailed description of two important classes: magnetic resonant tunnel structures and bipolar magnetic diodes and transistors. In view of the importance of ferromagnetic semiconductor material, a brief discussion of diluted magnetic semiconductors is included. In most cases the presentation is of tutorial style, introducing the essential theoretical formalism at an accessible level, with case-study-like illustrations of actual experimental results, as well as with brief

  8. Methodology on sizing and selecting thermoelectric cooler from different TEC manufacturers in cooling system design

    International Nuclear Information System (INIS)

    Tan, F.L.; Fok, S.C.

    2008-01-01

    The search and selection for a suitable thermoelectric cooler (TEC) to optimize a cooling system design can be a tedious task as there are many product ranges from several TEC manufacturers. Although the manufacturers do provide proprietary manuals or electronic search facilities for their products, the process is still cumbersome as these facilities are incompatible. The electronic facilities often have different user interfaces and functionalities, while the manual facilities have different presentations of the performance characteristics. This paper presents a methodology to assist the designer to size and select the TECs from different manufacturers. The approach will allow designers to find quickly and to evaluate the devices from different TEC manufacturers. Based on the approach, the article introduces a new operational framework for an Internet based thermoelectric cooling system design process that would promote the interaction and collaboration between the designers and TEC manufacturers. It is hoped that this work would be useful for the advancement of future tools to assist designers to develop, analyze and optimize thermoelectric cooling system design in minimal time using the latest TECs available on the market

  9. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1987-01-01

    In-depth exploration of the implications of carrier populations and Fermi energies examines distribution of electrons in energy bands and impurity levels of semiconductors. Also: kinetics of semiconductors containing excess carriers, particularly in terms of trapping, excitation, and recombination.

  10. Optimizing Two-Color Semiconductor Nanocrystal Immunoassays in Single Well Microtiter Plate Formats

    Directory of Open Access Journals (Sweden)

    W. Russ Algar

    2011-08-01

    Full Text Available The simultaneous detection of two analytes, chicken IgY (IgG and Staphylococcal enterotoxin B (SEB, in the single well of a 96-well plate is demonstrated using luminescent semiconductor quantum dot nanocrystal (NC tracers. The NC-labeled antibodies were prepared via sulfhydryl-reactive chemistry using a facile protocol that took

  11. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  12. Semiconductor laser shearing interferometer

    International Nuclear Information System (INIS)

    Ming Hai; Li Ming; Chen Nong; Xie Jiaping

    1988-03-01

    The application of semiconductor laser on grating shearing interferometry is studied experimentally in the present paper. The method measuring the coherence of semiconductor laser beam by ion etching double frequency grating is proposed. The experimental result of lens aberration with semiconductor laser shearing interferometer is given. Talbot shearing interferometry of semiconductor laser is also described. (author). 2 refs, 9 figs

  13. 10 CFR 50.55 - Conditions of construction permits, early site permits, combined licenses, and manufacturing...

    Science.gov (United States)

    2010-01-01

    ... construction or manufacture, or any defect found in the final design of a facility as approved and released for..., combined licenses, and manufacturing licenses. 50.55 Section 50.55 Energy NUCLEAR REGULATORY COMMISSION DOMESTIC LICENSING OF PRODUCTION AND UTILIZATION FACILITIES Issuance, Limitations, and Conditions of...

  14. Solution-printed organic semiconductor blends exhibiting transport properties on par with single crystals.

    Science.gov (United States)

    Niazi, Muhammad R; Li, Ruipeng; Qiang Li, Er; Kirmani, Ahmad R; Abdelsamie, Maged; Wang, Qingxiao; Pan, Wenyang; Payne, Marcia M; Anthony, John E; Smilgies, Detlef-M; Thoroddsen, Sigurdur T; Giannelis, Emmanuel P; Amassian, Aram

    2015-11-23

    Solution-printed organic semiconductors have emerged in recent years as promising contenders for roll-to-roll manufacturing of electronic and optoelectronic circuits. The stringent performance requirements for organic thin-film transistors (OTFTs) in terms of carrier mobility, switching speed, turn-on voltage and uniformity over large areas require performance currently achieved by organic single-crystal devices, but these suffer from scale-up challenges. Here we present a new method based on blade coating of a blend of conjugated small molecules and amorphous insulating polymers to produce OTFTs with consistently excellent performance characteristics (carrier mobility as high as 6.7 cm(2) V(-1) s(-1), low threshold voltages oforganic semiconductor films with transport properties and other figures of merit on par with their single-crystal counterparts.

  15. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  16. Transfer of manufacturing units

    DEFF Research Database (Denmark)

    Madsen, Erik Skov; Riis, Jens Ove; Sørensen, Brian Vejrum

    2008-01-01

    The ongoing and unfolding relocation of activities is one of the major trends, that calls for attention in the domain of operations management. In particular, prescriptive models outlining: stages of the process, where to locate, and how to establish the new facilities have been studied, while...... and dilemmas to be addressed when transferring manufacturing units....

  17. Application of laser spot cutting on spring contact probe for semiconductor package inspection

    Science.gov (United States)

    Lee, Dongkyoung; Cho, Jungdon; Kim, Chan Ho; Lee, Seung Hwan

    2017-12-01

    A packaged semiconductor has to be electrically tested to make sure they are free of any manufacturing defects. The test interface, typically employed between a Printed Circuit Board and the semiconductor devices, consists of densely populated Spring Contact Probe (SCP). A standard SCP typically consists of a plunger, a barrel, and an internal spring. Among these components, plungers are manufactured by a stamping process. After stamping, plunger connecting arms need to be cut into pieces. Currently, mechanical cutting has been used. However, it may damage to the body of plungers due to the mechanical force engaged at the cutting point. Therefore, laser spot cutting is considered to solve this problem. The plunger arm is in the shape of a rectangular beam, 50 μm (H) × 90 μm (W). The plunger material used for this research is gold coated beryllium copper. Laser parameters, such as power and elapsed time, have been selected to study laser spot cutting. Laser material interaction characteristics such as a crater size, material removal zone, ablation depth, ablation threshold, and full penetration are observed. Furthermore, a carefully chosen laser parameter (Etotal = 1000mJ) to test feasibility of laser spot cutting are applied. The result show that laser spot cutting can be applied to cut SCP.

  18. Proposal for an irradiation facility at the TAEK SANAEM Proton Accelerator Facility

    Science.gov (United States)

    Demirköz, B.; Gencer, A.; Kiziloren, D.; Apsimon, R.

    2013-12-01

    Turkish Atomic Energy Authority's (TAEK's) Proton Accelerator Facility in Ankara, Turkey, has been inaugurated in May 2012 and is under the process of being certified for commercial radio-isotope production. Three of the four arms of the 30 MeV cyclotron are being used for radio-isotope production, while the fourth is foreseen for research and development of novel ideas and methods. The cyclotron can vary the beam current between 12 μA and 1.2 mA, sufficient for irradiation tests for semiconductor materials, detectors and devices. We propose to build an irradiation facility in the R&D room of this complex, open for use to the international detector development community.

  19. Integrated computer aided design simulation and manufacture

    OpenAIRE

    Diko, Faek

    1989-01-01

    Computer Aided Design (CAD) and Computer Aided Manufacture (CAM) have been investigated and developed since twenty years as standalone systems. A large number of very powerful but independent packages have been developed for Computer Aided Design,Aanlysis and Manufacture. However, in most cases these packages have poor facility for communicating with other packages. Recently attempts have been made to develop integrated CAD/CAM systems and many software companies a...

  20. An analysis of buildings-related energy use in manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Niefer, M.J.; Ashton, W.B.

    1997-04-01

    This report presents research by the Pacific Northwest National Laboratory (PNNL) to develop improved estimates of buildings-related energy use in US manufacturing facilities. The research was supported by the Office of Building Technology, State and Community Programs (BTS), Office of Energy Efficiency and Renewable Energy (EERE), US Department of Energy (DOE). The research scope includes only space conditioning and lighting end uses. In addition, this study also estimates the energy savings potential for application of selected commercial buildings technologies being developed by the BTS office to manufacturing and other industrial process facilities. 17 refs., 2 figs., 19 tabs.

  1. CAD And Distributed Manufacturing Solutions for Pellet Boiler Producers

    Directory of Open Access Journals (Sweden)

    Timur Mamut

    2016-12-01

    Full Text Available The paper is summarizing the research activities that had been carried out for defining an appropriate manufacturing concept and the system architecture for a manufacturing plant of pellet boilers. The concept has been validated through the implementation of a solution of computer integrated manufacturing that includes a CAD platform and a CAM facility including laser cutting machines, rolling and welding machines and advanced technologies for assembly, quality control and testing.

  2. Freescale Semiconductor Successfully Implements an Energy Management System

    Energy Technology Data Exchange (ETDEWEB)

    None

    2011-06-30

    Through the Superior Energy Performance (SEP) plant certification program, Freescale Semiconductor implemented projects at the company's Oak Hill Fab plant that reduced annual energy consumption by 28 million kilowatt hours (kWh) of electricity and 26,000 million British thermal units (Btu) of natural gas between 2006 and 2009, saving more than $2 million each year. The plant is now certified at the SEP silver level, and has a management system in place to proactively manage the facility's energy resources in the future.

  3. Design of good manufacturing facility for sterile radioactive pharmaceuticals

    International Nuclear Information System (INIS)

    Shin, B.C.; Choung, W.M.; Park, S.H.; Lee, K.I.; Park, J.H.; Park, K.B.

    2002-01-01

    Based on the GMP codes for radiopharmaceuticals in U.K. and some advanced countries, suitable guidelines for the production facility have been established and followed them up. The facility designs were fairly modified to maintain cleanliness criteria for installation in the existing radioisotope production facilities which are installed only in radiation safety points of view. Detailed design brief was drawn up by the Hyundai Engineering staffs, on the basis of initial planning and conceptual design was carried out by authors. Hot cells were installed in preparation room for radioactive handling. As hot cells under negative air pressure are not properly airtight, the surrounding environment was designed to keep less than class 10,000. Hot cells were designed to maintain less than class 1 0,000 and partially less than class 1 00 for production of sterile products. Final products will be autoclaved for sterilization after filling. To avoid contamination by microorganisms and particles of surrounding area, air curtain with vertical laminar flow will be installed between anteroom and corridor. In a pharmaceutical environment, the main consideration is the protection of the product. Thus, work station is held above ambient pressure. However, when handling radioactive materials, air pressure for work station should be lower than in surrounding areas to protect the operators and the remainder of the facility from airborne radioactive contamination. As Radiopharmaceuticals are radioactive materials for medical use, changing room could be held higher pressure than any other zones. It is expected that the facility will be effectively used for both routine preparation and research for sterile radiopharmaceuticals. (Author)

  4. Design of a continuous emissions monitoring system at a manufacturing facility recycling hazardous waste

    International Nuclear Information System (INIS)

    Harlow, G.; Bartman, C.D.; Renfroe, J.

    1991-01-01

    In March 1988, Marine Shale Processors, Inc. (MSP) initiated a project to incorporate a continuous emissions monitoring system (CEMS) at its manufacturing facility in Amelia, Louisiana, which recycles hazardous material into light-weight, general purpose aggregate. The stimuli for the project were: To quantify stack gas emissions for the purpose of risk assessment; To use the data generated for process control and evaluation purposes; and, MSP's commitment to advance the science of continuous monitoring of stack gas emissions. In order to successfully respond to these goals, MSP sought a system which could monitor combustion products such as NOx, SO 2 , HCl and CO 2 , as well as speciated organic compounds. Several analytical technologies and sampling system designs were reviewed to determine the best fit to satisfy the requirements. A process mass spectrometer and a heated sample extraction subsystem were selected for the project. The purpose of this paper is to review the available analytical technologies for CEMS and sample extraction subsystems and to describe the CEMS now installed at MSP

  5. Pharmaceutical manufacturing facility discharges can substantially increase the pharmaceutical load to U.S. wastewaters

    Science.gov (United States)

    Scott, Tia-Marie; Phillips, Patrick J.; Kolpin, Dana W.; Colella, Kaitlyn M.; Furlong, Edward T.; Foreman, William T.; Gray, James L.

    2018-01-01

    Discharges from pharmaceutical manufacturing facilities (PMFs) previously have been identified as important sources of pharmaceuticals to the environment. Yet few studies are available to establish the influence of PMFs on the pharmaceutical source contribution to wastewater treatment plants (WWTPs) and waterways at the national scale. Consequently, a national network of 13 WWTPs receiving PMF discharges, six WWTPs with no PMF input, and one WWTP that transitioned through a PMF closure were selected from across the United States to assess the influence of PMF inputs on pharmaceutical loading to WWTPs. Effluent samples were analyzed for 120 pharmaceuticals and pharmaceutical degradates. Of these, 33 pharmaceuticals had concentrations substantially higher in PMF-influenced effluent (maximum 555,000 ng/L) compared to effluent from control sites (maximum 175 ng/L). Concentrations in WWTP receiving PMF input are variable, as discharges from PMFs are episodic, indicating that production activities can vary substantially over relatively short (several months) periods and have the potential to rapidly transition to other pharmaceutical products. Results show that PMFs are an important, national-scale source of pharmaceuticals to the environment.

  6. PWR heavy equipments manufacture for nuclear power plants

    International Nuclear Information System (INIS)

    Boury, C.; Terrien, J.F.

    1983-10-01

    The manufacture of boilers has been imported by the French nuclear program to the societe FRAMATOME. FRAMATOME, because of the size of this market, has constructed two special plants for manufacturing of nuclear components (vapor generators, reactor tanks, pressurizers); these two high technical facilities are presented: production, staff training, technical overseas assistance, and technical and economical repercussions on the industrial vicinity [fr

  7. Electronic properties of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Einevoll, G.T.

    1991-02-01

    Ten papers on the electronic properties of semiconductors and semiconductor heterostructures constitute the backbone of this thesis. Four papers address the form and validity of the single-band effective mass approximation for semiconductor heterostructures. In four other papers properties of acceptor states in bulk semiconductors and semiconductor heterostructures are studied using the novel effective bond-orbital model. The last two papers deal with localized excitions. 122 refs

  8. Organic semiconductor crystals.

    Science.gov (United States)

    Wang, Chengliang; Dong, Huanli; Jiang, Lang; Hu, Wenping

    2018-01-22

    Organic semiconductors have attracted a lot of attention since the discovery of highly doped conductive polymers, due to the potential application in field-effect transistors (OFETs), light-emitting diodes (OLEDs) and photovoltaic cells (OPVs). Single crystals of organic semiconductors are particularly intriguing because they are free of grain boundaries and have long-range periodic order as well as minimal traps and defects. Hence, organic semiconductor crystals provide a powerful tool for revealing the intrinsic properties, examining the structure-property relationships, demonstrating the important factors for high performance devices and uncovering fundamental physics in organic semiconductors. This review provides a comprehensive overview of the molecular packing, morphology and charge transport features of organic semiconductor crystals, the control of crystallization for achieving high quality crystals and the device physics in the three main applications. We hope that this comprehensive summary can give a clear picture of the state-of-art status and guide future work in this area.

  9. Compact semiconductor lasers

    CERN Document Server

    Yu, Siyuan; Lourtioz, Jean-Michel

    2014-01-01

    This book brings together in a single volume a unique contribution by the top experts around the world in the field of compact semiconductor lasers to provide a comprehensive description and analysis of the current status as well as future directions in the field of micro- and nano-scale semiconductor lasers. It is organized according to the various forms of micro- or nano-laser cavity configurations with each chapter discussing key technical issues, including semiconductor carrier recombination processes and optical gain dynamics, photonic confinement behavior and output coupling mechanisms, carrier transport considerations relevant to the injection process, and emission mode control. Required reading for those working in and researching the area of semiconductors lasers and micro-electronics.

  10. The Effects of Interdependence and Cooperative Behaviors on Buyer’s Satisfaction in the Semiconductor Component Supply Chain

    Directory of Open Access Journals (Sweden)

    Fan-Yun Pai

    2015-12-01

    Full Text Available The semiconductor industry is characterized by extreme competition in price and product features. Firms need to acquire or exchange resources with their supplier or buyer partners to stay at the leading edge of technology. Cooperation between buyers and suppliers is important and power is the mechanism that can explain the cooperative behaviors. This study aims to investigate how the power structure between the buyer and supplier influences the extent of suppliers’ cooperative behaviors, and the effects of these on buyer satisfaction with the buyer-supplier relationship. Opinions from firms in semiconductor manufacturing supply chain were used to investigate the proposed model. It is found that mutual interdependence between a supplier and its buyer can enhance cooperative behaviors and power asymmetry hurt firms’ investment in cooperative behaviors. Suggestions are then provided to semiconductor supply chain members based on the findings of this work.

  11. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  12. Semiconductor spintronics

    CERN Document Server

    Xia, Jianbai; Chang, Kai

    2012-01-01

    Semiconductor Spintronics, as an emerging research discipline and an important advanced field in physics, has developed quickly and obtained fruitful results in recent decades. This volume is the first monograph summarizing the physical foundation and the experimental results obtained in this field. With the culmination of the authors' extensive working experiences, this book presents the developing history of semiconductor spintronics, its basic concepts and theories, experimental results, and the prospected future development. This unique book intends to provide a systematic and modern foundation for semiconductor spintronics aimed at researchers, professors, post-doctorates, and graduate students, and to help them master the overall knowledge of spintronics.

  13. 76 FR 36472 - Mandatory Reporting of Greenhouse Gases; Changes to Provisions for Electronics Manufacturing...

    Science.gov (United States)

    2011-06-22

    ... Mandatory Reporting of Greenhouse Gases; Changes to Provisions for Electronics Manufacturing (Subpart I) To... proposing changes to the calculation and monitoring provisions in the Electronics Manufacturing portion... Category Examples of affected Category NAICS facilities Electronics Manufacturing......... 334111...

  14. Method of doping a semiconductor

    International Nuclear Information System (INIS)

    Yang, C.Y.; Rapp, R.A.

    1983-01-01

    A method is disclosed for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient

  15. Integration of a nonmetallic electrostatic precipitator and a wet scrubber for improved removal of particles and corrosive gas cleaning in semiconductor manufacturing industries.

    Science.gov (United States)

    Kim, Hak-Joon; Han, Bangwoo; Kim, Yong-Jin; Yoa, Seok-Jun; Oda, Tetsuji

    2012-08-01

    To remove particles in corrosive gases generated by semiconductor industries, we have developed a novel non-metallic, two-stage electrostatic precipitator (ESP). Carbon brush electrodes and grounded carbon fiber-reinforced polymer (CFRP) form the ionization stage, and polyvinyl chloride collection plates are used in the collection stage of the ESP The collection performance of the ESP downstream of a wet scrubber was evaluated with KC1, silica, and mist particles (0.01-10 pm), changing design and operation parameters such as the ESP length, voltage, and flow rate. A long-term and regeneration performance (12-hr) test was conducted at the maximum operation conditions of the scrubber and ESP and the performance was then demonstrated for 1 month with exhaust gases from wet scrubbers at the rooftop of a semiconductor manufacturing plant in Korea. The results showed that the electrical and collection performance of the ESP (16 channels, 400x400 mm2) was maintained with different grounded plate materials (stainless steel and CFRP) and different lengths of the ionization stage. The collection efficiency of the ESP at high air velocity was enhanced with increases in applied voltages and collection plate lengths. The ESP (16 channels with 100 mm length, 400x400 mm2x540 mm with a 10-mm gap) removed more than 90% of silica and mistparticles with 10 and 12 kV applied to the ESPat the air velocity of 2 m/s and liquid-to-gas ratio of 3.6 L/m3. Decreased performance after 13 hours ofcontinuous operation was recovered to the initial performance level by 5 min of water washing. Moreover during the 1-month operation at the demonstration site, the ESP showed average collection efficiencies of 97% based on particle number and 92% based on total particle mass, which were achieved with a much smaller specific corona power of 0.28 W/m3/hr compared with conventional ESPs.

  16. Doping Properties of Ferromagnetic Semiconductors Investigated by the Hyperfine Interaction of Implanted Radioisotopes

    CERN Multimedia

    2002-01-01

    One of the most promising prospective applications of semiconductors will be in the field of spinelectronics. Thereby polarized spins must be injected into semiconductor structures. Ferromagnetic semiconductors (FMS) have a potential for such applications because of the coexistence of semiconducting and ferromagnetic properties. A special group of such FMS are the chromium chalcogenides of type AB$_{2}$C$_{4}$ with B = Cr. They crystallise in the structure of normal spinel. In this Proposal the application of the perturbed angular correlation technique (= PAC) for the investigation of nuclear probes in these substances is described. The radioactive probes will be implanted at the ISOLDE separator. We will start these investigations with the substances CdCr$_{2}$Se$_{4}$, CdCr$_{2}$S$_{4}$, HgCr$_{2}$Se$_{4}$, CuCr$_{2}$Se$_{4}$ and CuCr$_{2}$S$_{4}$ which are ferromagnetic with Curie temperatures between 84.5 and 460 K. In addition to the popular $^{111}$In($^{111}$Cd), which we get from other facilities, we ...

  17. Exposure monitoring of graphene nanoplatelets manufacturing workplaces.

    Science.gov (United States)

    Lee, Ji Hyun; Han, Jong Hun; Kim, Jae Hyun; Kim, Boowook; Bello, Dhimiter; Kim, Jin Kwon; Lee, Gun Ho; Sohn, Eun Kyung; Lee, Kyungmin; Ahn, Kangho; Faustman, Elaine M; Yu, Il Je

    2016-01-01

    Graphenes have emerged as a highly promising, two-dimensional engineered nanomaterial that can possibly substitute carbon nanotubes. They are being explored in numerous R&D and industrial applications in laboratories across the globe, leading to possible human and environmental exposures to them. Yet, there are no published data on graphene exposures in occupational settings and no readily available methods for their detection and quantitation exist. This study investigates for the first time the potential exposure of workers and research personnel to graphenes in two research facilities and evaluates the status of the control measures. One facility manufactures graphene using graphite exfoliation and chemical vapor deposition (CVD), while the other facility grows graphene on a copper plate using CVD, which is then transferred to a polyethylene terephthalate (PET) sheet. Graphene exposures and process emissions were investigated for three tasks - CVD growth, exfoliation, and transfer - using a multi-metric approach, which utilizes several direct reading instruments, integrated sampling, and chemical and morphological analysis. Real-time instruments included a dust monitor, condensation particle counter (CPC), nanoparticle surface area monitor, scanning mobility particle sizer, and an aethalometer. Morphologically, graphenes and other nanostructures released from the work process were investigated using a transmission electron microscope (TEM). Graphenes were quantified in airborne respirable samples as elemental carbon via thermo-optical analysis. The mass concentrations of total suspended particulate at Workplaces A and B were very low, and elemental carbon concentrations were mostly below the detection limit, indicating very low exposure to graphene or any other particles. The real-time monitoring, especially the aethalometer, showed a good response to the released black carbon, providing a signature of the graphene released during the opening of the CVD reactor

  18. 76 FR 39128 - Manufacturer of Controlled Substances; Notice of Registration

    Science.gov (United States)

    2011-07-05

    ... February 23, 2011, 76 FR 10068, Johnson Matthey Pharmaceutical Materials Inc., Pharmaceutical Service, 25...) II Sufentanil (9740) II Hydrocodone (9193) II The company plans to utilize this facility to... support of the company's primary manufacturing facility in West Deptford, New Jersey. The controlled...

  19. Materials Engineering Research Facility (MERF)

    Data.gov (United States)

    Federal Laboratory Consortium — Argonne?s Materials Engineering Research Facility (MERF) enables engineers to develop manufacturing processes for producing advanced battery materials in sufficient...

  20. Simulated annealing and joint manufacturing batch-sizing

    Directory of Open Access Journals (Sweden)

    Sarker Ruhul

    2003-01-01

    Full Text Available We address an important problem of a manufacturing system. The system procures raw materials from outside suppliers in a lot and processes them to produce finished goods. It proposes an ordering policy for raw materials to meet the requirements of a production facility. In return, this facility has to deliver finished products demanded by external buyers at fixed time intervals. First, a general cost model is developed considering both raw materials and finished products. Then this model is used to develop a simulated annealing approach to determining an optimal ordering policy for procurement of raw materials and also for the manufacturing batch size to minimize the total cost for meeting customer demands in time. The solutions obtained were compared with those of traditional approaches. Numerical examples are presented. .

  1. Benchmarks of Global Clean Energy Manufacturing: Summary of Findings

    Energy Technology Data Exchange (ETDEWEB)

    2017-01-01

    The Benchmarks of Global Clean Energy Manufacturing will help policymakers and industry gain deeper understanding of global manufacturing of clean energy technologies. Increased knowledge of the product supply chains can inform decisions related to manufacturing facilities for extracting and processing raw materials, making the array of required subcomponents, and assembling and shipping the final product. This brochure summarized key findings from the analysis and includes important figures from the report. The report was prepared by the Clean Energy Manufacturing Analysis Center (CEMAC) analysts at the U.S. Department of Energy's National Renewable Energy Laboratory.

  2. Semiconductor Laser Diode Pumps for Inertial Fusion Energy Lasers

    International Nuclear Information System (INIS)

    Deri, R.J.

    2011-01-01

    Solid-state lasers have been demonstrated as attractive drivers for inertial confinement fusion on the National Ignition Facility (NIF) at Lawrence Livermore National Laboratory (LLNL) and at the Omega Facility at the Laboratory for Laser Energetics (LLE) in Rochester, NY. For power plant applications, these lasers must be pumped by semiconductor diode lasers to achieve the required laser system efficiency, repetition rate, and lifetime. Inertial fusion energy (IFE) power plants will require approximately 40-to-80 GW of peak pump power, and must operate efficiently and with high system availability for decades. These considerations lead to requirements on the efficiency, price, and production capacity of the semiconductor pump sources. This document provides a brief summary of these requirements, and how they can be met by a natural evolution of the current semiconductor laser industry. The detailed technical requirements described in this document flow down from a laser ampl9ifier design described elsewhere. In brief, laser amplifiers comprising multiple Nd:glass gain slabs are face-pumped by two planar diode arrays, each delivering 30 to 40 MW of peak power at 872 nm during a ∼ 200 (micro)s quasi-CW (QCW) pulse with a repetition rate in the range of 10 to 20 Hz. The baseline design of the diode array employs a 2D mosaic of submodules to facilitate manufacturing. As a baseline, they envision that each submodule is an array of vertically stacked, 1 cm wide, edge-emitting diode bars, an industry standard form factor. These stacks are mounted on a common backplane providing cooling and current drive. Stacks are conductively cooled to the backplane, to minimize both diode package cost and the number of fluid interconnects for improved reliability. While the baseline assessment in this document is based on edge-emitting devices, the amplifier design does not preclude future use of surface emitting diodes, which may offer appreciable future cost reductions and

  3. QA engineering for the LCP USA magnet manufacturers

    International Nuclear Information System (INIS)

    Childress, C.E.; Batey, J.E.; Burn, P.B.

    1981-01-01

    This paper describes the QA and QC efforts and results used in fabricating the superconducting magnets of competing designs being developed by American Manufacturers for testing in the ORNL Large Coil Test Facility. Control of the design, materials and processes to assure proper functioning of the magnets in the test facility as well as the content of archival data being compiled is discussed

  4. Radio Frequency Anechoic Chamber Facility

    Data.gov (United States)

    Federal Laboratory Consortium — FUNCTION: Supports the design, manufacture, and test of antenna systems. The facility is also used as an electromagnetic compatibility/radio frequency interference...

  5. Robotics In Manufacturing: Army View

    Science.gov (United States)

    Michel, F. J.

    1983-05-01

    (Figure 1) This is an outline of my presentation today. The U. S. Army has a dual interest in the use of robots, namely: 1. As a substitute for or an extension of the soldier in the battlefield, and 2. in the factories that make Army materiel, or - as we call it -the the production base. The Production Base can again be divided into three separate segments, i.e., the Army owned and operated facilities or GOG6s, such as Rock Island and Watervliet arsenals, and not to be overlooked, the depot operations. There the Army manufactures gun tubes and other related parts for artillery weapons and repairs and overhauls them. A second category is the Army owned and contractor operated facilities or GOCOs,such as the ammunition plants, the tank plants at Lima, Ohio and Warren, Michigan and the Stratford Engine Plant in Connecticut where gas turbines for helicopter and the Abrams tank are manufactured. The last category covers the industrial base, that is those factories which are not only operated but also owned by the contractor himself also referred to as COCOs. You can see from this description that the Army is supported by a base which produces a diversified line of products. Therefore, the task of technology development and technology insertion is considerably more complex than what one encounters in the average U. S. Manufacturing organization.

  6. A Single-use Strategy to Enable Manufacturing of Affordable Biologics

    OpenAIRE

    Jacquemart, Renaud; Vandersluis, Melissa; Zhao, Mochao; Sukhija, Karan; Sidhu, Navneet; Stout, Jim

    2016-01-01

    The current processing paradigm of large manufacturing facilities dedicated to single product production is no longer an effective approach for best manufacturing practices. Increasing competition for new indications and the launch of biosimilars for the monoclonal antibody market have put pressure on manufacturers to produce at lower cost. Single-use technologies and continuous upstream processes have proven to be cost-efficient options to increase biomass production but as of today the adop...

  7. Semiconductor lasers stability, instability and chaos

    CERN Document Server

    Ohtsubo, Junji

    2017-01-01

    This book describes the fascinating recent advances made concerning the chaos, stability and instability of semiconductor lasers, and discusses their applications and future prospects in detail. It emphasizes the dynamics in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Applications of semiconductor laser chaos, control and noise, and semiconductor lasers are also demonstrated. Semiconductor lasers with new structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are intriguing and promising devices. Current topics include fast physical number generation using chaotic semiconductor lasers for secure communication, development of chaos, quantum-dot semiconductor lasers and quantum-cascade semiconductor lasers, and vertical-cavity surface-emitting lasers. This fourth edition has been significantly expanded to reflect the latest developments. The fundamental theory of laser chaos and the chaotic dynamics in se...

  8. Terahertz semiconductor nonlinear optics

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias

    2013-01-01

    In this proceedings we describe our recent results on semiconductor nonlinear optics, investigated using single-cycle THz pulses. We demonstrate the nonlinear absorption and self-phase modulation of strong-field THz pulses in doped semiconductors, using n-GaAs as a model system. The THz...... nonlinearity in doped semiconductors originates from the near-instantaneous heating of free electrons in the ponderomotive potential created by electric field of the THz pulse, leading to ultrafast increase of electron effective mass by intervalley scattering. Modification of effective mass in turn leads...... to a decrease of plasma frequency in semiconductor and produces a substantial modification of THz-range material dielectric function, described by the Drude model. As a result, the nonlinearity of both absorption coefficient and refractive index of the semiconductor is observed. In particular we demonstrate...

  9. Additively Manufactured, Net Shape Powder Metallurgy Cans for Valves Used in Energy Production

    Energy Technology Data Exchange (ETDEWEB)

    Peter, William H. [ORNL; Gandy, David [Electric Power Research Institute (EPRI); Lannom, Robert [Oak Ridge National Laboratory (ORNL)

    2018-01-01

    This CRADA NFE-14-05241 was conducted as a Technical Collaboration project within the Oak Ridge National Laboratory (ORNL) Manufacturing Demonstration Facility (MDF) sponsored by the US Department of Energy Advanced Manufacturing Office (CPS Agreement Number 24761). Opportunities for MDF technical collaborations are listed in the announcement “Manufacturing Demonstration Facility Technology Collaborations for US Manufacturers in Advanced Manufacturing and Materials Technologies” posted at http://web.ornl.gov/sci/manufacturing/docs/FBO-ORNL-MDF-2013-2.pdf. The goal of technical collaborations is to engage industry partners to participate in short-term, collaborative projects within the Manufacturing Demonstration Facility (MDF) to assess applicability and of new energy efficient manufacturing technologies. Research sponsored by the U.S. Department of Energy, Office of Energy Efficiency and Renewable Energy, Advanced Manufacturing Office, under contract DE-AC05-00OR22725 with UT-Battelle, LLC.ORNL would like to acknowledge the leadership of EPRI in pulling together the extensive team and managing the execution of the project. In addition, ORNL would like to acknowledge the other contributions of the team members associated with this project. Quintus provided time, access, expertise, and labor of their hydro forming capabilities to evaluate both conventional and additively manufactured tools through this process. Crane ChemPharma Energy provided guidance and information on valve geometries. Carpenter Powder Products was involved with the team providing information on powder processing as it pertains to the canning and hot isostatic pressing of powder. on providing powder and knowledge as it pertains to powder supply for hot isostatic pressing; they also provided powder for the test trials by the industrial team. Bodycote provided guidance on hot isostatic pressing and can requirements. They were also responsible for the hot isostatic pressing of the test valve

  10. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  11. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  12. Forecasting the Success of Implementing Sensors Advanced Manufacturing Technology

    Directory of Open Access Journals (Sweden)

    Cheng-Shih Su

    2014-08-01

    Full Text Available This paper is presented fuzzy preference relations approach to forecast the success of implementing sensors advanced manufacturing technology (AMT. In the manufacturing environment, performance measurement is based on different quantitative and qualitative factors. This study proposes an analytic hierarchical prediction model based on fuzzy preference relations to help the organizations become aware of the essential factors affecting the AMT implementation, forecasting the chance of successful implementing sensors AMT, as well as identifying the actions necessary before implementing sensors AMT. Then predicted success/failure values are obtained to enable organizations to decide whether to initiate sensors AMT, inhibit adoption or take remedial actions to increase the possibility of successful sensors AMT initiatives. This proposed approach is demonstrated with a real case study involving six influential factors assessed by nine evaluators solicited from a semiconductor engineering incorporation located in Taiwan.

  13. FY 2016 Research Highlights

    Energy Technology Data Exchange (ETDEWEB)

    2017-03-23

    This fact sheet summarizes the research highlights for the Clean Energy Manufacturing Analysis Center (CEMAC) for Fiscal Year 2106. Topics covered include additive manufacturing for the wind industry, biomass-based chemicals substitutions, carbon fiber manufacturing facility siting, geothermal power plant turbines, hydrogen refueling stations, hydropower turbines, LEDs and lighting, light-duty automotive lithium-ion cells, magnetocaloric refrigeration, silicon carbide power electronics for variable frequency motor drives, solar photovoltaics, and wide bandgap semiconductor opportunities in power electronics.

  14. Minimum Analytical Chemistry Requirements for Pit Manufacturing at Los Alamos National Laboratory; TOPICAL

    International Nuclear Information System (INIS)

    Moy, Ming M.; Leasure, Craig S.

    1998-01-01

    Analytical chemistry is one of several capabilities necessary for executing the Stockpile Stewardship and Management Program at Los Alamos National Laboratory (LANL). Analytical chemistry capabilities reside in the Chemistry Metallurgy Research (CMR) Facility and Plutonium Facility (TA-55). These analytical capabilities support plutonium recovery operations, plutonium metallurgy, and waste management. Analytical chemistry capabilities at both nuclear facilities are currently being configured to support pit manufacturing. This document summarizes the minimum analytical chemistry capabilities required to sustain pit manufacturing at LANL. By the year 2004, approximately$16 million will be required to procure analytical instrumentation to support pit manufacturing. In addition,$8.5 million will be required to procure glovebox enclosures. An estimated 50% increase in costs has been included for installation of analytical instruments and glovebox enclosures. However, no general and administrative (G and A) taxes have been included. If an additional 42.5/0 G and A tax were to be incurred, approximately$35 million would be required over the next five years to prepare analytical chemistry to support a 50-pit-per-year manufacturing capability by the year 2004

  15. New achievements in RF cavity manufacturing

    International Nuclear Information System (INIS)

    Lippmann, G.; Pimiskern, K.; Kaiser, H.

    1993-01-01

    Dornier has been engaged in development, manufacturing and testing of Cu-, Cu/Nb- and Nb-cavities for many years. Recently, several different types of RF cavities were manufactured. A prototype superconducting (s.c.) B-Factory accelerating cavity (1-cell, 500 MHz) was delivered to Cornell University, Laboratory of Nuclear Studies. A second lot of 6 s.c. cavities (20-cell, 3000 MHz) was fabricated on contract from Technical University of Darmstadt for the S-DALINAC facility. Finally, the first copper RF structures (9-cell, 1300 MHz) for TESLA were finished and delivered to DESY, two s.c. niobium structures of the same design are in production. Highlights from the manufacturing processes of these cavities are described and first performance results will be reported

  16. Optical characterization of semiconductors infrared, Raman, and photoluminescence spectroscopy

    CERN Document Server

    Perkowitz, Sidney

    1993-01-01

    This is the first book to explain, illustrate, and compare the most widely used methods in optics: photoluminescence, infrared spectroscopy, and Raman scattering. Written with non-experts in mind, the book develops the background needed to understand the why and how of each technique, but does not require special knowledge of semiconductors or optics. Each method is illustrated with numerous case studies. Practical information drawn from the authors experience is given to help establish optical facilities, including commercial sources for equipment, and experimental details. For industrial sci

  17. Method of manufacturing a layer thermoelectric battery. Herstellungsverfahren fuer Schichtthermobatterien

    Energy Technology Data Exchange (ETDEWEB)

    Lidorenko, N.S.; Kolomoets, N.V.; Daschevsky, Z.M.; Granovsky, V.I.; Schemtschuschina, E.A.; Chernousov, L.N.; Schmidt, I.A.; Nikolaschina, L.A.; Gelfgat, D.M.; Sgibnev, I.V.

    1980-08-21

    A method of manufacturing a layer thermoelectric battery is described, whereby a film of a thermoelectric semiconductor material which is an n-type stoichiometric solid solution containing Bi2Te3 and Sb2Te3 is deposited on a substrate. Then heating is effected so that adjacent arms of the film are at different temperatures, some at a temperature of not above 300/sup 0/C, and others at a temperature of not less than 350/sup 0/C.

  18. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  19. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  20. Modular manufacturing processes : Status, challenges, and opportunities

    NARCIS (Netherlands)

    Baldea, Michael; Edgar, Thomas F.; Stanley, Bill L.; Kiss, Anton A.

    2017-01-01

    Chemical companies are constantly seeking new, high-margin growth opportunities, the majority of which lie in high-grade, specialty chemicals, rather than in the bulk sector. To realize these opportunities, manufacturers are increasingly considering decentralized, flexible production facilities:

  1. An evaluation of the effectiveness of the EPA comply code to demonstrate compliance with radionuclide emission standards at three manufacturing facilities

    International Nuclear Information System (INIS)

    Smith, L.R.; Laferriere, J.R.; Nagy, J.W.

    1991-01-01

    Measurements of airborne radionuclide emissions and associated environmental concentrations were made at, and in the vicinity of, two urban and one suburban facility where radiolabeled chemicals for biomedical research and radiopharmaceuticals are manufactured. Emission, environmental and meteorological measurements were used in the EPA COMPLY code and in environmental assessment models developed specifically for these sites to compare their ability to predict off-site measurements. The models and code were then used to determine potential dose to hypothetical maximally exposed receptors and the ability of these methods to demonstrate whether these facilities comply with proposed radionuclide emission standards assessed. In no case did the models and code seriously underestimate off-site impacts. However, for certain radionuclides and chemical forms, the EPA COMPLY code was found to overestimate off-site impacts by such a large factor as to render its value questionable for determining regulatory compliance. Recommendations are offered for changing the code to enable it to be more serviceable to radionuclide users and regulators

  2. Survey of US Department of Defense Manufacturing Technology Program activities applicable to civilian manufacturing industries. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Azimi, S.A.; Conrad, J.L.; Reed, J.E.

    1985-03-01

    Intent of the survey was to identify and characterize activities potentially applicable to improving energy efficiency and overall productivity in the civilian manufacturing industries. The civilian industries emphasized were the general manufacturing industries (including fabricated metals, glass, machinery, paper, plastic, textile, and transportation equipment manufacturing) and the primary metals industries (including primary aluminum, copper, steel, and zinc production). The principal steps in the survey were to: develop overview taxonomies of the general manufacturing and primary metals industries as well as specific industry taxonomies; identify needs and opportunities for improving process energy efficiency and productivity in the industries included; identify federal programs, capabilities, and special technical expertise that might be relevant to industry's needs and opportunities; contact federal laboratories/facilities, through visits and other forms of inquiry; prepare formatted profiles (descriptions) potentially applicable work efforts; review findings with industry; and compile and evaluate industry responses.

  3. 2001 Industry Studies: Electronics

    Science.gov (United States)

    2001-01-01

    Center, Dallas, TX Northrop Grumman Corp, Electronic Sensors & Systems, Baltimore, MD International Acer Incorporated, Hsin Chu, Taiwan Aerospace...manufacturing. Many of the large-scale fabrication foundries are offshore in such countries as Taiwan, Singapore and Malaysia .5 - 5 - The largest market for...done in the US. However, more of the actual mass manufacturing of the chips are done in Taiwan, Singapore, and Malaysia . A new semiconductor facility

  4. Radioactivities of Long Duration Exposure Facility (LDEF) materials: Baggage and bonanzas

    International Nuclear Information System (INIS)

    Smith, A.R.; Hurley, D.L.

    1991-08-01

    Radioactivities in materials onboard the returned Long Duration Exposure Facility (LDEF) satellite have been studied by a variety of techniques. Among the most powerful is low-background Ge-semiconductor detector gamma-ray spectrometry, illustrated here by results obtained at the Lawrence Berkeley Laboratory's (LBL) Low Bakground Facilities, in a multi-laboratory collaboration coordinated by Dr. Thomas Parnell's team at the Marshall Spacecraft Center, Huntsville, Alabama

  5. Physical principles of semiconductor detectors

    International Nuclear Information System (INIS)

    Micek, S.L.

    1979-01-01

    The general properties of semiconductors with respect to the possibilities of their use as the ionization radiation detectors are discussed. Some chosen types of semiconductor junctions and their characteristics are briefly presented. There are also discussed the physical phenomena connected with the formation of barriers in various types of semiconductor counters. Finally, the basic properties of three main types of semiconductor detectors are given. (author)

  6. Some tooling for manufacturing research reactor fuel plates

    International Nuclear Information System (INIS)

    Knight, R.W.

    1999-01-01

    This paper will discuss some of the tooling necessary to manufacture aluminum-based research reactor fuel plates. Most of this tooling is intended for use in a high-production facility. Some of the tools shown have manufactured more than 150,000 pieces. The only maintenance has been sharpening. With careful design, tools can be made to accommodate the manufacture of several different fuel elements, thus, reducing tooling costs and maintaining tools that the operators are trained to use. An important feature is to design the tools using materials with good lasting quality. Good tools can increase return on investment. (author)

  7. Some Tooling for Manufacturing Research Reactor Fuel Plates

    International Nuclear Information System (INIS)

    Knight, R.W.

    1999-01-01

    This paper will discuss some of the tooling necessary to manufacture aluminum-based research reactor fuel plates. Most of this tooling is intended for use in a high-production facility. Some of the tools shown have manufactured more than 150,000 pieces. The only maintenance has been sharpening. With careful design, tools can be made to accommodate the manufacture of several different fuel elements, thus, reducing tooling costs and maintaining tools that the operators are trained to use. An important feature is to design the tools using materials with good lasting quality. Good tools can increase return on investment

  8. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  9. A Novel Defect Inspection Method for Semiconductor Wafer Based on Magneto-Optic Imaging

    Science.gov (United States)

    Pan, Z.; Chen, L.; Li, W.; Zhang, G.; Wu, P.

    2013-03-01

    The defects of semiconductor wafer may be generated from the manufacturing processes. A novel defect inspection method of semiconductor wafer is presented in this paper. The method is based on magneto-optic imaging, which involves inducing eddy current into the wafer under test, and detecting the magnetic flux associated with eddy current distribution in the wafer by exploiting the Faraday rotation effect. The magneto-optic image being generated may contain some noises that degrade the overall image quality, therefore, in this paper, in order to remove the unwanted noise present in the magneto-optic image, the image enhancement approach using multi-scale wavelet is presented, and the image segmentation approach based on the integration of watershed algorithm and clustering strategy is given. The experimental results show that many types of defects in wafer such as hole and scratch etc. can be detected by the method proposed in this paper.

  10. Semiconductors data handbook

    CERN Document Server

    Madelung, Otfried

    2004-01-01

    This volume Semiconductors: Data Handbook contains frequently used data from the corresponding larger Landolt-Börnstein handbooks in a low price book for the individual scientist working in the laboratory. The Handbook contain important information about a large number of semiconductors

  11. Manufacturing: SiC Power Electronics for Variable Frequency Motor Drives

    Energy Technology Data Exchange (ETDEWEB)

    Horowitz, Kelsey A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Bench Reese, Samantha R [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Remo, Timothy W [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-08-15

    This brochure, published as an annual research highlight of the Clean Energy Manufacturing Analysis Center (CEMAC), summarizes CEMAC analysis of silicon carbide (SiC) power electronics for variable frequency motor drives. The key finding presented is that variations in manufacturing expertise, yields, and access to existing facilities impact regional costs and manufacturing location decisions for SiC ingots, wafers, chips, and power modules more than do core country-specific factors such as labor and electricity costs.

  12. Studies on applications of functional organic-thin-films for lithography on semiconductor device production

    International Nuclear Information System (INIS)

    Ogawa, Kazufumi

    1988-12-01

    This report describes some experimental results of studies in an attempt to contribute to the development of ultra-fine lithography which is used for the manufacture of semiconductor devices with design rule below 0.5 μm, and contains (1) manufacture of the exposure apparatus, (2) establishment of the resist process technology, and (3) preparation of the resist materials. The author designed and manufactured the KrF excimer laser stepper which is supposed to be most promising for practical uses. In the resist processing technology, the water-soluble contrast enhanced lithography (CEL) process was developed and this process has advantages is that high pattern contrast and large focus depth latitude were easily obtained. Finally, for resist materials, use of Langmuir-Blodgett (LB) films was investigated since the LB technique provides the method to prepare extremely thin organic films which are uniform in molecular level, and the reaction mechanism of the LB films of unsaturated compounds under irradiation with high energy beams was elucidated. (author)

  13. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  14. Hydrogen manufacturing using plasma reformers

    Energy Technology Data Exchange (ETDEWEB)

    Bromberg, L.; Cohn, D.R.; Rabinovich, A.; Hochgreb, S.; O`Brien, C. [Massachusetts Institute of Technology, Cambridge, MA (United States)

    1996-10-01

    Manufacturing of hydrogen from hydrocarbon fuels is needed for a variety of applications. These applications include fuel cells used in stationary electric power production and in vehicular propulsion. Hydrogen can also be used for various combustion engine systems. There is a wide range of requirements on the capacity of the hydrogen manufacturing system, the purity of the hydrogen fuel, and capability for rapid response. The overall objectives of a hydrogen manufacturing facility are to operate with high availability at the lowest possible cost and to have minimal adverse environmental impact. Plasma technology has potential to significantly alleviate shortcomings of conventional means of manufacturing hydrogen. These shortcomings include cost and deterioration of catalysts; limitations on hydrogen production from heavy hydrocarbons; limitations on rapid response; and size and weight requirements. In addition, use of plasma technology could provide for a greater variety of operating modes; in particular the possibility of virtual elimination of CO{sub 2} production by pyrolytic operation. This mode of hydrogen production may be of increasing importance due to recent additional evidence of global warming.

  15. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying

    2013-06-02

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control of thin-film morphology. Here, we report an approach - termed fluid-enhanced crystal engineering (FLUENCE) - that allows for a high degree of morphological control of solution-printed thin films. We designed a micropillar-patterned printing blade to induce recirculation in the ink for enhancing crystal growth, and engineered the curvature of the ink meniscus to control crystal nucleation. Using FLUENCE, we demonstrate the fast coating and patterning of millimetre-wide, centimetre-long, highly aligned single-crystalline organic semiconductor thin films. In particular, we fabricated thin films of 6,13-bis(triisopropylsilylethynyl) pentacene having non-equilibrium single-crystalline domains and an unprecedented average and maximum mobilities of 8.1±1.2 cm2 V-1 s -1 and 11 cm2 V-1 s-1. FLUENCE of organic semiconductors with non-equilibrium single-crystalline domains may find use in the fabrication of high-performance, large-area printed electronics. © 2013 Macmillan Publishers Limited. All rights reserved.

  16. Cost benefit of investment on quality in pharmaceutical manufacturing: WHO GMP pre- and post-certification of a Nigerian pharmaceutical manufacturer.

    Science.gov (United States)

    Anyakora, Chimezie; Ekwunife, Obinna; Alozie, Faith; Esuga, Mopa; Ukwuru, Jonathan; Onya, Steve; Nwokike, Jude

    2017-09-18

    Pharmaceutical companies in Africa need to invest in both facilities and quality management systems to achieve good manufacturing practice (GMP) compliance. Compliance to international GMP standards is important to the attainment of World Health Organization (WHO) prequalification. However, most of the local pharmaceutical manufacturing companies may be deterred from investing in quality because of many reasons, ranging from financial constraints to technical capacity. This paper primarily evaluates benefits against the cost of investing in GMP, using a Nigerian pharmaceutical company, Chi Pharmaceuticals Limited, as a case study. This paper also discusses how to drive more local manufacturers to invest in quality to attain GMP compliance; and proffers practical recommendations for local manufacturers who would want to invest in quality to meet ethical and regulatory obligations. The cost benefit of improving the quality of Chi Pharmaceuticals Limited's facilities and system to attain WHO GMP certification for the production of zinc sulfate 20-mg dispersible tablets was calculated by dividing the annual benefits derived from quality improvement interventions by the annual costs of implementing quality improvement interventions, referred to as a benefit-cost ratio (BCR). Cost benefit of obtaining WHO GMP certification for the production of zinc sulfate 20-mg dispersible tablets was 5.3 (95% confidence interval of 5.0-5.5). Investment in quality improvement intervention is cost-beneficial for local manufacturing companies. Governments and regulators in African countries should support pharmaceutical companies striving to invest in quality. Collaboration of local manufacturing companies with global companies will further improve quality. Local pharmaceutical companies should be encouraged to key into development opportunities available for pharmaceutical companies in Africa.

  17. Compound Semiconductor Radiation Detectors

    CERN Document Server

    Owens, Alan

    2012-01-01

    Although elemental semiconductors such as silicon and germanium are standard for energy dispersive spectroscopy in the laboratory, their use for an increasing range of applications is becoming marginalized by their physical limitations, namely the need for ancillary cooling, their modest stopping powers, and radiation intolerance. Compound semiconductors, on the other hand, encompass such a wide range of physical and electronic properties that they have become viable competitors in a number of applications. Compound Semiconductor Radiation Detectors is a consolidated source of information on all aspects of the use of compound semiconductors for radiation detection and measurement. Serious Competitors to Germanium and Silicon Radiation Detectors Wide-gap compound semiconductors offer the ability to operate in a range of hostile thermal and radiation environments while still maintaining sub-keV spectral resolution at X-ray wavelengths. Narrow-gap materials offer the potential of exceeding the spectral resolutio...

  18. Optical orientation in ferromagnet/semiconductor hybrids

    International Nuclear Information System (INIS)

    Korenev, V L

    2008-01-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin–spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism

  19. Optical orientation in ferromagnet/semiconductor hybrids

    Science.gov (United States)

    Korenev, V. L.

    2008-11-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin-spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism.

  20. Optical Orientation in Ferromagnet/Semiconductor Hybrids

    OpenAIRE

    Korenev, V. L.

    2008-01-01

    The physics of optical pumping of semiconductor electrons in the ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of the ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of the semiconductor. Spin-spin interactions near the interface ferromagnet/semiconductor play crucial role in the optical readout and the manipulation of ferromagnetism.

  1. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  2. Evaluation of Additive Manufacturing for Composite Part Molds

    Energy Technology Data Exchange (ETDEWEB)

    Duty, Chad E. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Springfield, Robert M. [Tru Design, LLC, Knoxville, TN (United States)

    2015-02-01

    The ORNL Manufacturing Demonstration Facility (MDF) collaborated with Tru-Design to test the quality and durability of molds used for making fiber reinforced composites using additive manufacturing. The partners developed surface treatment techniques including epoxy coatings and machining to improve the quality of the surface finish. Test samples made using the printed and surface finished molds demonstrated life spans suitable for one-of-a-kind and low-volume applications, meeting the project objective.

  3. Surrogate Plant Data Base : Volume 4. Appendix E : Medium and Heavy Truck Manufacturing

    Science.gov (United States)

    1983-05-01

    This four volume report consists of a data base describing "surrogate" automobile and truck manufacturing plants developed as part of a methodology for evaluating capital investment requirements in new manufacturing facilities to build new fleets of ...

  4. Spectroscopic characterization of III-V semiconductor nanomaterials

    Science.gov (United States)

    Crankshaw, Shanna Marie

    III-V semiconductor materials form a broad basis for optoelectronic applications, including the broad basis of the telecom industry as well as smaller markets for high-mobility transistors. In a somewhat analogous manner as the traditional silicon logic industry has so heavily depended upon process manufacturing development, optoelectronics often relies instead on materials innovations. This thesis focuses particularly on III-V semiconductor nanomaterials, detailed characterization of which is invaluable for translating the exhibited behavior into useful applications. Specifically, the original research described in these thesis chapters is an investigation of semiconductors at a fundamental materials level, because the nanostructures in which they appear crystallize in quite atypical forms for the given semiconductors. Rather than restricting the experimental approaches to any one particular technique, many different types of optical spectroscopies are developed and applied where relevant to elucidate the connection between the crystalline structure and exhibited properties. In the first chapters, for example, a wurtzite crystalline form of the prototypical zincblende III-V binary semiconductor, GaAs, is explored through polarization-dependent Raman spectroscopy and temperature-dependent photoluminescence, as well as second-harmonic generation (SHG). The altered symmetry properties of the wurtzite crystalline structure are particularly evident in the Raman and SHG polarization dependences, all within a bulk material realm. A rather different but deeply elegant aspect of crystalline symmetry in GaAs is explored in a separate study on zincblende GaAs samples quantum-confined in one direction, i.e. quantum well structures, whose quantization direction corresponds to the (110) direction. The (110) orientation modifies the low-temperature electron spin relaxation mechanisms available compared to the usual (001) samples, leading to altered spin coherence times explored

  5. Effects of work-related factors on the breastfeeding behavior of working mothers in a Taiwanese semiconductor manufacturer: a cross-sectional survey.

    Science.gov (United States)

    Chen, Yi Chun; Wu, Ya-Chi; Chie, Wei-Chu

    2006-06-21

    In recent years, the creation of supportive environments for encouraging mothers to breastfeed their children has emerged as a key health issue for women and children. The provision of lactation rooms and breast pumping breaks have helped mothers to continue breastfeeding after returning to work, but their effectiveness is uncertain. The aim of this study was to assess the effects of worksite breastfeeding-friendly policies and work-related factors on the behaviour of working mothers. This study was conducted at a large Taiwanese semiconductor manufacturer in August-September 2003. Questionnaires were used to collect data on female employees' breastfeeding behaviour, child rearing and work status when raising their most recently born child. A total of 998 valid questionnaires were collected, giving a response rate of 75.3%. The results showed that 66.9% of survey respondents breastfed initially during their maternity leave, which averaged 56 days. Despite the provision of lactation rooms and breast pumping breaks, only 10.6% mothers continued to breastfeed after returning to work, primarily office workers and those who were aware of their company's breastfeeding-friendly policies. In conclusion, breastfeeding-friendly policies can significantly affect breastfeeding behaviour. However, an unfavourable working environment, especially for fab workers, can make it difficult to implement breastfeeding measures. With health professionals emphasizing that the importance of breastfeeding for infant health, and as only females can perform lactation, it is vital that women's work "productive role" and family "reproductive role" be respected and accommodated by society.

  6. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  7. Energy-Saving Opportunities for Manufacturing Companies (English/Portuguese Brochure)

    Energy Technology Data Exchange (ETDEWEB)

    2011-07-01

    This English/Portuguese brochure describes the Industrial Technologies Program Save Energy Now model and provides information on tools and resources to help manufacturing facilities reduce industrial energy intensity.

  8. Results from a beam test of silicon strip sensors manufactured by Infineon Technologies AG

    Energy Technology Data Exchange (ETDEWEB)

    Dragicevic, M., E-mail: marko.dragicevic@oeaw.ac.at [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Auzinger, G. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); CERN, Geneva (Switzerland); Bartl, U. [Infineon Technologies Austria AG, Villach (Austria); Bergauer, T. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Gamerith, S.; Hacker, J. [Infineon Technologies Austria AG, Villach (Austria); König, A. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Infineon Technologies Austria AG, Villach (Austria); Kröner, F.; Kucher, E.; Moser, J.; Neidhart, T. [Infineon Technologies Austria AG, Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, Munich (Germany); Schustereder, W. [Infineon Technologies Austria AG, Villach (Austria); Treberspurg, W. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Wübben, T. [Infineon Technologies Austria AG, Villach (Austria)

    2014-11-21

    Most modern particle physics experiments use silicon based sensors for their tracking systems. These sensors are able to detect particles generated in high energy collisions with high spatial resolution and therefore allow the precise reconstruction of particle tracks. So far only a few vendors were capable of producing silicon strip sensors with the quality needed in particle physics experiments. Together with the European-based semiconductor manufacturer Infineon Technologies AG (Infineon) the Institute of High Energy Physics of the Austrian Academy of Sciences (HEPHY) developed planar silicon strip sensors in p-on-n technology. This work presents the first results from a beam test of strip sensors manufactured by Infineon.

  9. Semiconductor Laser Measurements Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — The Semiconductor Laser Measurements Laboratory is equipped to investigate and characterize the lasing properties of semiconductor diode lasers. Lasing features such...

  10. 'Serial review on clinical PET tracers'. Manufacturing and quality control of positron emitting radiopharmaceuticals produced by in-house cyclotron

    International Nuclear Information System (INIS)

    Saji, Hideo

    2009-01-01

    In order to establish PET diagnosis as a routine clinical tool, manufacture's compliance with regulations under the Good Manufacturing Practice (GMP) principle for PET radiopharmaceuticals is necessary. For this purpose, the Sub-committee on Medical Application of Positron Emitting Radionuclides, Medical Science and Pharmaceutical Committee of Japan Radioisotopes Association has proposed 'Standards for Compounds Labeled with Emitting Radionuclides Approved as Established Techniques for Medical Use'. This guideline includes the general notices, general rules for preparations, general tests for the quality control, quality of each PET agents, guideline for manufacturing environment and manufacturing process at manufacturing facilities of PET agents. Each facility should have a committee and establish an internal system to account for manufacturing compounds labeled with positron emitting radionuclides produced in the facility, and compile standards by referring to the 'Established Standard Techniques of Labeling Compounds with Emitting Radionuclides for use as Radiopharmaceuticals: approved by the Subcommittee on Medical Application of Cyclotron-Produced Radionuclides (revised in 2009)', in order to maintain the quality of radiopharmaceuticals. (author)

  11. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  12. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  13. Handbook of luminescent semiconductor materials

    CERN Document Server

    Bergman, Leah

    2011-01-01

    Photoluminescence spectroscopy is an important approach for examining the optical interactions in semiconductors and optical devices with the goal of gaining insight into material properties. With contributions from researchers at the forefront of this field, Handbook of Luminescent Semiconductor Materials explores the use of this technique to study semiconductor materials in a variety of applications, including solid-state lighting, solar energy conversion, optical devices, and biological imaging. After introducing basic semiconductor theory and photoluminescence principles, the book focuses

  14. Reducing leakage current in semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  15. Semiconductor device comprising a pn-heterojunction

    NARCIS (Netherlands)

    2007-01-01

    An electric device is disclosed comprising a pn-heterojunction ( 4 ) formed by a nanowire ( 3 ) of 111 -V semiconductor material and a semiconductor body ( 1 ) comprising a group IV semiconductor material. The nanowire ( 3 ) is positioned in direct contact with the surface ( 2 ) of the semiconductor

  16. Depletion field focusing in semiconductors

    NARCIS (Netherlands)

    Prins, M.W.J.; Gelder, Van A.P.

    1996-01-01

    We calculate the three-dimensional depletion field profile in a semiconductor, for a planar semiconductor material with a spatially varying potential upon the surface, and for a tip-shaped semiconductor with a constant surface potential. The nonuniform electric field gives rise to focusing or

  17. The manufacturers' viewpoint

    International Nuclear Information System (INIS)

    Davis, D.A.

    1986-01-01

    This paper describes the approach by six separate manufacturers to the problem of availability from their particular view point. This presentation demonstrates basic strategy: attention to high reliability at the design phase, based on positive and detailed feedback from existing plant; quality assurance at the production stage which has been planned into the production process in the form of a Q.A. manual in design; sophisticated test procedures and facilities; simplicity of design with high accuracy in production; provision of a clear operational maintenance manual, etc. The manufacturers agreed on the need to make a conscious commitment to design for high availability, taking into account both initial and ongoing operating costs in life cycle cost assessment. Predictability, reliability, maintainability, efficiency, market acceptability and maintenance support based on high quality feedback between operator and supplier were all stressed on the grounds that prevention is always better than cure

  18. Past and Present of the Chinese and Korean Trainees and Survival of a Small Manufacturing Industry

    Science.gov (United States)

    Nishihata, Mikio

    In 1973, the author established the Nippon Bell Parts Co., Ltd. in Funabashi-city under his estimation of the advances in communication, information, semiconductor and automotive industries, then he has focused on R&D and developed the manufacturing of precise parts. During the past 30 years, he has himself experienced the importance of the mutual exchange between Japan and China and Korea, for keeping the human capability as well as for the management and the technical development to avoid a bankruptcy. The author is intentionally acting for the education of craftsmen in small and medium-sized manufacturing industries.

  19. Solution-printed organic semiconductor blends exhibiting transport properties on par with single crystals

    KAUST Repository

    Niazi, Muhammad Rizwan; Li, Ruipeng; Li, Erqiang; Kirmani, Ahmad R.; Abdelsamie, Maged; Wang, Qingxiao; Pan, Wenyang; Payne, Marcia M.; Anthony, John E.; Smilgies, Detlef-M.; Thoroddsen, Sigurdur T; Giannelis, Emmanuel P.; Amassian, Aram

    2015-01-01

    Solution-printed organic semiconductors have emerged in recent years as promising contenders for roll-to-roll manufacturing of electronic and optoelectronic circuits. The stringent performance requirements for organic thin-film transistors (OTFTs) in terms of carrier mobility, switching speed, turn-on voltage and uniformity over large areas require performance currently achieved by organic single-crystal devices, but these suffer from scale-up challenges. Here we present a new method based on blade coating of a blend of conjugated small molecules and amorphous insulating polymers to produce OTFTs with consistently excellent performance characteristics (carrier mobility as high as 6.7 cm2 V−1 s−1, low threshold voltages of<1 V and low subthreshold swings <0.5 V dec−1). Our findings demonstrate that careful control over phase separation and crystallization can yield solution-printed polycrystalline organic semiconductor films with transport properties and other figures of merit on par with their single-crystal counterparts.

  20. Rapid Three-Dimensional Printing in Water Using Semiconductor-Metal Hybrid Nanoparticles as Photoinitiators.

    Science.gov (United States)

    Pawar, Amol Ashok; Halivni, Shira; Waiskopf, Nir; Ben-Shahar, Yuval; Soreni-Harari, Michal; Bergbreiter, Sarah; Banin, Uri; Magdassi, Shlomo

    2017-07-12

    Additive manufacturing processes enable fabrication of complex and functional three-dimensional (3D) objects ranging from engine parts to artificial organs. Photopolymerization, which is the most versatile technology enabling such processes through 3D printing, utilizes photoinitiators that break into radicals upon light absorption. We report on a new family of photoinitiators for 3D printing based on hybrid semiconductor-metal nanoparticles. Unlike conventional photoinitiators that are consumed upon irradiation, these particles form radicals through a photocatalytic process. Light absorption by the semiconductor nanorod is followed by charge separation and electron transfer to the metal tip, enabling redox reactions to form radicals in aerobic conditions. In particular, we demonstrate their use in 3D printing in water, where they simultaneously form hydroxyl radicals for the polymerization and consume dissolved oxygen that is a known inhibitor. We also demonstrate their potential for two-photon polymerization due to their giant two-photon absorption cross section.

  1. Solution-printed organic semiconductor blends exhibiting transport properties on par with single crystals

    KAUST Repository

    Niazi, Muhammad Rizwan

    2015-11-23

    Solution-printed organic semiconductors have emerged in recent years as promising contenders for roll-to-roll manufacturing of electronic and optoelectronic circuits. The stringent performance requirements for organic thin-film transistors (OTFTs) in terms of carrier mobility, switching speed, turn-on voltage and uniformity over large areas require performance currently achieved by organic single-crystal devices, but these suffer from scale-up challenges. Here we present a new method based on blade coating of a blend of conjugated small molecules and amorphous insulating polymers to produce OTFTs with consistently excellent performance characteristics (carrier mobility as high as 6.7 cm2 V−1 s−1, low threshold voltages of<1 V and low subthreshold swings <0.5 V dec−1). Our findings demonstrate that careful control over phase separation and crystallization can yield solution-printed polycrystalline organic semiconductor films with transport properties and other figures of merit on par with their single-crystal counterparts.

  2. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  3. Electrodes for Semiconductor Gas Sensors

    Science.gov (United States)

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  4. Controlled Growth of Ultrathin Film of Organic Semiconductors by Balancing the Competitive Processes in Dip-Coating for Organic Transistors.

    Science.gov (United States)

    Wu, Kunjie; Li, Hongwei; Li, Liqiang; Zhang, Suna; Chen, Xiaosong; Xu, Zeyang; Zhang, Xi; Hu, Wenping; Chi, Lifeng; Gao, Xike; Meng, Yancheng

    2016-06-28

    Ultrathin film with thickness below 15 nm of organic semiconductors provides excellent platform for some fundamental research and practical applications in the field of organic electronics. However, it is quite challenging to develop a general principle for the growth of uniform and continuous ultrathin film over large area. Dip-coating is a useful technique to prepare diverse structures of organic semiconductors, but the assembly of organic semiconductors in dip-coating is quite complicated, and there are no reports about the core rules for the growth of ultrathin film via dip-coating until now. In this work, we develop a general strategy for the growth of ultrathin film of organic semiconductor via dip-coating, which provides a relatively facile model to analyze the growth behavior. The balance between the three direct factors (nucleation rate, assembly rate, and recession rate) is the key to determine the growth of ultrathin film. Under the direction of this rule, ultrathin films of four organic semiconductors are obtained. The field-effect transistors constructed on the ultrathin film show good field-effect property. This work provides a general principle and systematic guideline to prepare ultrathin film of organic semiconductors via dip-coating, which would be highly meaningful for organic electronics as well as for the assembly of other materials via solution processes.

  5. Development of steam generator manufacturing technology

    International Nuclear Information System (INIS)

    Grant, J.A.

    1979-01-01

    In 1968 Babcock and Wilcox (Operations) Ltd., received an order from the CEGB to design, manufacture, install and commission 16 Steam Generators for 2 x 660 Mw (e) Advanced Gas Cooled Reactor Power Station at Hartlepool. This order was followed in 1970 by a similar order for the Heysham Power Station. The design and manufacture of the Steam Generators represented a major advance in technology and the paper discusses the methods by which a manufacturing facility was developed, by the Production Division of Babcock, to produce components to a quality, complexity and accuracy unique in the U.K. commercial boilermaking industry. The discussion includes a brief design background, a description of the Steam Generators and a view of the Production Division background. This is followed by a description of the organisation of the technological development and a consideration of the results. (author)

  6. Space Facility for Orbital Remote Manufacturing (SPACEFORM), Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — To address NASA need in continued cost efficient International Space Station (ISS) exploration FOMS Inc. proposes to develop and deploy Space Facility for Orbital...

  7. 303-K Radioactive Mixed-Waste Storage Facility closure plan

    International Nuclear Information System (INIS)

    1991-11-01

    The Hanford Site, located northwest of Richland, Washington, houses reactors chemical-separation systems, and related facilities used for the production o special nuclear materials. The 300 Area of the Hanford Site contains reactor fuel manufacturing facilities and several research and development laboratories. The 303-K Radioactive Mixed-Waste Storage Facility (303-K Facility) has been used since 1943 to store various radioactive,and dangerous process materials and wastes generated by the fuel manufacturing processes in the 300 Area. The mixed wastes are stored in US Department of Transportation (DOT)-specification containers (DOT 1988). The north end of the building was used for storage of containers of liquid waste and the outside storage areas were used for containers of solid waste. Because only the north end of the building was used, this plan does not include the southern end of the building. This closure plan presents a description of the facility, the history of materials and wastes managed, and a description of the procedures that will be followed to chose the 303-K Facility as a greater than 90-day storage facility. The strategy for closure of the 303-K Facility is presented in Chapter 6.0

  8. Manufacture of seamless stainless steel tubings and related equipment

    International Nuclear Information System (INIS)

    Wali, D.K.; Chaudhary, S.

    1997-01-01

    Production of seamless tubes for special application is one of the important production activities of Nuclear Fuel Complex (NFC), Hyderabad. NFC had set up facility of Hot Extrusion Press and Cold Pilger Mills with related finishing and inspection equipment for manufacturing quality seamless tubes of zirconium alloy for application in nuclear power reactors in early 70''s. Being aware that the demand for seamless tube in a developing economy gradually increases till it reaches around 30 to 40% of the total requirement of tubes and pipes and also of the fact that manufacturing technology developed for production of zircaloy seamless tubes for nuclear application, can easily be harnessed and spinned off for production of seamless tubes in materials generally difficult to hot roll (in other than extrusion process), NFC augmented its seamless tube manufacturing facility by adding, a vertical piercing press, series of induction furnaces and large size pilger mills to meet existing market demand of power sector, engineering, fertilisers and petro chemical industries and any other specialised applications

  9. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  10. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  11. Self-assembling peptide semiconductors

    Science.gov (United States)

    Tao, Kai; Makam, Pandeeswar; Aizen, Ruth; Gazit, Ehud

    2017-01-01

    Semiconductors are central to the modern electronics and optics industries. Conventional semiconductive materials bear inherent limitations, especially in emerging fields such as interfacing with biological systems and bottom-up fabrication. A promising candidate for bioinspired and durable nanoscale semiconductors is the family of self-assembled nanostructures comprising short peptides. The highly ordered and directional intermolecular π-π interactions and hydrogen-bonding network allow the formation of quantum confined structures within the peptide self-assemblies, thus decreasing the band gaps of the superstructures into semiconductor regions. As a result of the diverse architectures and ease of modification of peptide self-assemblies, their semiconductivity can be readily tuned, doped, and functionalized. Therefore, this family of electroactive supramolecular materials may bridge the gap between the inorganic semiconductor world and biological systems. PMID:29146781

  12. Development of zirconium alloy tube manufacturing technology

    International Nuclear Information System (INIS)

    Kim, In Kyu; Park, Chan Hyun; Lee, Seung Hwan; Chung, Sun Kyo

    2009-01-01

    In late 2004, Korea Nuclear Fuel Company (KNF) launched a government funded joint development program with Westinghouse Electric Co. (WEC) to establish zirconium alloy tube manufacturing technology in Korea. Through this program, KNF and WEC have developed a state of the art facility to manufacture high quality nuclear tubes. KNF performed equipment qualification tests for each manufacturing machine with the support of WEC, and independently carried out product qualification tests for each tube product to be commercially produced. Apart from those tests, characterization test program consisting of specification test and characterization test was developed by KNF and WEC to demonstrate to customers of KNF the quality equivalency of products manufactured by KNF and WEC plants respectively. As part of establishment of performance evaluation technology for zirconium alloy tube in Korea, KNF carried out analyses of materials produced for the characterization test program using the most advanced techniques. Thanks to the accomplishment of the development of zirconium alloy tube manufacturing technology, KNF is expected to acquire positive spin off benefits in terms of technology and economy in the near future

  13. Residual Strength Characterization of Unitized Structures Fabricated Using Different Manufacturing Technologies

    Science.gov (United States)

    Seshadri, B. R.; Smith, S. W.; Johnston, W. M.

    2008-01-01

    This viewgraph presentation describes residual strength analysis of integral structures fabricated using different manufacturing procedures. The topics include: 1) Built-up and Integral Structures; 2) Development of Prediction Methodology for Integral Structures Fabricated using different Manufacturing Procedures; 3) Testing Facility; 4) Fracture Parameters Definition; 5) Crack Branching in Integral Structures; 6) Results and Discussion; and 7) Concluding Remarks.

  14. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  15. DESIGN OF FILL AND FINISH FACILITY FOR ACTIVE PHARMACEUTICAL INGREDIENTS (API

    Directory of Open Access Journals (Sweden)

    NUUR LAILA KHAIRUDDIN

    2016-08-01

    Full Text Available Fill and finish operations continue to be one of the most heavily outsourced activities in the biopharmaceutical manufacturing market today. There are a few aspects that need to be consider in outsource activities like logistic, storage condition, facility certification and audit as regulations and standards which the manufacturer should adhere. Risk would be greater and extra care should be taken when outsource from foreign fill and finish facility. Thus, the internal aseptic fill and finish facility with audit checklist will help to minimize the risk during logistic and storage and also minimize the cost for outsource fill and finish facility. The data collections are through survey and conceptual design with simulation as the execution part.

  16. Chalon/Saint-Marcel manufacturing plant; L'usine de Chalon/Saint-Marcel

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2008-07-01

    AREVA is the world leader in the design and construction of nuclear power plants, the manufacture of heavy components, and the supply of nuclear fuel and nuclear services such as maintenance and inspection. The Equipment Division provides the widest range of nuclear components and equipment, manufactured at its two facilities in Jeumont, northern France, and St. Marcel, in Burgundy. The St. Marcel plant, set on 35 ha (87.5 acres) near Chalon-sur-Saone, was established in 1973 in a region with a long history of specialized metalworking and mechanical activities to meet the demand for non-military nuclear requirements in France. The site offers two advantages: - excellent facilities for loading and transporting heavy components on the Saone river, - it's proximity to other group sites. Since its completion in 1975, the Chalon/St. Marcel facility has manufactured all the heavy components for French pressurized water reactors (PWRs) ranging from 900 MW to 1500 MW. It has also completed a significant number of export contracts that have made AREVA world leader. Nearly 600 heavy components (reactor vessels, steam generators, pressurizers and closure heads) have been manufactured or are currently being manufactured since the plant opened in 1975. The plant is at the heart of the manufacturing chain for nuclear steam supply systems (NSSS) supplied by AREVA. On the basis of engineering data, the plant manufactures reactor vessels, reactor vessel internals, steam generators, pressurizers and related components such as accumulators, auxiliary heat exchangers and supporting elements. Vessel upper internals Other similar components such as reactor vessels for boiling water reactors (BWR) or high temperature reactors (HTR) and other types of steam generators can also be manufactured in the plant (for example Once Through Steam Generators - OTSG). The basic activities performed at Chalon/St. Marcel are metalworking and heavy machining. These activities are carried out in

  17. Auditing radiation sterilization facilities

    Science.gov (United States)

    Beck, Jeffrey A.

    The diversity of radiation sterilization systems available today places renewed emphasis on the need for thorough Quality Assurance audits of these facilities. Evaluating compliance with Good Manufacturing Practices is an obvious requirement, but an effective audit must also evaluate installation and performance qualification programs (validation_, and process control and monitoring procedures in detail. The present paper describes general standards that radiation sterilization operations should meet in each of these key areas, and provides basic guidance for conducting QA audits of these facilities.

  18. Spin physics in semiconductors

    CERN Document Server

    2017-01-01

    This book offers an extensive introduction to the extremely rich and intriguing field of spin-related phenomena in semiconductors. In this second edition, all chapters have been updated to include the latest experimental and theoretical research. Furthermore, it covers the entire field: bulk semiconductors, two-dimensional semiconductor structures, quantum dots, optical and electric effects, spin-related effects, electron-nuclei spin interactions, Spin Hall effect, spin torques, etc. Thanks to its self-contained style, the book is ideally suited for graduate students and researchers new to the field.

  19. A Note on the Profit Distribution among a Manufacturer and its Retailers

    OpenAIRE

    Naoki Watanabe

    2005-01-01

    Examining two polar forms of restricted franchise contract, Nariu (2004) studied the pricing behavior of manufacturers and retailers and the market outcomes. This note provides a concise justification for his assumptions on contractual restraints. Introducing some fixed amount that a manufacturer must invest to build up its production facility, we show that a bargaining solution to distribute the total net profit among a manufacturer and its exclusive retailers assigns zero franchise fee paym...

  20. Oxide semiconductors

    CERN Document Server

    Svensson, Bengt G; Jagadish, Chennupati

    2013-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scient

  1. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1962-01-01

    Semiconductor Statistics presents statistics aimed at complementing existing books on the relationships between carrier densities and transport effects. The book is divided into two parts. Part I provides introductory material on the electron theory of solids, and then discusses carrier statistics for semiconductors in thermal equilibrium. Of course a solid cannot be in true thermodynamic equilibrium if any electrical current is passed; but when currents are reasonably small the distribution function is but little perturbed, and the carrier distribution for such a """"quasi-equilibrium"""" co

  2. Carrier concentration induced ferromagnetism in semiconductors

    International Nuclear Information System (INIS)

    Story, T.

    2007-01-01

    In semiconductor spintronics the key materials issue concerns ferromagnetic semiconductors that would, in particular, permit an integration (in a single multilayer heterostructure) of standard electronic functions of semiconductors with magnetic memory function. Although classical semiconductor materials, such as Si or GaAs, are nonmagnetic, upon substitutional incorporation of magnetic ions (typically of a few atomic percents of Mn 2+ ions) and very heavy doping with conducting carriers (at the level of 10 20 - 10 21 cm -3 ) a ferromagnetic transition can be induced in such diluted magnetic semiconductors (also known as semimagnetic semiconductors). In the lecture the spectacular experimental observations of carrier concentration induced ferromagnetism will be discussed for three model semiconductor crystals. p - Ga 1-x Mn x As currently the most actively studied and most perspective ferromagnetic semiconductor of III-V group, in which ferromagnetism appears due to Mn ions providing both local magnetic moments and acting as acceptor centers. p - Sn 1-x Mn x Te and p - Ge 1-x Mn x Te classical diluted magnetic semiconductors of IV-VI group, in which paramagnet-ferromagnet and ferromagnet-spin glass transitions are found for very high hole concentration. n - Eu 1-x Gd x Te mixed magnetic crystals, in which the substitution of Gd 3+ ions for Eu 2+ ions creates very high electron concentration and transforms antiferromagnetic EuTe (insulating compound) into ferromagnetic n-type semiconductor alloy. For each of these materials systems the key physical features will be discussed concerning: local magnetic moments formation, magnetic phase diagram as a function of magnetic ions and carrier concentration as well as Curie temperature and magnetic anisotropy engineering. Various theoretical models proposed to explain the effect of carrier concentration induced ferromagnetism in semiconductors will be briefly discussed involving mean field approaches based on Zener and RKKY

  3. Effects of work-related factors on the breastfeeding behavior of working mothers in a Taiwanese semiconductor manufacturer: a cross-sectional survey

    Directory of Open Access Journals (Sweden)

    Chie Wei-Chu

    2006-06-01

    Full Text Available Abstract Background In recent years, the creation of supportive environments for encouraging mothers to breastfeed their children has emerged as a key health issue for women and children. The provision of lactation rooms and breast pumping breaks have helped mothers to continue breastfeeding after returning to work, but their effectiveness is uncertain. The aim of this study was to assess the effects of worksite breastfeeding-friendly policies and work-related factors on the behaviour of working mothers. Methods This study was conducted at a large Taiwanese semiconductor manufacturer in August-September 2003. Questionnaires were used to collect data on female employees' breastfeeding behaviour, child rearing and work status when raising their most recently born child. A total of 998 valid questionnaires were collected, giving a response rate of 75.3%. Results The results showed that 66.9% of survey respondents breastfed initially during their maternity leave, which averaged 56 days. Despite the provision of lactation rooms and breast pumping breaks, only 10.6% mothers continued to breastfeed after returning to work, primarily office workers and those who were aware of their company's breastfeeding-friendly policies. Conclusion In conclusion, breastfeeding-friendly policies can significantly affect breastfeeding behaviour. However, an unfavourable working environment, especially for fab workers, can make it difficult to implement breastfeeding measures. With health professionals emphasizing that the importance of breastfeeding for infant health, and as only females can perform lactation, it is vital that women's work "productive role" and family "reproductive role" be respected and accommodated by society.

  4. Product recovery optimization in closed-loop supply chain to improve sustainability in manufacturing

    DEFF Research Database (Denmark)

    Govindan, Kannan; Jha, P. C.; Garg, Kiran

    2016-01-01

    that emerge from that business’s economical, environmental and social dimensions. In this paper, we propose a multi-objective mixed integer mathematical problem for a generic closed-loop supply chain (CLSC) network to rationalise how a system’s product recovery helps to improve manufacturing sustainability....... The CLSC network proposed in this study consists of a hybrid manufacturing facility, warehouse, distribution centres, collection centres and a hybrid recovery facility (HRF). The proposed model determines the best location for the HRF and optimal flow of products, recovered parts and material...

  5. Silicon avalanche photodiodes on the base of metal-resistor-semiconductor (MRS) structures

    CERN Document Server

    Saveliev, V

    2000-01-01

    The development of a high quantum efficiency, fast photodetector, with internal gain amplification for the wavelength range 450-600 nm is one of the critical issues for experimental physics - registration of low-intensity light photons flux. The new structure of Silicon Avalanche Detectors with high internal amplification (10 sup 5 -10 sup 6) has been designed, manufactured and tested for registration of visible light photons and charge particles. The main features of Metal-Resistor-Semiconductor (MRS) structures are the high charge multiplication in nonuniform electric field near the 'needle' pn-junction and negative feedback for stabilization of avalanche process due to resistive layer.

  6. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  7. Organic semiconductors in a spin

    CERN Document Server

    Samuel, I

    2002-01-01

    A little palladium can go a long way in polymer-based light-emitting diodes. Inorganic semiconductors such as silicon and gallium arsenide are essential for countless applications in everyday life, ranging from PCs to CD players. However, while they offer unrivalled computational speed, inorganic semiconductors are also rigid and brittle, which means that they are less suited to applications such as displays and flexible electronics. A completely different class of materials - organic semiconductors - are being developed for these applications. Organic semiconductors have many attractive features: they are easy to make, they can emit visible light, and there is tremendous scope for tailoring their properties to specific applications by changing their chemical structure. Research groups and companies around the world have developed a wide range of organic-semiconductor devices, including transistors, light-emitting diodes (LEDs), solar cells and lasers. (U.K.)

  8. Good manufacturing practice

    International Nuclear Information System (INIS)

    Schlyer, D.J.

    2001-01-01

    In this presentation author deals with the Implementation of good manufacturing practice for radiopharmaceuticals. The presentation is divided into next parts: Batch size; Expiration date; QC Testing; Environmental concerns; Personnel aspects; Radiation concerns; Theoretical yields; Sterilizing filters; Control and reconciliation of materials and components; Product strength; In process sampling and testing; Holding and distribution; Drug product inspection; Buildings and facilities; Renovations at BNL for GMP; Aseptic processing and sterility assurance; Process validation and control; Quality control and drug product stability; Documentation and other GMP topics; Building design considerations; Equipment; and Summary

  9. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1992-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups: Classical semiconductor diode detectors and semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported

  10. Energy-Saving Opportunities for Manufacturing Companies, International Fact Sheet (Spanish)

    Energy Technology Data Exchange (ETDEWEB)

    2010-08-01

    This English/Spanish fact sheet describes the Industrial Technologies Program Save Energy Now model and provides information on tools and resources to help manufacturing facilities reduce industrial energy intensity.

  11. Micro-fabricated integrated coil and magnetic circuit and method of manufacturing thereof

    Science.gov (United States)

    Mihailovich, Robert E.; Papavasiliou, Alex P.; Mehrotra, Vivek; Stupar, Philip A.; Borwick, III, Robert L.; Ganguli, Rahul; DeNatale, Jeffrey F.

    2017-03-28

    A micro-fabricated electromagnetic device is provided for on-circuit integration. The electromagnetic device includes a core. The core has a plurality of electrically insulating layers positioned alternatingly between a plurality of magnetic layers to collectively form a continuous laminate having alternating magnetic and electrically insulating layers. The electromagnetic device includes a coil embedded in openings of the semiconductor substrate. An insulating material is positioned in the cavity and between the coil and an inner surface of the core. A method of manufacturing the electromagnetic device includes providing a semiconductor substrate having openings formed therein. Windings of a coil are electroplated and embedded in the openings. The insulating material is coated on or around an exposed surface of the coil. Alternating magnetic layers and electrically insulating layers may be micro-fabricated and electroplated as a single and substantially continuous segment on or around the insulating material.

  12. Nonlinear Elasticity of Doped Semiconductors

    Science.gov (United States)

    2017-02-01

    AFRL-RY-WP-TR-2016-0206 NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS Mark Dykman and Kirill Moskovtsev Michigan State University...2016 4. TITLE AND SUBTITLE NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS 5a. CONTRACT NUMBER FA8650-16-1-7600 5b. GRANT NUMBER 5c. PROGRAM...vibration amplitude. 15. SUBJECT TERMS semiconductors , microresonators, microelectromechanical 16. SECURITY CLASSIFICATION OF: 17. LIMITATION OF

  13. Radiation effects in semiconductors: technologies for hardened integrated circuits

    International Nuclear Information System (INIS)

    Charlot, J.M.

    1983-09-01

    Various technologies are used to manufacture integrated circuits for electronic systems. But for specific applications, including those with radiation environment, it is necessary to choose an appropriate technologie or to improve a specific one in order to reach a definite hardening level. The aim of this paper is to present the main effects induced by radiation (neutrons and gamma rays) into the basic semiconductor devices, to explain some physical degradation mechanisms and to propose solutions for hardened integrated circuit fabrication. The analysis involves essentially the monolithic structure of the integrated circuits and the isolation technology of active elements. In conclusion, the advantages of EPIC and SOS technologies are described and the potentialities of new technologies (GaAs and SOI) are presented

  14. Radiation effects in semiconductors: technologies for hardened integrated circuits

    International Nuclear Information System (INIS)

    Charlot, J.M.

    1984-01-01

    Various technologies are used to manufacture integrated circuits for electronic systems. But for specific applications, including those with radiation environment, it is necessary to choose an appropriate technology or to improve a specific one in order to reach a definite hardening level. The aim of this paper is to present the main effects induced by radiation (neutrons and gamma rays) into the basic semiconductor devices, to explain some physical degradation mechanisms and to propose solutions for hardened integrated circuit fabrication. The analysis involves essentially the monolithic structure of the integrated circuits and the isolation technology of active elements. In conclusion, the advantages of EPIC and SOS technologies are described and the potentialities of new technologies (GaAs and SOI) are presented. (author)

  15. Physics of semiconductor lasers

    CERN Document Server

    Mroziewicz, B; Nakwaski, W

    2013-01-01

    Written for readers who have some background in solid state physics but do not necessarily possess any knowledge of semiconductor lasers, this book provides a comprehensive and concise account of fundamental semiconductor laser physics, technology and properties. The principles of operation of these lasers are therefore discussed in detail with the interrelations between their design and optical, electrical and thermal properties. The relative merits of a large number of laser structures and their parameters are described to acquaint the reader with the various aspects of the semiconductor l

  16. Safety aspects of the FMPP (Fuel Manufacturing Pilot Plant) setup constructed by INVAP in the Arabic Republic of Egypt; Aspectos de seguridad en la puesta en marcha de la FMPP (Fuel Manufacturing Pilot Plant) construida por INVAP en la Republica Arabe de Egipto

    Energy Technology Data Exchange (ETDEWEB)

    Cinat, Enrique; Boero, Norma L [Comision Nacional de Energia Atomica, General San Martin (Argentina). Dept. de Combustibles Nucleares

    1999-07-01

    The FMPP is a fuel plates manufacturing plant for test reactors. This facility was designed, constructed in El Cairo and turned-key handled by INVAP SE to the Arabian Republic of Egypt. In this project, CNEA participated in the transference of technology, elaboration of documents, training of Egyptian personnel and technical services during the setup of the facility in El Cairo. These tasks were undertaken by UPMP (Uranium Powder Manufacturing Plant) and ECRI (Research Reactors Fuel Elements Plant) personnel. Both plants in CNEA served as a FMPP design basis. During the setup of the facility a fuel element with natural uranium was firstly manufactured and then another one using uranium with 20% enrichment. In this paper the responses of the system regarding safety, after finishing the first two stages of manufacturing, are analyzed and evaluated. (author)

  17. DESIGN OF FILL AND FINISH FACILITY FOR ACTIVE PHARMACEUTICAL INGREDIENTS (API)

    OpenAIRE

    NUUR LAILA KHAIRUDDIN; NORLIZA ABD. RAHMAN; NUR SYAFIQAH KAMARUDIN

    2016-01-01

    Fill and finish operations continue to be one of the most heavily outsourced activities in the biopharmaceutical manufacturing market today. There are a few aspects that need to be consider in outsource activities like logistic, storage condition, facility certification and audit as regulations and standards which the manufacturer should adhere. Risk would be greater and extra care should be taken when outsource from foreign fill and finish facility. Thus, the internal aseptic fill and fin...

  18. 78 FR 22553 - Generic Drug Facilities, Sites, and Organizations

    Science.gov (United States)

    2013-04-16

    ...] Generic Drug Facilities, Sites, and Organizations AGENCY: Food and Drug Administration, HHS. ACTION.... Generic drug facilities, certain sites, and organizations identified in a generic drug submission are... active pharmaceutical ingredients and certain other sites and organizations that support the manufacture...

  19. Robot skills for manufacturing

    DEFF Research Database (Denmark)

    Pedersen, Mikkel Rath; Nalpantidis, Lazaros; Andersen, Rasmus Skovgaard

    2016-01-01

    -asserting robot skills for manufacturing. We show how a relatively small set of skills are derived from current factory worker instructions, and how these can be transferred to industrial mobile manipulators. General robot skills can not only be implemented on these robots, but also be intuitively concatenated...... products are introduced by manufacturers. In order to compete on global markets, the factories of tomorrow need complete production lines, including automation technologies that can effortlessly be reconfigured or repurposed, when the need arises. In this paper we present the concept of general, self...... in running production facilities at an industrial partner. It follows from these experiments that the use of robot skills, and associated task-level programming framework, is a viable solution to introducing robots that can intuitively and on the fly be programmed to perform new tasks by factory workers....

  20. Integrated circuit design using design automation

    International Nuclear Information System (INIS)

    Gwyn, C.W.

    1976-09-01

    Although the use of computer aids to develop integrated circuits is relatively new at Sandia, the program has been very successful. The results have verified the utility of the in-house CAD design capability. Custom IC's have been developed in much shorter times than available through semiconductor device manufacturers. In addition, security problems were minimized and a saving was realized in circuit cost. The custom CMOS IC's were designed at less than half the cost of designing with conventional techniques. In addition to the computer aided design, the prototype fabrication and testing capability provided by the semiconductor development laboratory and microelectronics computer network allows the circuits to be fabricated and evaluated before the designs are transferred to the commercial semiconductor manufacturers for production. The Sandia design and prototype fabrication facilities provide the capability of complete custom integrated circuit development entirely within the ERDA laboratories

  1. Occupational health provision and health surveillance in the semiconductor industry.

    Science.gov (United States)

    Kinoulty, Mary; Williams, Nerys

    2006-03-01

    To identify the nature of occupational health provision in UK semiconductor-manufacturing plants. To identify the level of industry compliance with legal health surveillance requirements. A national inspection programme was carried out by Health & Safety Executive inspectors using a developed protocol. A wide range of occupational health provision was identified from none to use of an accredited specialist. The majority of work was of a reactive nature even where there was specialist occupational health input. Seven companies were identified as not meeting legal compliance and one as having unacceptable compliance for health surveillance. The spectrum of occupational health provision was very wide. Where health surveillance was provided, it was poorly targeted with limited interpretation and feedback to management.

  2. Experimental characterization of semiconductor-based thermal neutron detectors

    Energy Technology Data Exchange (ETDEWEB)

    Bedogni, R., E-mail: roberto.bedogni@lnf.infn.it [IFNF—LNF, via E. Fermi n. 40, 00044 Frascati, Roma (Italy); Bortot, D.; Pola, A.; Introini, M.V.; Lorenzoli, M. [Politecnico di Milano, Dipartimento di Energia, via La Masa 34, 20156 Milano (Italy); INFN—Milano, Via Celoria 16, 20133 Milano (Italy); Gómez-Ros, J.M. [IFNF—LNF, via E. Fermi n. 40, 00044 Frascati, Roma (Italy); CIEMAT, Av. Complutense 40, 28040 Madrid (Spain); Sacco, D. [IFNF—LNF, via E. Fermi n. 40, 00044 Frascati, Roma (Italy); INAIL—DIT, Via di Fontana Candida 1, 00040 Monteporzio Catone (Italy); Esposito, A.; Gentile, A.; Buonomo, B. [IFNF—LNF, via E. Fermi n. 40, 00044 Frascati, Roma (Italy); Palomba, M.; Grossi, A. [ENEA Triga RC-1C.R. Casaccia, via Anguillarese 301, 00060 S. Maria di Galeria, Roma (Italy)

    2015-04-21

    In the framework of NESCOFI@BTF and NEURAPID projects, active thermal neutron detectors were manufactured by depositing appropriate thickness of {sup 6}LiF on commercially available windowless p–i–n diodes. Detectors with different radiator thickness, ranging from 5 to 62 μm, were manufactured by evaporation-based deposition technique and exposed to known values of thermal neutron fluence in two thermal neutron facilities exhibiting different irradiation geometries. The following properties of the detector response were investigated and presented in this work: thickness dependence, impact of parasitic effects (photons and epithermal neutrons), linearity, isotropy, and radiation damage following exposure to large fluence (in the order of 10{sup 12} cm{sup −2})

  3. Semiconductor radiation detection systems

    CERN Document Server

    2010-01-01

    Covers research in semiconductor detector and integrated circuit design in the context of medical imaging using ionizing radiation. This book explores other applications of semiconductor radiation detection systems in security applications such as luggage scanning, dirty bomb detection and border control.

  4. A Manufacturing Cost and Supply Chain Analysis of SiC Power Electronics Applicable to Medium-Voltage Motor Drives

    Energy Technology Data Exchange (ETDEWEB)

    Horowitz, Kelsey [National Renewable Energy Lab. (NREL), Golden, CO (United States); Remo, Timothy [National Renewable Energy Lab. (NREL), Golden, CO (United States); Reese, Samantha [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2017-03-24

    Wide bandgap (WBG) semiconductor devices are increasingly being considered for use in certain power electronics applications, where they can improve efficiency, performance, footprint, and, potentially, total system cost compared to systems using traditional silicon (Si) devices. Silicon carbide (SiC) devices in particular -- which are currently more mature than other WBG devices -- are poised for growth in the coming years. Today, the manufacturing of SiC wafers is concentrated in the United States, and chip production is split roughly equally between the United States, Japan, and Europe. Established contract manufacturers located throughout Asia typically carry out manufacturing of WBG power modules. We seek to understand how global manufacturing of SiC components may evolve over time by illustrating the regional cost drivers along the supply chain and providing an overview of other factors that influence where manufacturing is sited. We conduct this analysis for a particular case study where SiC devices are used in a medium-voltage motor drive.

  5. 27 CFR 19.206 - Curtailment and extension of plant premises for the manufacture of eligible flavors.

    Science.gov (United States)

    2010-04-01

    ... of plant premises for the manufacture of eligible flavors. 19.206 Section 19.206 Alcohol, Tobacco... and extension of plant premises for the manufacture of eligible flavors. (a) General. The premises of... permit the use of the facilities for the manufacture of eligible flavors. (b) Qualifying documents. When...

  6. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1995-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups; (i) classical semiconductor diode detectors and (ii) semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported. copyright 1995 American Institute of Physics

  7. Total Quality Management in Space Shuttle Main Engine manufacturing

    Science.gov (United States)

    Ding, J.

    1992-01-01

    The Total Quality Management (TQM) philosophy developed in the Marshall Space Flight Center (MSFC) is briefly reviewed and the ongoing TQM implementation effort which is being pursued through the continuous improvement (CI) process is discussed. TQM is based on organizational excellence which integrates the new supportive culture with the technical tools necessary to identify, assess, and correct manufacturing processes. Particular attention is given to the prime contractor's change to the organizational excellence management philosophy in SSME manufacturing facilities.

  8. Manufacturing and quality assurance for the MFTF superconductor core

    International Nuclear Information System (INIS)

    Scanlan, R.M.; Johnston, J.E.; Waide, P.A.; Zeitlin, B.A.; Smith, G.B.; Nelson, C.T.

    1979-01-01

    A total of 55,000 m of multifilamentary Nb-Ti superconductor in minimum lengths of 380 m are required for the Mirror Fusion Test Facility. This conductor is a large cross-section monolith and, as such, has presented several new manufacturing challenges. In addition, a monolith requires more stringent quality assurance procedures than braids or cables. This paper describes the manufacturing steps and the quality assurance program which have been developed for the MFTF superconductor core

  9. RPC industries - UV and EB equipment manufacturers

    International Nuclear Information System (INIS)

    Rodrigues, A.M.

    1987-01-01

    RPC Industries has been manufacturing electron beam and ultraviolet equipment for the industrial processing of materials for more than 15 years. RPC maintains its headquarters and electron processor manufacturing plant in Hayward, California. UV equipment is made in the company's plant near Chicago. Sales offices are maintained in New York, Illinois, and California in the USA, and in Germany, Japan, Australia, Italy, Israel, and Sweden. Complete testing and pilot facilities are available in Hayward (EB) and near Chicago (UV). Described below are the basic system components, applications and advantages of RPC's UV and EB systems. (orig.)

  10. Persistence of organochlorine chemical residues in fish from the Tombigbee River (Alabama, USA): Continuing risk to wildlife from a former DDT manufacturing facility

    Science.gov (United States)

    Hinck, J.E.; Norstrom, R.J.; Orazio, C.E.; Schmitt, C.J.; Tillitt, D.E.

    2009-01-01

    Organochlorine pesticide and total polychlorinated biphenyl (PCB) concentrations were measured in largemouth bass from the Tombigbee River near a former DDT manufacturing facility at McIntosh, Alabama. Evaluation of mean p,p???- and o,p???-DDT isomer concentrations and o,p???- versus p,p???-isomer proportions in McIntosh bass indicated that DDT is moving off site from the facility and into the Tombigbee River. Concentrations of p,p???-DDT isomers in McIntosh bass remained unchanged from 1974 to 2004 and were four times greater than contemporary concentrations from a national program. Total DDT in McIntosh bass exceeded dietary effect concentrations developed for bald eagle and osprey. Hexachlorobenzene, PCBs, and toxaphene concentrations in bass from McIntosh also exceeded thresholds to protect fish and piscivorous wildlife. Whereas concentrations of DDT and most other organochlorine chemicals in fish have generally declined in the U.S. since their ban, concentrations of DDT in fish from McIntosh remain elevated and represent a threat to wildlife.

  11. 40 CFR 60.540 - Applicability and designation of affected facilities.

    Science.gov (United States)

    2010-07-01

    ... (CONTINUED) AIR PROGRAMS (CONTINUED) STANDARDS OF PERFORMANCE FOR NEW STATIONARY SOURCES Standards of Performance for the Rubber Tire Manufacturing Industry § 60.540 Applicability and designation of affected... each of the following affected facilities in rubber tire manufacturing plants that commence...

  12. Safety aspects of the FMPP (Fuel Manufacturing Pilot Plant) setup constructed by INVAP in the Arabic Republic of Egypt

    International Nuclear Information System (INIS)

    Cinat, Enrique; Boero, Norma L.

    1999-01-01

    The FMPP is a fuel plates manufacturing plant for test reactors. This facility was designed, constructed in El Cairo and turned-key handled by INVAP SE to the Arabian Republic of Egypt. In this project, CNEA participated in the transference of technology, elaboration of documents, training of Egyptian personnel and technical services during the setup of the facility in El Cairo. These tasks were undertaken by UPMP (Uranium Powder Manufacturing Plant) and ECRI (Research Reactors Fuel Elements Plant) personnel. Both plants in CNEA served as a FMPP design basis. During the setup of the facility a fuel element with natural uranium was firstly manufactured and then another one using uranium with 20% enrichment. In this paper the responses of the system regarding safety, after finishing the first two stages of manufacturing, are analyzed and evaluated. (author)

  13. II-VI semiconductor compounds

    CERN Document Server

    1993-01-01

    For condensed matter physicists and electronic engineers, this volume deals with aspects of II-VI semiconductor compounds. Areas covered include devices and applications of II-VI compounds; Co-based II-IV semi-magnetic semiconductors; and electronic structure of strained II-VI superlattices.

  14. Manufacture of the first fuel charge for the SUPER-PHENIX 1 reactor

    International Nuclear Information System (INIS)

    Pajot, J.; Beche, M.; Heyraud, J.

    1988-01-01

    After summarizing same general points on the Super Phenix core, the performances of fuel essemblies, the remainder of this discussion will deal with the manufacture by the CFCa of the first charge of fuel assemblies. The following aspects are considered in sequence - contract - production facilities - manufacturing procedures finally a few assessments will be presented

  15. Vacuum Mechatronics And Insvection For Self-Contained Manufacturing

    Science.gov (United States)

    Belinski, Steve E.; Shirazi, Majid; Seidel, Thomas E.; Hackwood, Susan

    1990-02-01

    The vacuum environment is increasingly being used in manufacturing operations, especially in the semiconductor industry. Shrinking linewidths and feature sizes dictate that cleanliness standards become continually more strict. Studies at the Center for Robotic Systems in Microelectronics (CRSM) indicate that a controlled vacuum enclosure can provide a superior clean environment. In addition, since many microelectronic fabrication steps are already carried out under vacuum, self-contained multichamber processing systems are being developed at a rapid pace. CRSM support of these systems includes the development of a research system, the Self-contained Automated Robotic Factory (SCARF), a vacuum-compatible robot, and investigations of particulate characterization in vacuum and inspection for multichamber systems. Successful development of complex and expensive multichamber systems is, to a great extent, dependent upon the discipline called vacuum mechatronics, which includes the design and development of vacuum-compatible computer-controlled mechanisms for manipulating, sensing and testing in a vacuum environment. Here the constituents of the vacuum mechatronics discipline are defined and reviewed in the context of the importance to self-contained in-vacuum manufacturing.

  16. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  17. Flexibility in fuel manufacturing

    International Nuclear Information System (INIS)

    Reparaz, A.; Stavig, W.E.; McLees, R.B.

    1987-01-01

    From its inception Exxon Nuclear has produced both BWR and PWR fuels. This is reflected in a product line that, to date, includes over 20 fuel designs. These range from 6x6 design at one end of the spectrum to the recently introduced 17x17 design. The benefits offered include close tailoring of the fuel design to match the customer's requirements, and the ability to rapidly introduce product changes, such as the axial blanket design, with a minimal impact on manufacturing. This flexibility places a number of demands on the manufacturing organization. Close interfaces must be established, and maintained, between the marketing, product design, manufacturing, purchasing and quality organizations, and the information flows must be immediate and accurate. Production schedules must be well planned and must be maintained or revised to reflect changing circumstances. Finally, the manufacturing facilities must be designed to allow rapid switchover between product designs with minor tooling changes and/or rerouting of product flows to alternate work stations. Among the tools used to manage the flow of information and to maintain the tight integration necessary between the various manufacturing, engineering and quality organizations is a commercially available, computerized planning and tracking system, AMAPS. A real-time production data collection system has been designed which gathers data from each production work station for use by the shop floor control module of AMAPS. Accuracy of input to the system is improved through extensive use of bar codes to gather information on the product as it moves through and between work stations. This computerized preparation of material tracing has an impact on direct manufacturing records, quality control records, nuclear material records and accounting and inventory records. This is of benefit to both Exxon Nuclear and its customers

  18. Launching the dialogue: Safety and innovation as partners for success in advanced manufacturing.

    Science.gov (United States)

    Geraci, C L; Tinkle, S S; Brenner, S A; Hodson, L L; Pomeroy-Carter, C A; Neu-Baker, N

    2018-06-01

    Emerging and novel technologies, materials, and information integrated into increasingly automated and networked manufacturing processes or into traditional manufacturing settings are enhancing the efficiency and productivity of manufacturing. Globally, there is a move toward a new era in manufacturing that is characterized by: (1) the ability to create and deliver more complex designs of products; (2) the creation and use of materials with new properties that meet a design need; (3) the employment of new technologies, such as additive and digital techniques that improve on conventional manufacturing processes; and (4) a compression of the time from initial design concept to the creation of a final product. Globally, this movement has many names, but "advanced manufacturing" has become the shorthand for this complex integration of material and technology elements that enable new ways to manufacture existing products, as well as new products emerging from new technologies and new design methods. As the breadth of activities associated with advanced manufacturing suggests, there is no single advanced manufacturing industry. Instead, aspects of advanced manufacturing can be identified across a diverse set of business sectors that use manufacturing technologies, ranging from the semiconductors and electronics to the automotive and pharmaceutical industries. The breadth and diversity of advanced manufacturing may change the occupational and environmental risk profile, challenge the basic elements of comprehensive health and safety (material, process, worker, environment, product, and general public health and safety), and provide an opportunity for development and dissemination of occupational and environmental health and safety (OEHS) guidance and best practices. It is unknown how much the risk profile of different elements of OEHS will change, thus requiring an evolution of health and safety practices. These changes may be accomplished most effectively through multi

  19. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  20. Glocalized Production - A Holistic Approach for Future Manufacturing at The LEGO Group

    DEFF Research Database (Denmark)

    Hadar, Ronen

    2014-01-01

    ) and Rapid Manufacturing (RM). RMS is a manufacturing system that is designed for rapid changes. It is based on core characteristics such as modularity, convertibility, customized flexibility, etc.. RM is the use of Additive Manufacturing (AM –commonly referred to as 3D printing) for the production......Global production is changing. Changes in production paradigms, global competition, manufacturing technologies, and new mega trends such as individualization, inflict immense challenges on global manufacturers. A new holistic approach for facing supply chain and production challenges is proposed...... facilities, the establishment of production close to main markets, and the creation of a global network of independent factories and supply chains with local manufacturing. Doing so will potentially increase responsiveness, cut transportation costs, reduce complexity, enable production to demand rather than...

  1. Room-temperature ductile inorganic semiconductor

    Science.gov (United States)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  2. 7/5nm logic manufacturing capabilities and requirements of metrology

    Science.gov (United States)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  3. Analysis and Design of the Logistics System for Rope Manufacturing Plant

    Directory of Open Access Journals (Sweden)

    Sun Xue

    2017-01-01

    Full Text Available In order to promote logistics system for manufacturing plant, this paper proposed a new design for the logistics system of a rope manufacturing plant. Through the analysis in the aspects of workshop facility layout, material handling and inventory management, the original logistics system of the plant is optimized. According to the comparison of the simulation results between original and optimized design, the optimized model has the higher productive efficiency. This can provide the references for the other manufacturing plant in analysis and design of the logistics system to improve plant efficiency.

  4. Combat Ration Network for Technology Implementation. Polymeric Tray Manufacturability, Part 2 (Short Term Project - STP1002B)

    National Research Council Canada - National Science Library

    Bruins, Henderikus

    1999-01-01

    .... Therefore, a second Polymeric Tray Manufacturability at the CORANET Demonstration Site at Rutgers University Food Manufacturing Technology Facility was conducted on June 9-10, 1998 to specifically address these issues...

  5. Use of the Wilkinson catalyst for the ortho-C-H heteroarylation of aromatic amines: facile access to highly extended π-conjugated heteroacenes for organic semiconductors.

    Science.gov (United States)

    Huang, Yumin; Wu, Di; Huang, Jingsheng; Guo, Qiang; Li, Juan; You, Jingsong

    2014-11-03

    An unprecedented catalytic system composed of the Wilkinson catalyst [Rh(PPh3)3Cl] and CF3COOH enabled the highly regioselective cross-coupling of aromatic amines with a variety of heteroarenes through dual C-H bond cleavage. This protocol provided a facile and rapid route from readily available substrates to (2-aminophenyl)heteroaryl compounds, which may be conveniently transformed into highly extended π-conjugated heteroacenes. The experimental studies and calculations showed that thianaphtheno[3,2-b]indoles have large HOMO-LUMO energy gaps and low-lying HOMO levels, and could therefore potentially be high-performance organic semiconductors. Herein we report the first use of a rhodium(I) catalyst for oxidative C-H/C-H coupling reactions. The current innovative catalyst system is much less expensive than [RhCp*Cl2]2/AgSbF6 and could open the door for the application of this approach to other types of C-H activation processes. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Safety in manufacturing of nuclear fuel

    International Nuclear Information System (INIS)

    Daste, Bernard

    1980-01-01

    Production of low enriched uranium fuel raises specific safety problems resulting from the very nature of the manufacturing process as from the industrial size generally given to the new facilities for this kind of production. The author exposes the experience so far acquired by F.B.F.C. (Societe franco-belge de fabrication du combustible) which is making important investments in order to meet the fuel needs of the French nuclear programme. After a short description of the fuel and the principal stages of its production, he analyses the potential nuclear hazards of the F.B.F.C. facilities operation and the adequate safety measures taken [fr

  7. Design for manufacturability of a VDSM standard cell library

    International Nuclear Information System (INIS)

    Zhou Chong; Zeng Jianping; Chen Lan; Yin Minghui; Zhao Jie

    2012-01-01

    This paper presents a method of designing a 65 nm DFM standard cell library. By reducing the amount of the library largely, the process of optical proximity correction (OPC) becomes more efficient and the need for large storage is reduced. This library is more manufacture-friendly as each cell has been optimized according to the DFM rule and optical simulation. The area penalty is minor compared with traditional library, and the timing, as well as power has a good performance. Furthermore, this library has passed the test from the Technology Design Department of Foundry. The result shows this DFM standard cell library has advantages that improve the yield. (semiconductor integrated circuits)

  8. Roadmap on semiconductor-cell biointerfaces

    Science.gov (United States)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  9. Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors

    Science.gov (United States)

    Matsushima, Toshinori; Sandanayaka, Atula S. D.; Esaki, Yu; Adachi, Chihaya

    2015-09-01

    We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10-2 cm2/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm2/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost.

  10. AC low-pressure plasmas generated by using annular-shaped electrodes for abatement of pollutants emitted during semiconductor manufacturing processes

    International Nuclear Information System (INIS)

    Hur, Min; Lee, Jae Ok; Song, Young Hoon

    2011-01-01

    A plasma abatement system operating at low pressures is set up with the aim of treating pollutants emitted by the semiconductor industry. The abatement device is characterized by using a tube-shaped reactor design and a bipolar alternating current, which allows an easy connection to pre-existing pipelines in the semiconductor industry and low installation cost, respectively. By using optical emission spectroscopy (OES) and Fourier transform infrared spectroscopy (FTIR), we analyzed the discharge characteristics and abatement efficiency with emphasis on the working pressure effect. In the case of CF 4 , the destruction and removal efficiency (DRE) is greatly reduced with increasing pressure. However, the pressure has a relatively small influence on the DRE for tetrakis(ethylmethylamino)zirconium (TEMAZ), which is significantly destroyed only with several hundred watts and without any liquefied byproducts. This difference is closely related to the spatial distribution of reactive species and to the chemical bond strengths of the pollutant's components. Finally, the applicability of the abatement device is discussed based on the experimental results.

  11. Support of Construction and Verification of Out-of-Pile Fuel Assembly Test Facilities

    International Nuclear Information System (INIS)

    Park, Nam Gyu; Kim, K. T.; Park, J. K.

    2006-12-01

    Fuel assembly and components should be verified by the out-of-pile test facilities in order to load the developed fuel in reactor. Even though most of the component-wise tests have been performed using the facilities in land, the assembly-wise tests has been depended on the oversees' facility due to the lack of the facilities. KAERI started to construct the assembly-wise mechanical/hydraulic test facilities and KNF, as an end user, is supporting the mechanical/hydraulic test facility construction by using the technologies studied through the fuel development programs. The works performed are as follows: - Test assembly shipping container design and manufacturing support - Fuel handling tool design : Gripper, Upper and lower core simulators for assembly mechanical test facility, Internals for assembly hydraulic test facility - Manufacture of test specimens : skeleton and assembly for preliminary functional verification of assembly mechanical/hydraulic test facilities, two assemblies for the verification of assembly mechanical/hydraulic test facilities, Instrumented rod design and integrity evaluation - Verification of assembly mechanical/hydraulic test facilities : test data evaluation

  12. Support of Construction and Verification of Out-of-Pile Fuel Assembly Test Facilities

    Energy Technology Data Exchange (ETDEWEB)

    Park, Nam Gyu; Kim, K. T.; Park, J. K. [KNF, Daejeon (Korea, Republic of)] (and others)

    2006-12-15

    Fuel assembly and components should be verified by the out-of-pile test facilities in order to load the developed fuel in reactor. Even though most of the component-wise tests have been performed using the facilities in land, the assembly-wise tests has been depended on the oversees' facility due to the lack of the facilities. KAERI started to construct the assembly-wise mechanical/hydraulic test facilities and KNF, as an end user, is supporting the mechanical/hydraulic test facility construction by using the technologies studied through the fuel development programs. The works performed are as follows: - Test assembly shipping container design and manufacturing support - Fuel handling tool design : Gripper, Upper and lower core simulators for assembly mechanical test facility, Internals for assembly hydraulic test facility - Manufacture of test specimens : skeleton and assembly for preliminary functional verification of assembly mechanical/hydraulic test facilities, two assemblies for the verification of assembly mechanical/hydraulic test facilities, Instrumented rod design and integrity evaluation - Verification of assembly mechanical/hydraulic test facilities : test data evaluation.

  13. Develop and Manufacture an airlock sliding tray

    Energy Technology Data Exchange (ETDEWEB)

    Lawton, Cindy M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2014-02-26

    The goal of this project is to continue to develop an airlock sliding tray and then partner with an industrial manufacturing company for production. The sliding tray will be easily installed into and removed from most glovebox airlocks in a few minutes. Technical Approach: A prototype of a sliding tray has been developed and tested in the LANL cold lab and 35 trays are presently being built for the plutonium facility (PF-4). The current, recently approved design works for a 14-inch diameter round airlock and has a tray length of approximately 20 inches. The grant will take the already tested and approved round technology and design for the square airlock. These two designs will be suitable for the majority of the existing airlocks in the multitude of DOE facilities. Partnering with an external manufacturer will allow for production of the airlock trays at a much lower cost and increase the availability of the product for all DOE sites. Project duration is estimated to be 12-13 months. Benefits: The purpose of the airlock sliding trays is fourfold: 1) Mitigate risk of rotator cuff injuries, 2) Improve ALARA, 3) Reduce risk of glovebox glove breaches and glove punctures, and 4) Improve worker comfort. I have had the opportunity to visit many other DOE facilities including Savannah, Y-12, ORNL, Sandia, and Livermore for assistance with ergonomic problems and/or injuries. All of these sites would benefit from the airlock sliding tray and I can assume all other DOE facilities with gloveboxes built prior to 1985 could also use the sliding trays.

  14. Introduction to Semiconductor Devices

    Science.gov (United States)

    Brennan, Kevin F.

    2005-03-01

    This volume offers a solid foundation for understanding the most important devices used in the hottest areas of electronic engineering today, from semiconductor fundamentals to state-of-the-art semiconductor devices in the telecommunications and computing industries. Kevin Brennan describes future approaches to computing hardware and RF power amplifiers, and explains how emerging trends and system demands of computing and telecommunications systems influence the choice, design and operation of semiconductor devices. In addition, he covers MODFETs and MOSFETs, short channel effects, and the challenges faced by continuing miniaturization. His book is both an excellent senior/graduate text and a valuable reference for practicing engineers and researchers.

  15. Worker exposure to methanol vapors during cleaning of semiconductor wafers in a manufacturing setting.

    Science.gov (United States)

    Gaffney, Shannon; Moody, Emily; McKinley, Meg; Knutsen, Jeffrey; Madl, Amy; Paustenbach, Dennis

    2008-05-01

    An exposure simulation was conducted to characterize methanol exposure of workers who cleaned wafers in quality control departments within the semiconductor industry. Short-term (15 min) and long-term (2-4 hr) personal and area samples (at distances of 1 m and 3-6 m from the source) were collected during the 2-day simulation. On the first day, 45 mL of methanol were used per hour by a single worker washing wafers in a 102 m(3) room with a ventilation rate of about 10 air changes per hour (ACH). Virtually all methanol volatilized. To assess exposures under conditions associated with higher productivity, on the second day, two workers cleaned wafers simultaneously, together using methanol at over twice the rate of the first day (95 mL/hr). On this day, the ventilation rate was halved (5 ACH). Personal concentrations on the first day averaged 60 ppm (SD = 46 ppm) and ranged from 10-140 ppm. On the second day, personal concentrations for both workers averaged 118 ppm (SD = 50 ppm; range: 64-270 ppm). Area concentrations measured on the first day at 1 m from the source and throughout the balance of the room averaged 29 ppm (SD = 19 ppm; range: 4-83 ppm) and 18 ppm (SD = 12 ppm; range: 3-42 ppm), respectively. As expected, area concentrations measured on the second day were higher than the first and averaged 73 ppm (SD = 25 ppm; range: 27-140 ppm) at 1 meter and 48 ppm (SD = 13 ppm; range: 21-67 ppm) throughout the balance of the room. The results of this simulation suggest that the use of methanol to clean semiconductor wafers without the use of local exhaust ventilation and with relatively low room ventilation rates is unlikely to result in worker exposures exceeding the current ACGIH(R) threshold limit value of 200 ppm. This study also confirmed prior studies suggesting that when a relatively volatile chemical is located within arm's length (near field), breathing zone concentrations will be about two- to threefold greater than the room concentration when the air

  16. Particle dispersing system and method for testing semiconductor manufacturing equipment

    Science.gov (United States)

    Chandrachood, Madhavi; Ghanayem, Steve G.; Cantwell, Nancy; Rader, Daniel J.; Geller, Anthony S.

    1998-01-01

    The system and method prepare a gas stream comprising particles at a known concentration using a particle disperser for moving particles from a reservoir of particles into a stream of flowing carrier gas. The electrostatic charges on the particles entrained in the carrier gas are then neutralized or otherwise altered, and the resulting particle-laden gas stream is then diluted to provide an acceptable particle concentration. The diluted gas stream is then split into a calibration stream and the desired output stream. The particles in the calibration stream are detected to provide an indication of the actual size distribution and concentration of particles in the output stream that is supplied to a process chamber being analyzed. Particles flowing out of the process chamber within a vacuum pumping system are detected, and the output particle size distribution and concentration are compared with the particle size distribution and concentration of the calibration stream in order to determine the particle transport characteristics of a process chamber, or to determine the number of particles lodged in the process chamber as a function of manufacturing process parameters such as pressure, flowrate, temperature, process chamber geometry, particle size, particle charge, and gas composition.

  17. Hybrid and Disposable Facilities for Manufacturing of Biopharmaceuticals: Pros and Cons

    Science.gov (United States)

    Ravisé, Aline; Cameau, Emmanuelle; de Abreu, Georges; Pralong, Alain

    Modern biotechnology has grown over the last 35 years to a maturing industry producing and delivering high-value biopharmaceuticals that yield important medical and economical benefits. The constantly increasing need for biopharmaceuticals and significant costs related to time-consuming R&D work makes this industry risky and highly competitive. This trend is confirmed by the important number of biopharmaceuticals that are actually under development at all stages by all major pharmaceutical industry companies. A consequence of this evolution is an increasing need for development and manufacturing capacity. The build up of traditional - stainless steel - technology is complicated, time consuming and very expensive. The decision for such a major investment needs to be taken early in the development cycle of a promising drug to cope with future demands for clinical trials and product launch. Possibilities for the reduction of R&D and manufacturing costs are therefore of significant interest in order to be competitive.

  18. Scanning electron microscopy of semiconductor materials

    International Nuclear Information System (INIS)

    Bresse, J.F.; Dupuy, M.

    1978-01-01

    The use of scanning electron microscopy in semiconductors opens up a large field of use. The operating modes lending themselves to the study of semiconductors are the induced current, cathodoluminescence and the use of the potential contrast which can also be applied very effectively to the study of the devices (planar in particular). However, a thorough knowledge of the mechanisms of the penetration of electrons, generation and recombination of generated carriers in a semiconductor is necessary in order to attain a better understanding of the operating modes peculiar to semiconductors [fr

  19. Metallurgy and purification of semiconductor materials

    International Nuclear Information System (INIS)

    Mughal, G.R.; Ali, M.M.; Ali, I.

    1996-01-01

    In this article the metallurgical aspects of semiconductor science and technology have been stressed here rather than of the physical and electronic aspect of the subject. Semiconductor technology has not merely presented the metallurgist with new challenges. The ease with which the semiconductor planes cleave make possible, the preparation and study of virgin surface. Semiconductor materials were being widely employed in the study of sub-boundaries and structures and can largely contribute to the study of certain aspects of nucleation and growth, precipitation phenomena, mechanical behaviour, in metallurgy. (A.B.)

  20. Semiconductor Lasers Stability, Instability and Chaos

    CERN Document Server

    Ohtsubo, Junji

    2008-01-01

    This monograph describes fascinating recent progress in the field of chaos, stability and instability of semiconductor lasers. Applications and future prospects are discussed in detail. The book emphasizes the various dynamics induced in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Recent results of both theoretical and experimental investigations are presented. Demonstrating applications of semiconductor laser chaos, control and noise, Semiconductor Lasers describes suppression and chaotic secure communications. For those who are interested in optics but not familiar with nonlinear systems, a brief introduction to chaos analysis is presented.

  1. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  2. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  3. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1990-01-01

    The state of the art in semiconductor detectors for elementary particle physics and X-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; i) classical semiconductor diode detectors and ii) semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. (orig.)

  4. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1989-01-01

    The state of the art in semiconductor detectors for elementary particle physics and x-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; classical semiconductor diode detectors; and semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. 13 refs., 8 figs

  5. Solid-state NMR of inorganic semiconductors.

    Science.gov (United States)

    Yesinowski, James P

    2012-01-01

    Studies of inorganic semiconductors by solid-state NMR vary widely in terms of the nature of the samples investigated, the techniques employed to observe the NMR signal, and the types of information obtained. Compared with the NMR of diamagnetic non-semiconducting substances, important differences often result from the presence of electron or hole carriers that are the hallmark of semiconductors, and whose theoretical interpretation can be involved. This review aims to provide a broad perspective on the topic for the non-expert by providing: (1) a basic introduction to semiconductor physical concepts relevant to NMR, including common crystal structures and the various methods of making samples; (2) discussions of the NMR spin Hamiltonian, details of some of the NMR techniques and strategies used to make measurements and theoretically predict NMR parameters, and examples of how each of the terms in the Hamiltonian has provided useful information in bulk semiconductors; (3) a discussion of the additional considerations needed to interpret the NMR of nanoscale semiconductors, with selected examples. The area of semiconductor NMR is being revitalized by this interest in nanoscale semiconductors, the great improvements in NMR detection sensitivity and resolution that have occurred, and the current interest in optical pumping and spintronics-related studies. Promising directions for future research will be noted throughout.

  6. Manufacturing of neutral beam sources at Lawrence Livermore Laboratory

    International Nuclear Information System (INIS)

    Baird, E.D.; Duffy, T.J.; Harter, G.A.; Holland, E.D.; Kloos, W.A.; Pastrone, J.A.

    1979-01-01

    Over 50 neutral beam sources (NBS) of the joint Lawrence Berkeley Laboratory (LBL)/Lawrence Livermore Laboratory (LLL) design have been manufactured, since 1973, in the LLL Neutral Beam Source Facility. These sources have been used to provide start-up and sustaining neutral beams for LLL mirror fusion experiments, including 2XIIB, TMX, and Beta II. Experimental prototype 20-kV and 80-kV NBS have also been designed, built, and tested for the Mirror Fusion Test Facility (MFTF)

  7. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  8. Spin physics in semiconductors

    CERN Document Server

    Dyakonov, Mikhail I

    2008-01-01

    This book describes beautiful optical and transport phenomena related to the electron and nuclear spins in semiconductors with emphasis on a clear presentation of the physics involved. Recent results on quantum wells and quantum dots are reviewed. The book is intended for students and researchers in the fields of semiconductor physics and nanoelectronics.

  9. Measurement stand for diagnosis of semiconductor detectors based on IBM PC/XT computer (4-way spectrometric analysis of pulses)

    International Nuclear Information System (INIS)

    Gruszecki, M.

    1990-01-01

    The technical assumptions and partial realization of our technological stand for quality inspection of semiconductor detectors for ionizing radiation manufactured in the INP in Cracow are described. To increase the efficiency of the measurements simultaneous checking of 4 semiconductor chips or finished products is suggested. In order to justify this measurement technique a review of possible variants of the measurement apparatus is presented for the systems consisting of home made units. Comparative parameters for the component modules and for complete measuring systems are given. The construction and operation of data acquisition system based on IBM PC/XT are described. The system ensures simultaneous registration of pulses obtained from 4 detectors with maximal rate of up to 500 x 10 3 pulses/s. 42 refs., 6 figs., 3 tabs. (author)

  10. Charge regulation at semiconductor-electrolyte interfaces.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2015-07-01

    The interface between a semiconductor material and an electrolyte solution has interesting and complex electrostatic properties. Its behavior will depend on the density of mobile charge carriers that are present in both phases as well as on the surface chemistry at the interface through local charge regulation. The latter is driven by chemical equilibria involving the immobile surface groups and the potential determining ions in the electrolyte solution. All these lead to an electrostatic potential distribution that propagate such that the electrolyte and the semiconductor are dependent on each other. Hence, any variation in the charge density in one phase will lead to a response in the other. This has significant implications on the physical properties of single semiconductor-electrolyte interfaces and on the electrostatic interactions between semiconductor particles suspended in electrolyte solutions. The present paper expands on our previous publication (Fleharty et al., 2014) and offers new results on the electrostatics of single semiconductor interfaces as well as on the interaction of charged semiconductor colloids suspended in electrolyte solution. Copyright © 2014 Elsevier Inc. All rights reserved.

  11. Magnetic excitations in ferromagnetic semiconductors

    International Nuclear Information System (INIS)

    Furdyna, J.K.; Liu, X.; Zhou, Y.Y.

    2009-01-01

    Magnetic excitations in a series of GaMnAs ferromagnetic semiconductor films were studied by ferromagnetic resonance (FMR). Using the FMR approach, multi-mode spin wave resonance spectra have been observed, whose analysis provides information on magnetic anisotropy (including surface anisotropy), distribution of magnetization precession within the GaMnAs film, dynamic surface spin pinning (derived from surface anisotropy), and the value of exchange stiffness constant D. These studies illustrate a combination of magnetism and semiconductor physics that is unique to magnetic semiconductors

  12. Status of and materials research at SSLS

    International Nuclear Information System (INIS)

    Moser, H.O.; Casse, B.D.F.; Chew, E.P.; Cholewa, M.; Diao, C.Z.; Ding, S.X.D.; Kong, J.R.; Li, Z.W.; Hua, Miao; Ng, M.L.; Saw, B.T.; Mahmood, Sharain bin; Vidyaraj, S.V.; Wilhelmi, O.; Wong, J.; Yang, P.; Yu, X.J.; Gao, X.Y.; Wee, A.T.S.; Sim, W.S.; Lu, D.; Faltermeier, R.B.

    2005-01-01

    A short overview is given on the status of SSLS, its four operational and one forthcoming experimental facilities and their use for material science exemplified by selected work on electromagnetic metamaterials, arrays of nanorods for near-IR photonics, thin films of low dielectric constant materials for semiconductor manufacturing, nanoparticles and art objects

  13. HVAC Modeling for Cost of Ownership Assessment in Biotechnology & Drugs Manufacturing

    OpenAIRE

    Broomes, Peter; Dornfeld, David A

    2003-01-01

    Heating, ventilation, and air conditioning (HVAC) systems used in the clean room environment of biotechnology and drug development and manufacturing, are extremely energy and water intensive and represent a significant operating cost for these facilities [1]. HVAC systems are also the primary source of environmental emissions for the majority of companies operating within the biotechnology and drugs sector. While the processes used in drug manufacture have negligible environmental impact...

  14. Injection of spin-polarized current into semiconductor

    International Nuclear Information System (INIS)

    Vedyayev, A.V.; Dieny, B.; Ryzhanova, N.V.; Zhukov, I.V.; Zhuravlev, M.Ye.; Lutz, H.O.

    2003-01-01

    A quantum-statistical theory of injection of spin-polarized current into a semiconductor in ferromagnet/tunnel barrier/semiconductor system is presented. The presence of Schottky barrier in the semiconductor is taken into account. The case of degenerated and non-degenerated semiconductors are considered. Both the diffusive and ballistic transport regime are investigated. The dependence of current polarization on barrier thickness and temperature is calculated

  15. ERC Vision & Research

    Science.gov (United States)

    SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing (ERC ) ** Bringing Sustainability to Semiconductor Manufacturing ** A multi-university research center leading the way to environmentally friendly semiconductor manufacturing, sponsored by the Semiconductor Research

  16. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    Directory of Open Access Journals (Sweden)

    Yoshihiro Irokawa

    2011-01-01

    Full Text Available In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C-V characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C-V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C-V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I-V characterization, suggesting that low-frequency C-V method would be effective in detecting very low hydrogen concentrations.

  17. Surrogate Plant Data Base : Volume 2. Appendix C : Facilities Planning Baseline Data

    Science.gov (United States)

    1983-05-01

    This four volume report consists of a data base describing "surrogate" automobile and truck manufacturing plants developed as part of a methodology for evaluating capital investment requirements in new manufacturing facilities to build new fleets of ...

  18. Thiophene-Based Organic Semiconductors.

    Science.gov (United States)

    Turkoglu, Gulsen; Cinar, M Emin; Ozturk, Turan

    2017-10-24

    Thiophene-based π-conjugated organic small molecules and polymers are the research subject of significant current interest owing to their potential use as organic semiconductors in material chemistry. Despite simple and similar molecular structures, the hitherto reported properties of thiophene-based organic semiconductors are rather diverse. Design of high performance organic semiconducting materials requires a thorough understanding of inter- and intra-molecular interactions, solid-state packing, and the influence of both factors on the charge carrier transport. In this chapter, thiophene-based organic semiconductors, which are classified in terms of their chemical structures and their structure-property relationships, are addressed for the potential applications as organic photovoltaics (OPVs), organic field-effect transistors (OFETs) and organic light emitting diodes (OLEDs).

  19. Manufacturing and Construction of Fresh Fuel Storage Rack for a Research Reactor

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Jinho; Lee, Sangjin; Lee, Jongmin; Ryu, Jeong-Soo [KAERI, Daejeon (Korea, Republic of)

    2016-05-15

    The objective of this study is to provide the manufacturing and construction information regarding the Fresh Fuel Storage Rack (FFSR). The main function of a FFSR is to store and protect the 2 core new fuel assemblies for the operation of the research reactor. The fresh fuel assemblies are stored in a rack made of stainless steel and the storage rack is installed in the fresh fuel storage room. The fresh fuel facility provides fresh fuel assemblies with dry storage space. General design requirements of the fresh fuel storage facilities are given in the ANSI-57.3. Design, manufacturing, and construction of the fresh fuel storage rack are introduced. The analysis is performed to confirm the structural intensity of the fresh fuel storage rack under the seismic loads. The fresh fuel storage rack designed for storage of fresh fuel assemblies should be manufactured and installed with consideration of predicted number of fresh fuel assemblies, structural integrity, resistivity to corrosion and radiation, cleaning, and workability.

  20. Manufacturing and Construction of Fresh Fuel Storage Rack for a Research Reactor

    International Nuclear Information System (INIS)

    Oh, Jinho; Lee, Sangjin; Lee, Jongmin; Ryu, Jeong-Soo

    2016-01-01

    The objective of this study is to provide the manufacturing and construction information regarding the Fresh Fuel Storage Rack (FFSR). The main function of a FFSR is to store and protect the 2 core new fuel assemblies for the operation of the research reactor. The fresh fuel assemblies are stored in a rack made of stainless steel and the storage rack is installed in the fresh fuel storage room. The fresh fuel facility provides fresh fuel assemblies with dry storage space. General design requirements of the fresh fuel storage facilities are given in the ANSI-57.3. Design, manufacturing, and construction of the fresh fuel storage rack are introduced. The analysis is performed to confirm the structural intensity of the fresh fuel storage rack under the seismic loads. The fresh fuel storage rack designed for storage of fresh fuel assemblies should be manufactured and installed with consideration of predicted number of fresh fuel assemblies, structural integrity, resistivity to corrosion and radiation, cleaning, and workability

  1. Device Physics of Narrow Gap Semiconductors

    CERN Document Server

    Chu, Junhao

    2010-01-01

    Narrow gap semiconductors obey the general rules of semiconductor science, but often exhibit extreme features of these rules because of the same properties that produce their narrow gaps. Consequently these materials provide sensitive tests of theory, and the opportunity for the design of innovative devices. Narrow gap semiconductors are the most important materials for the preparation of advanced modern infrared systems. Device Physics of Narrow Gap Semiconductors offers descriptions of the materials science and device physics of these unique materials. Topics covered include impurities and defects, recombination mechanisms, surface and interface properties, and the properties of low dimensional systems for infrared applications. This book will help readers to understand not only the semiconductor physics and materials science, but also how they relate to advanced opto-electronic devices. The last chapter applies the understanding of device physics to photoconductive detectors, photovoltaic infrared detector...

  2. Manipulating semiconductor colloidal stability through doping.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2014-10-10

    The interface between a doped semiconductor material and electrolyte solution is of considerable fundamental interest, and is relevant to systems of practical importance. Both adjacent domains contain mobile charges, which respond to potential variations. This is exploited to design electronic and optoelectronic sensors, and other enabling semiconductor colloidal materials. We show that the charge mobility in both phases leads to a new type of interaction between semiconductor colloids suspended in aqueous electrolyte solutions. This interaction is due to the electrostatic response of the semiconductor interior to disturbances in the external field upon the approach of two particles. The electrostatic repulsion between two charged colloids is reduced from the one governed by the charged groups present at the particles surfaces. This type of interaction is unique to semiconductor particles and may have a substantial effect on the suspension dynamics and stability.

  3. Diode having trenches in a semiconductor region

    Energy Technology Data Exchange (ETDEWEB)

    Palacios, Tomas Apostol; Lu, Bin; Matioli, Elison de Nazareth

    2016-03-22

    An electrode structure is described in which conductive regions are recessed into a semiconductor region. Trenches may be formed in a semiconductor region, such that conductive regions can be formed in the trenches. The electrode structure may be used in semiconductor devices such as field effect transistors or diodes. Nitride-based power semiconductor devices are described including such an electrode structure, which can reduce leakage current and otherwise improve performance.

  4. Laser semiconductor diode integrated with frequency doubler

    International Nuclear Information System (INIS)

    Tighineanu, I.; Dorogan, V.; Suruceanu, G.

    2003-01-01

    The invention relates to the technology of optoelectronic semiconductor devices and may be used in the production of laser semiconductor diodes integrated with optical nonlinear elements. The laser semiconductor diode integrated with frequency doubler includes a semiconductor substrate, a laser structure with waveguide. metal contacts in the waveguide of the laser structure it is formed a nanostructured field so that the nanostructure provides for the fulfillment of the phase synchronism conditions

  5. MOX manufacturing perspectives in a fast growing future and the MELOX plant

    International Nuclear Information System (INIS)

    Bekiarian, A.; Le Bastard, G.

    1991-01-01

    The potential MOX fuel market will grow regularly in the nineties. In view of satisfying the needs of the market, mixed-oxide fuel manufacturers have a strong incentive to increase the capacity of existing facilities and to build new ones. The Belgonucleaire plant at Dessel has been in operation since 1973. It has been backfitted up to a capacity of 35 t/y of LWR fuel which is now fully available. To satisfy the need of MOX fuel it was equally decided to adapt facilities in Cadarache where a production line, with a capacity of 15 t/y, is now delivering its production. But planned production up to the end of the century implies further increases in manufacturing capacities : MELOX, a plant for 120 t/y is under construction on the COGEMA site of Marcoule as well as a further expansion of Belgonucleaire plant at Dessel (P1) is studied to reach 70 t/y on this site. Similar developments are also planned by SIEMENS for a new manufacturing capability at Hanau (Germany). MELOX as well as all the new facilities have to get high levels of safety concerning environment and personnel. This leads to largely automated operations, and a particular care for waste treatment. (author)

  6. Ultrafast THz Saturable Absorption in Doped Semiconductors

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hoffmann, Matthias C.

    2011-01-01

    We demonstrate ultrafast THz saturable absorption in n-doped semiconductors by nonlinear THz time-domain spectroscopy. This effect is caused by the semiconductor conductivity modulation due to electron heating and satellite-valley scattering in strong THz fields.......We demonstrate ultrafast THz saturable absorption in n-doped semiconductors by nonlinear THz time-domain spectroscopy. This effect is caused by the semiconductor conductivity modulation due to electron heating and satellite-valley scattering in strong THz fields....

  7. Semiconductor radiation detectors. Device physics

    International Nuclear Information System (INIS)

    Lutz, G.

    2007-01-01

    Starting from basic principles, the author, whose own contributions to these developments have been significant, describes the rapidly growing field of modern semiconductor detectors used for energy and position measurement radiation. This development was stimulated by requirements in elementary particle physics where it has led to important scientific discoveries. It has now spread to many other fields of science and technology. The book is written in a didactic way and includes an introduction to semiconductor physics. The working principles of semiconductor radiation detectors are explained in an intuitive way, followed by formal quantitative analysis. Broad coverage is also given to electronic signal readout and to the subject of radiation damage. The book is the first to comprehensively cover the semiconductor radiation detectors currently in use. It is useful as a teaching guide and as a reference work for research and applications. (orig.)

  8. 77 FR 20356 - Foreign-Trade Zone 277-Western Maricopa County, AZ; Application for Manufacturing Authority...

    Science.gov (United States)

    2012-04-04

    ... Maricopa County, AZ; Application for Manufacturing Authority; Suntech Arizona, Inc., (Solar Panel... facility is used for the manufacture of 275 and 290 watt solar panels for industrial use. Components and... to solar panels (duty-free) for the foreign inputs noted above. Suntech would also be exempt from...

  9. SOLVENT-BASED TO WATERBASED ADHESIVE-COATED SUBSTRATE RETROFIT - VOLUME III: LABEL MANUFACTURING CASE STUDY: NASHUA CORPORATION

    Science.gov (United States)

    This volume discusses Nashua Corporation's Omaha facility, a label and label stock manufacturing facility that no longer uses solvent-based adhesives. Information obtained includes issues related to the technical, economic, and environmental barriers and opportunities associated ...

  10. On measurement of charge behavior with super high velocity formed in semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Hirao, Toshio; Sakai, Takuro; Hamano, Tsuyoshi; Nashiyama, Isamu [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment; Nemoto, Norio; Matsuda, Sumio

    1996-12-01

    The basic process of single event phenomenon of the semiconductor element consists of formation of electron and positive hole pair due to penetration of incident particle and collection of small number of carrier into pn-junction added with inverse bias. This collecting process has a rapid process of about 200 ps and a late process reaching to some nanoseconds. And, it is an important problem to develop radiation resistant element of superconducting element usable for the space environment to acquire single event parameter by directly observing charge collection using pn-junction diode constructing basic structure of the semiconductor element. At present, an experiment on the single event phenomenon in actual device is executed by using cyclotron of TIARA irradiation facility in Takasaki Radiation Chemistry Research Establishment, JAERI. On the other hand, the experiments on mechanism elucidation of the single event phenomenon and so on are conducting by using heavy ion microbeam installed at tandem accelerator. As an experiment result measured high speed charge collection on irradiating the heavy ion microbeam into the semiconductor element, following items were found: (1) The single event transient current waveform becomes high in its peak and many in collected charge with magnitude of LET, (2) rise of the transient current waveform formed in each ion specie shows a shift to long time side with increase of LET, and so forth. (G.K.)

  11. Optimal Manufacturing-Remanufacturing Production Policy for a Closed-Loop Supply Chain under Fill Rate and Budget Constraint in Bifuzzy Environments

    Directory of Open Access Journals (Sweden)

    Soumita Kundu

    2014-01-01

    Full Text Available We study a closed-loop supply chain involving a manufacturing facility and a remanufacturing facility. The manufacturer satisfies stochastic market demand by remanufacturing the used product into “as-new” one and producing new products from raw material in the remanufacturing facility and the manufacturing facility, respectively. The remanufacturing cost depends on the quality of used product. The problem is maximizing the manufacturer’s expected profit by jointly determining the collected quantity of used product and the ordered quantity of raw material. Following that we analyze the model with a fill rate constraint and a budget constraint separately and then with both the constraints. Next, to handle the imprecise nature of some parameters of the model, we develop the model with both constraints in bifuzzy environment. Finally numerical examples are presented to illustrate the models. The sensitivity analysis is also conducted to generate managerial insight.

  12. Epitaxy of semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Krogstrup, P.; Ziino, N.L.B.; Chang, W.

    2015-01-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface...

  13. Semiconductor Lasers Stability, Instability and Chaos

    CERN Document Server

    Ohtsubo, Junji

    2013-01-01

    This third edition of “Semiconductor Lasers, Stability, Instability and Chaos” was significantly extended.  In the previous edition, the dynamics and characteristics of chaos in semiconductor lasers after the introduction of the fundamental theory of laser chaos and chaotic dynamics induced by self-optical feedback and optical injection was discussed. Semiconductor lasers with new device structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are interesting devices from the viewpoint of chaotic dynamics since they essentially involve chaotic dynamics even in their free-running oscillations. These topics are also treated with respect to the new developments in the current edition. Also the control of such instabilities and chaos control are critical issues for applications. Another interesting and important issue of semiconductor laser chaos in this third edition is chaos synchronization between two lasers and the application to optical secure communication. One o...

  14. Image processing technology for nuclear facilities

    International Nuclear Information System (INIS)

    Lee, Jong Min; Lee, Yong Beom; Kim, Woong Ki; Park, Soon Young

    1993-05-01

    Digital image processing technique is being actively studied since microprocessors and semiconductor memory devices have been developed in 1960's. Now image processing board for personal computer as well as image processing system for workstation is developed and widely applied to medical science, military, remote inspection, and nuclear industry. Image processing technology which provides computer system with vision ability not only recognizes nonobvious information but processes large information and therefore this technique is applied to various fields like remote measurement, object recognition and decision in adverse environment, and analysis of X-ray penetration image in nuclear facilities. In this report, various applications of image processing to nuclear facilities are examined, and image processing techniques are also analysed with the view of proposing the ideas for future applications. (Author)

  15. Manufacturing scheduling systems an integrated view on models, methods and tools

    CERN Document Server

    Framinan, Jose M; Ruiz García, Rubén

    2014-01-01

    The book is devoted to the problem of manufacturing scheduling, which is the efficient allocation of jobs (orders) over machines (resources) in a manufacturing facility. It offers a comprehensive and integrated perspective on the different aspects required to design and implement systems to efficiently and effectively support manufacturing scheduling decisions. Obtaining economic and reliable schedules constitutes the core of excellence in customer service and efficiency in manufacturing operations. Therefore, scheduling forms an area of vital importance for competition in manufacturing companies. However, only a fraction of scheduling research has been translated into practice, due to several reasons. First, the inherent complexity of scheduling has led to an excessively fragmented field in which different sub problems and issues are treated in an independent manner as goals themselves, therefore lacking a unifying view of the scheduling problem. Furthermore, mathematical brilliance and elegance has sometime...

  16. Tunable radiation emitting semiconductor device

    NARCIS (Netherlands)

    2009-01-01

    A tunable radiation emitting semiconductor device includes at least one elongated structure at least partially fabricated from one or more semiconductor materials exhibiting a bandgap characteristic including one or more energy transitions whose energies correspond to photon energies of light

  17. Optical coherent control in semiconductors

    DEFF Research Database (Denmark)

    Østergaard, John Erland; Vadim, Lyssenko; Hvam, Jørn Märcher

    2001-01-01

    of quantum control including the recent applications to semiconductors and nanostructures. We study the influence of inhomogeneous broadening in semiconductors on CC results. Photoluminescence (PL) and the coherent emission in four-wave mixing (FWM) is recorded after resonant excitation with phase...

  18. Semiconductor materials and their properties

    NARCIS (Netherlands)

    Reinders, Angelina H.M.E.; Verlinden, Pierre; van Sark, Wilfried; Freundlich, Alexandre; Reinders, Angele; Verlinden, Pierre; van Sark, Wilfried; Freundlich, Alexandre

    2017-01-01

    Semiconductor materials are the basic materials which are used in photovoltaic (PV) devices. This chapter introduces solid-state physics and semiconductor properties that are relevant to photovoltaics without spending too much time on unnecessary information. Usually atoms in the group of

  19. 75 FR 38129 - Freescale Semiconductor, Inc., Hardware/Software Design and Manufacturing A Including On-Site...

    Science.gov (United States)

    2010-07-01

    ... Manufacturing A, Austin, Texas. The notice was published in the Federal Register on May 28, 2010 (75 FR 30070...Logic, Inc., Austin, TX; Amended Certification Regarding Eligibility To Apply for Worker Adjustment..., Design Solutions, Inc., Veriseo, SilconElite and MicroLogic, Inc. were employed on-site at the Austin...

  20. Semiconductor opto-electronics

    CERN Document Server

    Moss, TS; Ellis, B

    1972-01-01

    Semiconductor Opto-Electronics focuses on opto-electronics, covering the basic physical phenomena and device behavior that arise from the interaction between electromagnetic radiation and electrons in a solid. The first nine chapters of this book are devoted to theoretical topics, discussing the interaction of electromagnetic waves with solids, dispersion theory and absorption processes, magneto-optical effects, and non-linear phenomena. Theories of photo-effects and photo-detectors are treated in detail, including the theories of radiation generation and the behavior of semiconductor lasers a

  1. Concentrated fed-batch cell culture increases manufacturing capacity without additional volumetric capacity.

    Science.gov (United States)

    Yang, William C; Minkler, Daniel F; Kshirsagar, Rashmi; Ryll, Thomas; Huang, Yao-Ming

    2016-01-10

    Biomanufacturing factories of the future are transitioning from large, single-product facilities toward smaller, multi-product, flexible facilities. Flexible capacity allows companies to adapt to ever-changing pipeline and market demands. Concentrated fed-batch (CFB) cell culture enables flexible manufacturing capacity with limited volumetric capacity; it intensifies cell culture titers such that the output of a smaller facility can rival that of a larger facility. We tested this hypothesis at bench scale by developing a feeding strategy for CFB and applying it to two cell lines. CFB improved cell line A output by 105% and cell line B output by 70% compared to traditional fed-batch (TFB) processes. CFB did not greatly change cell line A product quality, but it improved cell line B charge heterogeneity, suggesting that CFB has both process and product quality benefits. We projected CFB output gains in the context of a 2000-L small-scale facility, but the output was lower than that of a 15,000-L large-scale TFB facility. CFB's high cell mass also complicated operations, eroded volumetric productivity, and showed our current processes require significant improvements in specific productivity in order to realize their full potential and savings in manufacturing. Thus, improving specific productivity can resolve CFB's cost, scale-up, and operability challenges. Copyright © 2015 Elsevier B.V. All rights reserved.

  2. Nuclear radiation detection by a variband semiconductor

    International Nuclear Information System (INIS)

    Volkov, A.S.

    1981-01-01

    Possibilities of using a variband semiconductor for detecting nuclear radiations are considered. It is shown that the variaband quasielectric field effectively collects charges induced by a nuclear particle only at a small mean free path in the semiconductor (up to 100 μm), the luminescence spectrum of the variband semiconductor when a nuclear particle gets into it, in principle, permits to determine both the energy and mean free path in the semiconductor (even at large mean free paths) [ru

  3. Radiation safety of gamma and electron irradiation facilities

    International Nuclear Information System (INIS)

    1992-01-01

    There are currently some 160 gamma irradiation facilities and over 600 electron beam facilities in operation throughout virtually all Member States of the IAEA. The most widespread uses of these facilities are for the sterilization of medical and pharmaceutical products, the preservation of foodstuffs, polymer synthesis and modification, and the eradication of insect infestation. The safety record of this industry has been very good. Nevertheless, there is a potential for accidents with serious consequences. Gamma and electron beam facilities produce very high dose rates during irradiation, so that a person accidentally present in the irradiation chamber can receive a lethal dose within minutes or seconds. Precautions against uncontrolled entry must therefore be taken. Furthermore, gamma irradiation facilities contain large amounts of radioactivity and if the mechanism for retracting the source is damaged, the source may remain exposed, inhibiting direct access to carry out remedial work. Contamination can result from corroded or damaged sources, and decontamination can be very expensive. These aspects clearly indicate the need to achieve a high degree of safety and reliability in the facilities. This can be accomplished by effective quality control together with careful design, manufacture, installation, operation and decommissioning. The guidance in this Safety Series publication is intended for competent authorities responsible for regulating the use of radiation sources as well as the manufacturers, suppliers, installers and users of gamma and electron beam facilities. 20 refs, 6 figs

  4. Review of wide band-gap semiconductors technology

    Directory of Open Access Journals (Sweden)

    Jin Haiwei

    2016-01-01

    Full Text Available Silicon carbide (SiC and gallium nitride (GaN are typical representative of the wide band-gap semiconductor material, which is also known as third-generation semiconductor materials. Compared with the conventional semiconductor silicon (Si or gallium arsenide (GaAs, wide band-gap semiconductor has the wide band gap, high saturated drift velocity, high critical breakdown field and other advantages; it is a highly desirable semiconductor material applied under the case of high-power, high-temperature, high-frequency, anti-radiation environment. These advantages of wide band-gap devices make them a hot spot of semiconductor technology research in various countries. This article describes the research agenda of United States and European in this area, focusing on the recent developments of the wide band-gap technology in the US and Europe, summed up the facing challenge of the wide band-gap technology.

  5. A feasibility study for a manufacturing technology deployment center

    Energy Technology Data Exchange (ETDEWEB)

    1994-10-31

    The Automation & Robotics Research Institute (ARRI) and the Texas Engineering Extension Service (TEEX) were funded by the U.S. Department of Energy to determine the feasibility of a regional industrial technology institute to be located at the Superconducting Super Collider (SSC) Central Facility in Waxahachie, Texas. In response to this opportunity, ARRI and TEEX teamed with the DOE Kansas City Plant (managed by Allied Signal, Inc.), Los Alamos National Laboratory (managed by the University of California), Vought Aircraft Company, National Center for Manufacturing Sciences (NCMS), SSC Laboratory, KPMG Peat Marwick, Dallas County Community College, Navarro Community College, Texas Department of Commerce (TDOC), Texas Manufacturing Assistance Center (TMAC), Oklahoma Center for the Advancement of Science and Technology, Arkansas Science and Technology Authority, Louisiana Productivity Center, and the NASA Mid-Continent Technology Transfer Center (MCTTC) to develop a series of options, perform the feasibility analysis and secure industrial reviews of the selected concepts. The final report for this study is presented in three sections: Executive Summary, Business Plan, and Technical Plan. The results from the analysis of the proposed concept support the recommendation of creating a regional technology alliance formed by the states of Texas, New Mexico, Oklahoma, Arkansas and Louisiana through the conversion of the SSC Central facility into a Manufacturing Technology Deployment Center (MTDC).

  6. 41 CFR 101-26.702 - Purchase of products manufactured by the Federal Prison Industries, Inc.

    Science.gov (United States)

    2010-07-01

    ... manufactured by the Federal Prison Industries, Inc. 101-26.702 Section 101-26.702 Public Contracts and Property... Defense § 101-26.702 Purchase of products manufactured by the Federal Prison Industries, Inc. (a) Purchases by executive agencies of prison-made products carried in GSA supply distribution facilities must...

  7. Materials Science Experiments Under Microgravity - A Review of History, Facilities, and Future Opportunities

    Science.gov (United States)

    Stenzel, Ch.

    2012-01-01

    Materials science experiments have been a key issue already since the early days of research under microgravity conditions. A microgravity environment facilitates processing of metallic and semiconductor melts without buoyancy driven convection and sedimentation. Hence, crystal growth of semiconductors, solidification of metallic alloys, and the measurement of thermo-physical parameters are the major applications in the field of materials science making use of these dedicated conditions in space. In the last three decades a large number of successful experiments have been performed, mainly in international collaborations. In parallel, the development of high-performance research facilities and the technological upgrade of diagnostic and stimuli elements have also contributed to providing optimum conditions to perform such experiments. A review of the history of materials science experiments in space focussing on the development of research facilities is given. Furthermore, current opportunities to perform such experiments onboard ISS are described and potential future options are outlined.

  8. Quantum transport in semiconductor nanowires

    NARCIS (Netherlands)

    Van Dam, J.

    2006-01-01

    This thesis describes a series of experiments aimed at understanding the low-temperature electrical transport properties of semiconductor nanowires. The semiconductor nanowires (1-100 nm in diameter) are grown from nanoscale gold particles via a chemical process called vapor-liquid-solid (VLS)

  9. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    Science.gov (United States)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  10. Quantum optics with semiconductor nanostructures

    CERN Document Server

    Jahnke, Frank

    2012-01-01

    A guide to the theory, application and potential of semiconductor nanostructures in the exploration of quantum optics. It offers an overview of resonance fluorescence emission.$bAn understanding of the interaction between light and matter on a quantum level is of fundamental interest and has many applications in optical technologies. The quantum nature of the interaction has recently attracted great attention for applications of semiconductor nanostructures in quantum information processing. Quantum optics with semiconductor nanostructures is a key guide to the theory, experimental realisation, and future potential of semiconductor nanostructures in the exploration of quantum optics. Part one provides a comprehensive overview of single quantum dot systems, beginning with a look at resonance fluorescence emission. Quantum optics with single quantum dots in photonic crystal and micro cavities are explored in detail, before part two goes on to review nanolasers with quantum dot emitters. Light-matter interaction...

  11. Advanced Manufacturing Processes Laboratory Building 878 hazards assessment document

    Energy Technology Data Exchange (ETDEWEB)

    Wood, C.; Thornton, W.; Swihart, A.; Gilman, T.

    1994-07-01

    The introduction of the hazards assessment process is to document the impact of the release of hazards at the Advanced Manufacturing Processes Laboratory (AMPL) that are significant enough to warrant consideration in Sandia National Laboratories` operational emergency management program. This hazards assessment is prepared in accordance with the Department of Energy Order 5500.3A requirement that facility-specific hazards assessments be prepared, maintained, and used for emergency planning purposes. This hazards assessment provides an analysis of the potential airborne release of chemicals associated with the operations and processes at the AMPL. This research and development laboratory develops advanced manufacturing technologies, practices, and unique equipment and provides the fabrication of prototype hardware to meet the needs of Sandia National Laboratories, Albuquerque, New Mexico (SNL/NM). The focus of the hazards assessment is the airborne release of materials because this requires the most rapid, coordinated emergency response on the part of the AMPL, SNL/NM, collocated facilities, and surrounding jurisdiction to protect workers, the public, and the environment.

  12. Advanced Manufacturing Processes Laboratory Building 878 hazards assessment document

    International Nuclear Information System (INIS)

    Wood, C.; Thornton, W.; Swihart, A.; Gilman, T.

    1994-07-01

    The introduction of the hazards assessment process is to document the impact of the release of hazards at the Advanced Manufacturing Processes Laboratory (AMPL) that are significant enough to warrant consideration in Sandia National Laboratories' operational emergency management program. This hazards assessment is prepared in accordance with the Department of Energy Order 5500.3A requirement that facility-specific hazards assessments be prepared, maintained, and used for emergency planning purposes. This hazards assessment provides an analysis of the potential airborne release of chemicals associated with the operations and processes at the AMPL. This research and development laboratory develops advanced manufacturing technologies, practices, and unique equipment and provides the fabrication of prototype hardware to meet the needs of Sandia National Laboratories, Albuquerque, New Mexico (SNL/NM). The focus of the hazards assessment is the airborne release of materials because this requires the most rapid, coordinated emergency response on the part of the AMPL, SNL/NM, collocated facilities, and surrounding jurisdiction to protect workers, the public, and the environment

  13. Combined Electrical, Optical and Nuclear Investigations of Impurities and Defects in II-VI Semiconductors

    CERN Multimedia

    2002-01-01

    % IS325 \\\\ \\\\ To achieve well controlled bipolar conductivity in II-VI semiconductors represents a fundamental problem in semiconductor physics. The doping problems are controversely discussed, either in terms of self compensation or of compensation and passivation by unintentionally introduced impurities. \\\\ \\\\It is the goal of our experiments at the new ISOLDE facility, to shed new light on these problems and to look for ways to circumvent it. For this aim the investigation of impurities and native defects and the interaction between each other shall be investigated. The use of radioactive ion beams opens the access to controlled site selective doping of only one sublattice via nuclear transmutation. The compensating and passivating mechanisms will be studied by combining nuclear, electrical and optical methods like Perturbed Angular Correlation~(PAC), Hall Effect~(HE), Deep Level Transient Spectroscopy~(DLTS), Photoluminescence Spectroscopy~(PL) and electron paramagnetic resonance (EPR). \\\\ \\\\We intend to ...

  14. Layer-by-layer assembly of multicolored semiconductor quantum dots towards efficient blue, green, red and full color optical films

    International Nuclear Information System (INIS)

    Zhang Jun; Li Qian; Di Xiaowei; Liu Zhiliang; Xu Gang

    2008-01-01

    Multicolored semiconductor quantum dots have shown great promise for construction of miniaturized light-emitting diodes with compact size, low weight and cost, and high luminescent efficiency. The unique size-dependent luminescent property of quantum dots offers the feasibility of constructing single-color or full-color output light-emitting diodes with one type of material. In this paper, we have demonstrated the facile fabrication of blue-, green-, red- and full-color-emitting semiconductor quantum dot optical films via a layer-by-layer assembly technique. The optical films were constructed by alternative deposition of different colored quantum dots with a series of oppositely charged species, in particular, the new use of cationic starch on glass substrates. Semiconductor ZnSe quantum dots exhibiting blue emission were deposited for fabrication of blue-emitting optical films, while semiconductor CdTe quantum dots with green and red emission were utilized for construction of green- and red-emitting optical films. The assembly of integrated blue, green and red semiconductor quantum dots resulted in full-color-emitting optical films. The luminescent optical films showed very bright emitting colors under UV irradiation, and displayed dense, smooth and efficient luminous features, showing brighter luminescence in comparison with their corresponding quantum dot aqueous colloid solutions. The assembled optical films provide the prospect of miniaturized light-emitting-diode applications.

  15. Ternary chalcopyrite semiconductors

    CERN Document Server

    Shay, J L; Pamplin, B R

    2013-01-01

    Ternary Chalcopyrite Semiconductors: Growth, Electronic Properties, and Applications covers the developments of work in the I-III-VI2 and II-IV-V2 ternary chalcopyrite compounds. This book is composed of eight chapters that focus on the crystal growth, characterization, and applications of these compounds to optical communications systems. After briefly dealing with the status of ternary chalcopyrite compounds, this book goes on describing the crystal growth of II-IV-V2 and I-III-VI2 single crystals. Chapters 3 and 4 examine the energy band structure of these semiconductor compounds, illustrat

  16. 46 CFR 183.360 - Semiconductor rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 183.360 Section 183.360... TONS) ELECTRICAL INSTALLATION Power Sources and Distribution Systems § 183.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents...

  17. 77 FR 16537 - Approval for Expansion of Manufacturing Authority, Foreign-Trade Subzone 78A, Nissan North...

    Science.gov (United States)

    2012-03-21

    ... DEPARTMENT OF COMMERCE Foreign-Trade Zones Board [Order No. 1820] Approval for Expansion of Manufacturing Authority, Foreign-Trade Subzone 78A, Nissan North America, Inc. (Electric Passenger Vehicles..., Nissan North America, Inc. (NNA), operator of Subzone 78A, at the NNA manufacturing facilities in Smyrna...

  18. Evaluation of Advanced Polymers for Additive Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Rios, Orlando [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Carter, William G. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Kutchko, Cindy [PPG Industries, Pittsburgh, PA (United States); Fenn, David [PPG Industries, Pittsburgh, PA (United States); Olson, Kurt [PPG Industries, Pittsburgh, PA (United States)

    2017-09-08

    The goal of this Manufacturing Demonstration Facility (MDF) technical collaboration project between Oak Ridge National Laboratory (ORNL) and PPG Industries, Inc. (PPG) was to evaluate the feasibility of using conventional coatings chemistry and technology to build up material layer-by-layer. The PPG-ORNL study successfully demonstrated that polymeric coatings formulations may overcome many limitations of common thermoplastics used in additive manufacturing (AM), allow lightweight nozzle design for material deposition, and increase build rate. The materials effort focused on layer-by-layer deposition of coatings with each layer fusing together. The combination of materials and deposition results in an additively manufactured build that has sufficient mechanical properties to bear the load of additional layers, yet is capable of bonding across the z-layers to improve build direction strength. The formulation properties were tuned to enable a novel, high-throughput deposition method that is highly scalable, compatible with high loading of reinforcing fillers, and inherently low-cost.

  19. Technology-design-manufacturing co-optimization for advanced mobile SoCs

    Science.gov (United States)

    Yang, Da; Gan, Chock; Chidambaram, P. R.; Nallapadi, Giri; Zhu, John; Song, S. C.; Xu, Jeff; Yeap, Geoffrey

    2014-03-01

    How to maintain the Moore's Law scaling beyond the 193 immersion resolution limit is the key question semiconductor industry needs to answer in the near future. Process complexity will undoubtfully increase for 14nm node and beyond, which brings both challenges and opportunities for technology development. A vertically integrated design-technologymanufacturing co-optimization flow is desired to better address the complicated issues new process changes bring. In recent years smart mobile wireless devices have been the fastest growing consumer electronics market. Advanced mobile devices such as smartphones are complex systems with the overriding objective of providing the best userexperience value by harnessing all the technology innovations. Most critical system drivers are better system performance/power efficiency, cost effectiveness, and smaller form factors, which, in turns, drive the need of system design and solution with More-than-Moore innovations. Mobile system-on-chips (SoCs) has become the leading driver for semiconductor technology definition and manufacturing. Here we highlight how the co-optimization strategy influenced architecture, device/circuit, process technology and package, in the face of growing process cost/complexity and variability as well as design rule restrictions.

  20. Manufacturing Process Simulation of Large-Scale Cryotanks

    Science.gov (United States)

    Babai, Majid; Phillips, Steven; Griffin, Brian

    2003-01-01

    NASA's Space Launch Initiative (SLI) is an effort to research and develop the technologies needed to build a second-generation reusable launch vehicle. It is required that this new launch vehicle be 100 times safer and 10 times cheaper to operate than current launch vehicles. Part of the SLI includes the development of reusable composite and metallic cryotanks. The size of these reusable tanks is far greater than anything ever developed and exceeds the design limits of current manufacturing tools. Several design and manufacturing approaches have been formulated, but many factors must be weighed during the selection process. Among these factors are tooling reachability, cycle times, feasibility, and facility impacts. The manufacturing process simulation capabilities available at NASA.s Marshall Space Flight Center have played a key role in down selecting between the various manufacturing approaches. By creating 3-D manufacturing process simulations, the varying approaches can be analyzed in a virtual world before any hardware or infrastructure is built. This analysis can detect and eliminate costly flaws in the various manufacturing approaches. The simulations check for collisions between devices, verify that design limits on joints are not exceeded, and provide cycle times which aide in the development of an optimized process flow. In addition, new ideas and concerns are often raised after seeing the visual representation of a manufacturing process flow. The output of the manufacturing process simulations allows for cost and safety comparisons to be performed between the various manufacturing approaches. This output helps determine which manufacturing process options reach the safety and cost goals of the SLI. As part of the SLI, The Boeing Company was awarded a basic period contract to research and propose options for both a metallic and a composite cryotank. Boeing then entered into a task agreement with the Marshall Space Flight Center to provide manufacturing