WorldWideScience

Sample records for rotating mask spectrometer

  1. Principle and analysis of a rotational motion Fourier transform infrared spectrometer

    Science.gov (United States)

    Cai, Qisheng; Min, Huang; Han, Wei; Liu, Yixuan; Qian, Lulu; Lu, Xiangning

    2017-09-01

    Fourier transform infrared spectroscopy is an important technique in studying molecular energy levels, analyzing material compositions, and environmental pollutants detection. A novel rotational motion Fourier transform infrared spectrometer with high stability and ultra-rapid scanning characteristics is proposed in this paper. The basic principle, the optical path difference (OPD) calculations, and some tolerance analysis are elaborated. The OPD of this spectrometer is obtained by the continuously rotational motion of a pair of parallel mirrors instead of the translational motion in traditional Michelson interferometer. Because of the rotational motion, it avoids the tilt problems occurred in the translational motion Michelson interferometer. There is a cosine function relationship between the OPD and the rotating angle of the parallel mirrors. An optical model is setup in non-sequential mode of the ZEMAX software, and the interferogram of a monochromatic light is simulated using ray tracing method. The simulated interferogram is consistent with the theoretically calculated interferogram. As the rotating mirrors are the only moving elements in this spectrometer, the parallelism of the rotating mirrors and the vibration during the scan are analyzed. The vibration of the parallel mirrors is the main error during the rotation. This high stability and ultra-rapid scanning Fourier transform infrared spectrometer is a suitable candidate for airborne and space-borne remote sensing spectrometer.

  2. Rotating double arm spectrometer to study hard scattering interactions at Serpukhov accelerator

    International Nuclear Information System (INIS)

    Abramov, V.V.; Baldin, B.Yu.; Buzulutskov, A.F.

    1991-01-01

    The double arm magnetic spectrometer designed to study high P T particle production with intense proton and pion beams is described. Particle trajectories are measured by the drift and proportional chambers. Particles are identified by Cherenkov ring spectrometer and muon identifier. The spectrometer can be rotated around the target up to 160 mrad. 2 tabs.; 13 figs

  3. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    Science.gov (United States)

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  4. A rotated transmission grating spectrometer for detecting spectral separation of doublet Na

    Energy Technology Data Exchange (ETDEWEB)

    Santosa, Ignatius Edi [Department of Physics Education, Sanata Dharma University, Paingan Maguwohardjo Depok Sleman, Yogyakarta 55281, Indonesia edi@usd.ac.id (Indonesia)

    2015-04-16

    Transmission gratings are usually used in a spectrometer for measuring the wavelength of light. In the common design, the position of the grating is perpendicular to the incident light. In order to increase the angular dispersion, in contrary to the common design, in this experiment the transmission grating was rotated. Due to the non-zero incident angle, the diffracted light was shifted. This rotated transmission grating spectrometer has been used to determine the separation of doublet Na. In this experiment, the diffraction angle was measured at various incident angles. The spectral separation of doublet Na was identified from the difference in the diffraction angle of two spectral lines. This spectral separation depends on the incident angle, the grating constant and the order of diffraction. As the effect of increasing the incident angle, a significant increase of the spectral separation can be achieved up to three fold.

  5. A rotated transmission grating spectrometer for detecting spectral separation of doublet Na

    International Nuclear Information System (INIS)

    Santosa, Ignatius Edi

    2015-01-01

    Transmission gratings are usually used in a spectrometer for measuring the wavelength of light. In the common design, the position of the grating is perpendicular to the incident light. In order to increase the angular dispersion, in contrary to the common design, in this experiment the transmission grating was rotated. Due to the non-zero incident angle, the diffracted light was shifted. This rotated transmission grating spectrometer has been used to determine the separation of doublet Na. In this experiment, the diffraction angle was measured at various incident angles. The spectral separation of doublet Na was identified from the difference in the diffraction angle of two spectral lines. This spectral separation depends on the incident angle, the grating constant and the order of diffraction. As the effect of increasing the incident angle, a significant increase of the spectral separation can be achieved up to three fold

  6. Correlation spectrometer

    Science.gov (United States)

    Sinclair, Michael B [Albuquerque, NM; Pfeifer, Kent B [Los Lunas, NM; Flemming, Jeb H [Albuquerque, NM; Jones, Gary D [Tijeras, NM; Tigges, Chris P [Albuquerque, NM

    2010-04-13

    A correlation spectrometer can detect a large number of gaseous compounds, or chemical species, with a species-specific mask wheel. In this mode, the spectrometer is optimized for the direct measurement of individual target compounds. Additionally, the spectrometer can measure the transmission spectrum from a given sample of gas. In this mode, infrared light is passed through a gas sample and the infrared transmission signature of the gasses present is recorded and measured using Hadamard encoding techniques. The spectrometer can detect the transmission or emission spectra in any system where multiple species are present in a generally known volume.

  7. Plasma rotation measurement in small tokamaks using an optical spectrometer and a single photomultiplier as detector.

    Science.gov (United States)

    Severo, J H F; Nascimento, I C; Kuznetov, Yu K; Tsypin, V S; Galvão, R M O; Tendler, M

    2007-04-01

    The method for plasma rotation measurement in the tokamak TCABR is reported in this article. During a discharge, an optical spectrometer is used to scan sequentially spectral lines of plasma impurities and spectral lines of a calibration lamp. Knowing the scanning velocity of the diffraction grating of the spectrometer with adequate precision, the Doppler shifts of impurity lines are determined. The photomultiplier output voltage signals are recorded with adequate sampling rate. With this method the residual poloidal and toroidal plasma rotation velocities were determined, assuming that they are the same as those of the impurity ions. The results show reasonable agreement with the neoclassical theory and with results from similar tokamaks.

  8. Nitric oxide isotopic analyzer based on a compact dual-modulation Faraday rotation spectrometer.

    Science.gov (United States)

    Zhang, Eric; Huang, Stacey; Ji, Qixing; Silvernagel, Michael; Wang, Yin; Ward, Bess; Sigman, Daniel; Wysocki, Gerard

    2015-10-14

    We have developed a transportable spectroscopic nitrogen isotopic analyzer. The spectrometer is based on dual-modulation Faraday rotation spectroscopy of nitric oxide isotopologues with near shot-noise limited performance and baseline-free operation. Noise analysis indicates minor isotope ((15)NO) detection sensitivity of 0.36 ppbv·Hz(-1/2), corresponding to noise-equivalent Faraday rotation angle (NEA) of 1.31 × 10(-8) rad·Hz(-1/2) and noise-equivalent absorbance (αL)min of 6.27 × 10(-8) Hz(-1/2). White-noise limited performance at 2.8× the shot-noise limit is observed up to ~1000 s, allowing reliable calibration and sample measurement within the drift-free interval of the spectrometer. Integration with wet-chemistry based on acidic vanadium(III) enables conversion of aqueous nitrate/nitrite samples to gaseous NO for total nitrogen isotope analysis. Isotopic ratiometry is accomplished via time-multiplexed measurements of two NO isotope transitions. For 5 μmol potassium nitrate samples, the instrument consistently yields ratiometric precision below 0.3‰, thus demonstrating potential as an in situ diagnostic tool for environmental nitrogen cycle studies.

  9. Masked Photocathode for Photoinjector

    International Nuclear Information System (INIS)

    Qiang, Ji

    2010-01-01

    In this research note, we propose a scheme to insert a photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto the electrode, a masked electrode with small hole is used to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material very simple by rotating the photocathode behind the mask into the hole. This will significantly increase the usage lifetime of a photocathode. Furthermore, this also helps reduce the dark current or secondary electron emission from the photocathode. The hole on the mask also provides a transverse cut-off to the Gaussian laser profile which can be beneficial from the beam dynamics point of view.

  10. A Masked Photocathode in a Photoinjector

    OpenAIRE

    Qiang, Ji

    2011-01-01

    In this paper, we propose a masked photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto an electrode, an electrode with small hole is used as a mask to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material easy by rotating the photocathode behind the electrode into the hole. Furthermore, this helps reduce the dark current or seconda...

  11. A multiplex coding imaging spectrometer for X-ray astronomy

    International Nuclear Information System (INIS)

    Rocchia, R.; Deschamps, J.Y.; Koch-Miramond, L.; Tarrius, A.

    1985-06-01

    The paper describes a multiplex coding system associated with a solid state spectrometer Si(Li) designed to be placed at the focus of a grazing incidence telescope. In this instrument the spectrometric and imaging functions are separated. The coding system consists in a movable mask with pseudo randomly distributed holes, located in the focal plane of the telescope. The pixel size lies in the range 100-200 microns. The close association of the coding system with a Si(Li) detector gives an imaging spectrometer combining the good efficiency (50% between 0,5 and 10 keV) and energy resolution (ΔE approximately 90 to 160 eV) of solid state spectrometers with the spatial resolution of the mask. Simulations and results obtained with a laboratory model are presented

  12. Regolith X-Ray Imaging Spectrometer (REXIS) Aboard the OSIRIS-REx Asteroid Sample Return Mission

    Science.gov (United States)

    Masterson, R. A.; Chodas, M.; Bayley, L.; Allen, B.; Hong, J.; Biswas, P.; McMenamin, C.; Stout, K.; Bokhour, E.; Bralower, H.; Carte, D.; Chen, S.; Jones, M.; Kissel, S.; Schmidt, F.; Smith, M.; Sondecker, G.; Lim, L. F.; Lauretta, D. S.; Grindlay, J. E.; Binzel, R. P.

    2018-02-01

    The Regolith X-ray Imaging Spectrometer (REXIS) is the student collaboration experiment proposed and built by an MIT-Harvard team, launched aboard NASA's OSIRIS-REx asteroid sample return mission. REXIS complements the scientific investigations of other OSIRIS-REx instruments by determining the relative abundances of key elements present on the asteroid's surface by measuring the X-ray fluorescence spectrum (stimulated by the natural solar X-ray flux) over the range of energies 0.5 to 7 keV. REXIS consists of two components: a main imaging spectrometer with a coded aperture mask and a separate solar X-ray monitor to account for the Sun's variability. In addition to element abundance ratios (relative to Si) pinpointing the asteroid's most likely meteorite association, REXIS also maps elemental abundance variability across the asteroid's surface using the asteroid's rotation as well as the spacecraft's orbital motion. Image reconstruction at the highest resolution is facilitated by the coded aperture mask. Through this operation, REXIS will be the first application of X-ray coded aperture imaging to planetary surface mapping, making this student-built instrument a pathfinder toward future planetary exploration. To date, 60 students at the undergraduate and graduate levels have been involved with the REXIS project, with the hands-on experience translating to a dozen Master's and Ph.D. theses and other student publications.

  13. Design criteria for small coded aperture masks in gamma-ray astronomy

    International Nuclear Information System (INIS)

    Sembay, S.; Gehrels, N.

    1990-01-01

    Most theoretical work on coded aperture masks in X-ray and low-energy γ-ray astronomy has concentrated on masks with large numbers of elements. For γ-ray spectrometers in the MeV range, the detector plane usually has only a few discrete elements, so that masks with small numbers of elements are called for. For this case it is feasible to analyse by computer all the possible mask patterns of given dimension to find the ones that best satisfy the desired performance criteria. In this paper we develop a particular set of performance criteria for comparing the flux sensitivities, source positioning accuracies and transparencies of different mask patterns. We then present the results of such a computer analysis for masks up to dimension 5x5 unit cell and conclude that there is a great deal of flexibility in one's choice of mask pattern for each dimension. (orig.)

  14. Evaluation of the ROTAX spectrometer

    International Nuclear Information System (INIS)

    Tietze-Jaensch, H.; Schmidt, W.; Geick, R.

    1997-01-01

    After installation of the new-type rotating crystal analyser spectrometer ROTAX at ISIS, we report on practical experience and describe its current status. The rotating analyser technique works feasibly and reliably and provides an ultimate scan flexibility on a pulsed time-of-flight neutron spectrometer. The spinning analyser achieves a mulitplex advantage factor of ca. 50 without compromising the resolution of the instrument. Despite these instrument merits its individual beam position at ISIS has only an unsatisfactorily weak flux, thus hindering this instrument yet to become fully competitive with other high-performance neutron spectrometers based at high-flux reactors. However, we strongly recommend a ROTAX-type instrument to be emphasized when the instrumentation suite of the future European spallation source ESS will come under scrutiny. (orig.)

  15. Spectrometer Baseline Control Via Spatial Filtering

    Science.gov (United States)

    Burleigh, M. R.; Richey, C. R.; Rinehart, S. A.; Quijada, M. A.; Wollack, E. J.

    2016-01-01

    An absorptive half-moon aperture mask is experimentally explored as a broad-bandwidth means of eliminating spurious spectral features arising from reprocessed radiation in an infrared Fourier transform spectrometer. In the presence of the spatial filter, an order of magnitude improvement in the fidelity of the spectrometer baseline is observed. The method is readily accommodated within the context of commonly employed instrument configurations and leads to a factor of two reduction in optical throughput. A detailed discussion of the underlying mechanism and limitations of the method are provided.

  16. Non-periodic multi-slit masking for a single counter rotating 2-disc chopper and channeling guides for high resolution and high intensity neutron TOF spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Bartkowiak, M.; Hofmann, T.; Stüßer, N.

    2017-02-01

    Energy resolution is an important design goal for time-of-flight instruments and neutron spectroscopy. For high-resolution applications, it is required that the burst times of choppers be short, going down to the µs-range. To produce short pulses while maintaining high neutron flux, we propose beam masks with more than two slits on a counter-rotating 2-disc chopper, behind specially adapted focusing multi-channel guides. A novel non-regular arrangement of the slits ensures that the beam opens only once per chopper cycle, when the masks are congruently aligned. Additionally, beam splitting and intensity focusing by guides before and after the chopper position provide high intensities even for small samples. Phase-space analysis and Monte Carlo simulations on examples of four-slit masks with adapted guide geometries show the potential of the proposed setup.

  17. Development of a High Resolution X-Ray Imaging Crystal Spectrometer for Measurement of Ion-Temperature and Rotation-Velocity Profiles in Fusion Energy Research Plasmas

    International Nuclear Information System (INIS)

    Hill, K.W.; Bitter, M.L.; Broennimann, Ch.; Eikenberry, E.F.; Ince-Cushman, A.; Lee, S.G.; Rice, J.E.; Scott, S.; Barnsley, R.

    2008-01-01

    A new imaging high resolution x-ray crystal spectrometer (XCS) has been developed to measure continuous profiles of ion temperature and rotation velocity in fusion plasmas. Following proof-of-principle tests on the Alcator C-Mod tokamak and the NSTX spherical tokamak, and successful testing of a new silicon, pixilated detector with 1MHz count rate capability per pixel, an imaging XCS is being designed to measure full profiles of T i and ν φ on C-Mod. The imaging XCS design has also been adopted for ITER. Ion-temperature uncertainty and minimum measurable rotation velocity are calculated for the C-Mod spectrometer. The affects of x-ray and nuclear-radiation background on the measurement uncertainties are calculated to predict performance on ITER

  18. Design and Simulation of a Spin Rotator for Longitudinal Field Measurements in the Low Energy Muons Spectrometer

    Science.gov (United States)

    Salman, Z.; Prokscha, T.; Keller, P.; Morenzoni, E.; Saadaoui, H.; Sedlak, K.; Shiroka, T.; Sidorov, S.; Suter, A.; Vrankovic, V.; Weber, H.-P.

    We usedGeant4 to accurately model the low energy muons (LEM) beam line, including scattering due to the 10-nm thin carbon foil in the trigger detector. Simulations of the beam line transmission give excellent agreement with experimental results for beam energies higher than ∼ 12keV.We use these simulations to design and model the operation of a spin rotator for the LEM spectrometer, which will enable longitudinal field measurements in the near future.

  19. Broadband Rotational Spectroscopy

    Science.gov (United States)

    Pate, Brooks

    2014-06-01

    The past decade has seen several major technology advances in electronics operating at microwave frequencies making it possible to develop a new generation of spectrometers for molecular rotational spectroscopy. High-speed digital electronics, both arbitrary waveform generators and digitizers, continue on a Moore's Law-like development cycle that started around 1993 with device bandwidth doubling about every 36 months. These enabling technologies were the key to designing chirped-pulse Fourier transform microwave (CP-FTMW) spectrometers which offer significant sensitivity enhancements for broadband spectrum acquisition in molecular rotational spectroscopy. A special feature of the chirped-pulse spectrometer design is that it is easily implemented at low frequency (below 8 GHz) where Balle-Flygare type spectrometers with Fabry-Perot cavity designs become technologically challenging due to the mirror size requirements. The capabilities of CP-FTMW spectrometers for studies of molecular structure will be illustrated by the collaborative research effort we have been a part of to determine the structures of water clusters - a project which has identified clusters up to the pentadecamer. A second technology trend that impacts molecular rotational spectroscopy is the development of high power, solid state sources in the mm-wave/THz regions. Results from the field of mm-wave chirped-pulse Fourier transform spectroscopy will be described with an emphasis on new problems in chemical dynamics and analytical chemistry that these methods can tackle. The third (and potentially most important) technological trend is the reduction of microwave components to chip level using monolithic microwave integrated circuits (MMIC) - a technology driven by an enormous mass market in communications. Some recent advances in rotational spectrometer designs that incorporate low-cost components will be highlighted. The challenge to the high-resolution spectroscopy community - as posed by Frank De

  20. Oral mask ventilation is more effective than face mask ventilation after nasal surgery.

    Science.gov (United States)

    Yazicioğlu, Dilek; Baran, Ilkay; Uzumcugil, Filiz; Ozturk, Ibrahim; Utebey, Gulten; Sayın, M Murat

    2016-06-01

    To evaluate and compare the face mask (FM) and oral mask (OM) ventilation techniques during anesthesia emergence regarding tidal volume, leak volume, and difficult mask ventilation (DMV) incidence. Prospective, randomized, crossover study. Operating room, training and research hospital. American Society of Anesthesiologists physical status I and II adult patients scheduled for nasal surgery. Patients in group FM-OM received FM ventilation first, followed by OM ventilation, and patients in group OM-FM received OM ventilation first, followed by FM ventilation, with spontaneous ventilation after deep extubation. The FM ventilation was applied with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and the 1-handed EC-clamp technique was used again. A child's size FM was used for the OM ventilation technique, the mask was rotated, and the inferior part of the mask was placed toward the nose. The leak volume (MVleak), mean airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each mask technique for 3 consecutive breaths. A mask ventilation grade ≥3 was considered DMV. DMV occurred more frequently during FM ventilation (75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249±61mL with the FM and 455±35mL with the OM (P=.0001), whereas in the OM-first sequence, it was 276±81mL with the FM and 409±37mL with the OM (P=.0001). Regardless of the order used, the OM technique significantly decreased the MVleak and increased the TVe when compared to the FM technique. During anesthesia emergence after nasal surgery the OM may offer an effective ventilation method as it decreases the incidence of DMV and the gas leak around the mask and provides higher tidal volume delivery compared with FM ventilation. Copyright © 2016 Elsevier Inc. All rights reserved.

  1. Green binary and phase shifting mask

    Science.gov (United States)

    Shy, S. L.; Hong, Chao-Sin; Wu, Cheng-San; Chen, S. J.; Wu, Hung-Yu; Ting, Yung-Chiang

    2009-12-01

    SixNy/Ni thin film green mask blanks were developed , and are now going to be used to replace general chromium film used for binary mask as well as to replace molydium silicide embedded material for AttPSM for I-line (365 nm), KrF (248 nm), ArF (193 nm) and Contact/Proximity lithography. A bilayer structure of a 1 nm thick opaque, conductive nickel layer and a SixNy layer is proposed for binary and phase-shifting mask. With the good controlling of plasma CVD of SixNy under silane (50 sccm), ammonia (5 sccm) and nitrogen (100 sccm), the pressure is 250 mTorr. and RF frequency 13.56 MHz and power 50 W. SixNy has enough deposition latitude to meet the requirements as an embedded layer for required phase shift 180 degree, and the T% in 193, 248 and 365 nm can be adjusted between 2% to 20% for binary and phase shifting mask usage. Ni can be deposited by E-gun, its sheet resistance Rs is less than 1.435 kΩ/square. Jeol e-beam system and I-line stepper are used to evaluate these thin film green mask blanks, feature size less than 200 nm half pitch pattern and 0.558 μm pitch contact hole can be printed. Transmission spectrums of various thickness of SixNy film are inspected by using UV spectrometer and FTIR. Optical constants of the SixNy film are measured by n & k meter and surface roughness is inspected by using Atomic Force Microscope (AFM).

  2. What's in a mask? Information masking with forward and backward visual masks.

    Science.gov (United States)

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  3. Exploiting Small Leakages in Masks to Turn a Second-Order Attack into a First-Order Attack and Improved Rotating Substitution Box Masking with Linear Code Cosets

    Science.gov (United States)

    DeTrano, Alexander; Karimi, Naghmeh; Karri, Ramesh; Guo, Xiaofei; Carlet, Claude; Guilley, Sylvain

    2015-01-01

    Masking countermeasures, used to thwart side-channel attacks, have been shown to be vulnerable to mask-extraction attacks. State-of-the-art mask-extraction attacks on the Advanced Encryption Standard (AES) algorithm target S-Box recomputation schemes but have not been applied to scenarios where S-Boxes are precomputed offline. We propose an attack targeting precomputed S-Boxes stored in nonvolatile memory. Our attack targets AES implemented in software protected by a low entropy masking scheme and recovers the masks with 91% success rate. Recovering the secret key requires fewer power traces (in fact, by at least two orders of magnitude) compared to a classical second-order attack. Moreover, we show that this attack remains viable in a noisy environment or with a reduced number of leakage points. Eventually, we specify a method to enhance the countermeasure by selecting a suitable coset of the masks set. PMID:26491717

  4. Rotational spectra of rare isotopic species of fluoroiodomethane: determination of the equilibrium structure from rotational spectroscopy and quantum-chemical calculations.

    Science.gov (United States)

    Puzzarini, Cristina; Cazzoli, Gabriele; López, Juan Carlos; Alonso, José Luis; Baldacci, Agostino; Baldan, Alessandro; Stopkowicz, Stella; Cheng, Lan; Gauss, Jürgen

    2012-07-14

    Supported by accurate quantum-chemical calculations, the rotational spectra of the mono- and bi-deuterated species of fluoroiodomethane, CHDFI and CD(2)FI, as well as of the (13)C-containing species, (13)CH(2)FI, were recorded for the first time. Three different spectrometers were employed, a Fourier-transform microwave spectrometer, a millimeter/submillimter-wave spectrometer, and a THz spectrometer, thus allowing to record a huge portion of the rotational spectrum, from 5 GHz up to 1.05 THz, and to accurately determine the ground-state rotational and centrifugal-distortion constants. Sub-Doppler measurements allowed to resolve the hyperfine structure of the rotational spectrum and to determine the complete iodine quadrupole-coupling tensor as well as the diagonal elements of the iodine spin-rotation tensor. The present investigation of rare isotopic species of CH(2)FI together with the results previously obtained for the main isotopologue [C. Puzzarini, G. Cazzoli, J. C. López, J. L. Alonso, A. Baldacci, A. Baldan, S. Stopkowicz, L. Cheng, and J. Gauss, J. Chem. Phys. 134, 174312 (2011); G. Cazzoli, A. Baldacci, A. Baldan, and C. Puzzarini, Mol. Phys. 109, 2245 (2011)] enabled us to derive a semi-experimental equilibrium structure for fluoroiodomethane by means of a least-squares fit procedure using the available experimental ground-state rotational constants together with computed vibrational corrections. Problems related to the missing isotopic substitution of fluorine and iodine were overcome thanks to the availability of an accurate theoretical equilibrium geometry (computed at the coupled-cluster singles and doubles level augmented by a perturbative treatment of triple excitations).

  5. Functional Enhancements in Used Oil Analysis Spectrometers

    National Research Council Canada - National Science Library

    Lukas, Malte

    1998-01-01

    Spark emission spectrometers using the rotating disk electrode (RDE) technique have become the workhorses and primary analytical tool of most machine condition monitoring programs based on oil analysis...

  6. Sample changer for X-ray spectrometer

    International Nuclear Information System (INIS)

    Kaestner, F.; Bisch, H.

    1977-01-01

    A sample planchette with several samples in a spectrometer is rotated so as to position each sample in succession in a counting position. To change the planchette, the cover of the spectrometer raises together with the planchette and rotates to the side into a vertical magazine. The planchette is unhooked onto a tray which rapidly moves to a position above the cover, and the next planchette in the magazine stack moves upwards on a tray and is hooked to the cover and taken to the counting station. Three chains ensure the movement of the planchette trays in the magazine, one for raising the uncounted samples up the magazine, one for shifting the counted ones to the upper stack, and one to move the counted planchettes higher up the magazine. The movement of the chains is controlled by microswitches. (ORU) [de

  7. A rotating modulation imager for locating mid-range point sources

    International Nuclear Information System (INIS)

    Kowash, B.R.; Wehe, D.K.; Fessler, J.A.

    2009-01-01

    Rotating modulation collimators (RMC) are relatively simple indirect imaging devices that have proven useful in gamma ray astronomy (far field) and have more recently been studied for medical imaging (very near field). At the University of Michigan a RMC has been built to study the performance for homeland security applications. This research highlights the imaging performance of this system and focuses on three distinct regions in the RMC field of view that can impact the search for hidden sources. These regions are a blind zone around the axis of rotation, a two mask image zone that extends from the blind zone to the edge of the field of view, and a single mask image zone that occurs when sources fall outside the field of view of both masks. By considering the extent and impact of these zones, the size of the two mask region can be optimized for the best system performance.

  8. How the global layout of the mask influences masking strength.

    Science.gov (United States)

    Ghose, Tandra; Hermens, Frouke; Herzog, Michael H

    2012-12-10

    In visual backward masking, the perception of a target is influenced by a trailing mask. Masking is usually explained by local interactions between the target and the mask representations. However, recently it has been shown that the global spatial layout of the mask rather than its local structure determines masking strength (Hermens & Herzog, 2007). Here, we varied the mask layout by spatial, luminance, and temporal cues. We presented a vernier target followed by a mask with 25 elements. Performance deteriorated when the length of the two mask elements neighboring the target vernier was doubled. However, when the length of every second mask element was doubled, performance improved. When the luminance of the neighboring elements was doubled, performance also deteriorated but no improvement in performance was observed when every second element had a double luminance. For temporal manipulations, a complex nonmonotonic masking function was observed. Hence, changes in the mask layout by spatial, luminance, and temporal cues lead to highly different results.

  9. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  10. Development of portable X-ray diffractometer equipped with X-ray fluorescence spectrometer and its application to archaeology

    International Nuclear Information System (INIS)

    Yamashita, Daisuke; Ishizaki, Atsushi; Uda, Masayuki

    2009-01-01

    A portable X-ray diffractometer equipped with an X-ray fluorescence spectrometer was improved so as to obtain a diffraction pattern and a fluorescence spectrum in air from one and the same small area of a specimen. The reason why the portable XRD with an XRF spectrometer was specially designed for archaeology may be understood from the following facts: (1) some objects exhibited in museums are not allowed to be transferred from the open air to a vacuum, even if their volumes are small; (2) some objects are very difficult to move from their original sites; (3) some parts of exhibits are extremely fragile and cannot be examined in a vacuum; and (4) information on the chemical composition and structure from the same area of an object offers a better understanding of the constitutive materials of the object. Some examples of the use of a portable X-ray diffractometer equipped with an X-ray fluorescence spectrometer in the field are also introduced. Experimental results of Sho-kannon, Snew's mask and Tutankhamun's golden mask are shown here. (author)

  11. Clay Mask Workshop

    Science.gov (United States)

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  12. venice: Mask utility

    Science.gov (United States)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  13. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    Science.gov (United States)

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  14. Measurement of core plasma temperature and rotation on W7-X made available by the x-ray imaging crystal spectrometer (XICS)

    Energy Technology Data Exchange (ETDEWEB)

    Pablant, N A; Bitter, M; Burhenn, R; Delgado-Aparicio, L; Ellis, R; Gates, D; Goto, M; Hill, K W; Langenberg, A; Lazerson, S; Mardenfeld, M; Morita, S; Neilson, G H; Oishi, T; Pedersen, T S

    2014-07-01

    A new x-ray imaging crystal spectrometer diagnostic (XICS) is currently being built for installation on W7-X. This diagnostic will contribute to the study of ion and electron thermal transport and the evolution of the radial electric field by providing high resolution temperature and rotation measurements under many plasma conditions, including ECH heated plasmas. Installation is expected before the first experimental campaign (OP1.1), making an important set of measurements available for the first W7-X plasmas. This diagnostic will also work in concert with the HR-XCS diagnostic to provide an excellent diagnostic set for core impurity transport on W7-X.

  15. Calibrating the Regolith X-ray Imaging Spectrometer (REXIS)

    OpenAIRE

    McIntosh, Missy; Hong, Jaesub; Allen, Branden; Grindlay, Jonathan

    2014-01-01

    This paper describes the onboard calibration process of REXIS (the Regolith X-ray Imaging Spectrometer), an instrument on OSIRIS-REx. OSIRIS-REx, scheduled to be launched in 2016, is a planetary mission intending to return a regolith sample from a near Earth asteroid called Bennu. REXIS, a student-led collaboration between Harvard and MIT, is a soft X-ray (0.5-7.5 keV) coded-aperture telescope with four X-ray CCDs and a gold coated stainless steel mask. REXIS will measure the surface elementa...

  16. Six dimensional analysis with daily stereoscopic x-ray imaging of intrafraction patient motion in head and neck treatments using five points fixation masks

    International Nuclear Information System (INIS)

    Linthout, Nadine; Verellen, Dirk; Tournel, Koen; Storme, Guy

    2006-01-01

    The safety margins used to define the Planning Target Volume (PTV) should reflect the accuracy of the target localization during treatment that comprises both the reproducibility of the patient positioning and the positional uncertainty of the target, so both the inter- and intrafraction motion of the target. Our first aim in this study was to determine the intrafraction motion of patients immobilized with a five-point thermoplastic mask for head and neck treatments. The five-point masks have the advantage that the patient's shoulders as well as the cranial part of the patient's head is covered with the thermoplastic material that improves the overall immobilization of the head and neck region of the patient. Thirteen patients were consecutively assigned to use a five-point thermoplastic mask. The patients were positioned by tracking of infrared markers (IR) fixed to the immobilization device and stereoscopic x-ray images were used for daily on-line setup verification. Repositioning was carried out prior to treatment as needed; rotations were not corrected. Movements during treatment were monitored by real-time IR tracking. Intrafraction motion and rotation was supplementary assessed by a six-degree-of-freedom (6-D) fusion of x-ray images, taken before and after all 385 treatments, with DRR images generated from the planning CT data. The latter evaluates the movement of the patient within the thermoplastic mask independent from the mask movement, where IR tracking evaluates the movement of the mask caused by patient movement in the mask. These two movements are not necessarily equal to each other. The maximum intrafraction movement detected by IR tracking showed a shift [mean (SD; range)] of -0.1(0.7; 6.0), 0.1(0.6; 3.6), -0.2(0.8;5.5) mm in the vertical, longitudinal, and lateral direction, respectively, and rotations of 0.0(0.2; 1.6), 0.0(0.2; 1.7) and 0.2(0.2; 2.4) degrees about the vertical, longitudinal, and lateral axis, respectively. The standard deviations

  17. Low-Power Super-resolution Readout with Antimony Bismuth Alloy Film as Mask layer

    International Nuclear Information System (INIS)

    Lai-Xin, Jiang; Yi-Qun, Wu; Yang, Wang; Jing-Song, Wei; Fu-Xi, Gan

    2009-01-01

    Sb–Bi alloy films are proposed as a new kind of super-resolution mask layer with low readout threshold power. Using the Sb–Bi alloy film as a mask layer and SiN as a protective layer in a read-only memory disc, the super-resolution pits with diameters of 380 nm are read out by a dynamic setup, the laser wavelength is 780 nm and the numerical aperture of pickup lens is 0.45. The effects of the Sb–Bi thin film thickness, laser readout power and disc rotating velocity on the readout signal are investigated. The results show that the threshold laser power of super-resolution readout of the Sb–Bi mask layer is about 0.5 mW, and the corresponding carrier-to-noise ratio is about 20 dB at the film thickness of 50 nm. The super-resolution mechanism of the Sb–Bi alloy mask layer is discussed based on its temperature dependence of reflection

  18. The implementation of Mask-Ed: reflections of academic participants.

    Science.gov (United States)

    Reid-Searl, Kerry; Levett-Jones, Tracy; Cooper, Simon; Happell, Brenda

    2014-09-01

    This paper profiles the findings from a study that explored the perspectives and experiences of nurse educators who implemented a novel simulation approach termed Mask-Ed. The technique involves the educator wearing a silicone mask and or body parts and transforming into a character. The premise of this approach is that the masked educator has domain specific knowledge related to the simulation scenario and can transmit this to learners in a way that is engaging, realistic, spontaneous and humanistic. Nurse educators charged with the responsibility of implementing Mask-Ed in three universities were invited to participate in the study by attending an introductory workshop, implementing the technique and then journaling their experiences, insights and perspectives over a 12 month period. The journal entries were then thematically analysed. Key themes were categorised under the headings of Preparation, Implementation and Impact; Reflexivity and Responsiveness; Student Engagement and Ownership; and Teaching and Learning. Mask-Ed is a simulation approach which allows students to interact with the 'characters' in humanistic ways that promote person-centred care and therapeutic communication. This simulation approach holds previously untapped potential for a range of learning experiences, however, to be effective, adequate resourcing, training, preparation and practice is required. Copyright © 2014 Elsevier Ltd. All rights reserved.

  19. Comparison of face masks in the bag-mask ventilation of a manikin.

    Science.gov (United States)

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  20. Visual masking & schizophrenia

    Directory of Open Access Journals (Sweden)

    Michael H. Herzog

    2015-06-01

    Full Text Available Visual masking is a frequently used tool in schizophrenia research. Visual masking has a very high sensitivity and specificity and masking paradigms have been proven to be endophenotypes. Whereas masking is a powerful technique to study schizophrenia, the underlying mechanisms are discussed controversially. For example, for more than 25 years, masking deficits of schizophrenia patients were mainly attributed to a deficient magno-cellular system (M-system. Here, we show that there is very little evidence that masking deficits are magno-cellular deficits. We will discuss the magno-cellular and other approaches in detail and highlight their pros and cons.

  1. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    Science.gov (United States)

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  2. Binaural masking level differences in nonsimultanuous masking

    NARCIS (Netherlands)

    Kohlrausch, A.G.; Fassel, R.; Gilkey, R.H.; Anderson, T.R.

    1997-01-01

    This chapter investigates the extent to which binaural unmasking occurs with nonsimultaneous presentation of masker and signal, particularly in forward masking. The majority of previous studies that addressed this question found that there is a substantial binaural masking level difference (BMLD) in

  3. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    Science.gov (United States)

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  4. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  5. HISS spectrometer at LBL

    International Nuclear Information System (INIS)

    Greiner, D.

    1980-11-01

    The Heavy Ion Spectrometer System at LBL is designed to be a general purpose experimental work bench able to support a wide variety of experiments. Our philosophy is to provide instruments capable of investigating, with multi-particle sensitivity, a large portion of phase space. We have not chosen a particular region such as mid-rapidity or projectile frame but, instead, have made sure that the magnet and the instrumentation allow these choices as well as many others. The beam can be brought into the magnet at a variable position and the magnet can be rotated

  6. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  7. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    Science.gov (United States)

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  8. Masking and Partial Masking in Listeners with a High-Frequency Hearing Loss

    NARCIS (Netherlands)

    Smits, J.T.S.; Duifhuis, H.

    1982-01-01

    3 listeners with sensorineural hearing loss ranging from moderate to moderate-severe starting at frequencies higher than 1 kHz participated in two masking experiments and a partial masking experiment. In the first masking experiment, fM = 1 kHz and LM = 50 dB SPL, higher than normal masked

  9. Rotational Spectrum and Internal Rotation Barrier of 1-Chloro-1,1-difluoroethane

    Science.gov (United States)

    Alonso, José L.; López, Juan C.; Blanco, Susana; Guarnieri, Antonio

    1997-03-01

    The rotational spectra of 1-chloro-1,1-difluoroethane (HCFC-142b) has been investigated in the frequency region 8-115 GHz with Stark, waveguide Fourier transform (FTMW), and millimeter-wave spectrometers. Assignments in large frequency regions with the corresponding frequency measurements have been made for the ground andv18= 1 (CH3torsion) vibrational states of the35Cl isotopomer and for the ground state of the37Cl species. Accurate rotational, quartic centrifugal distortion, and quadrupole coupling constants have been determined from global fits considering all these states. SmallA-Einternal rotation splittings have been observed for thev18= 1 vibrational state using FTMW spectroscopy. The barrier height for the internal rotation of the methyl group has been determined to be 3751 (4) cal mol-1, in disagreement with the previous microwave value of 4400 (100) cal mol-1reported by G. Graner and C. Thomas [J. Chem. Phys.49,4160-4167 (1968)].

  10. SU-D-BRA-02: Motion Assessment During Open Face Mask SRS Using CBCT and Surface Monitoring

    International Nuclear Information System (INIS)

    Williams, BB; Fox, CJ; Hartford, AC; Gladstone, DJ

    2016-01-01

    Purpose: To assess the robustness of immobilization using open-face mask technology for linac-based stereotactic radiosurgery (SRS) with multiple non-coplanar arcs via repeated CBCT acquisition, with comparison to contemporaneous optical surface tracking data. Methods: 25 patients were treated in open faced masks with cranial SRS using 3–4 non-coplanar arcs. Repeated CBCT imaging was performed to verify the maintenance of proper patient positioning during treatment. Initial patient positioning was performed based on prescribed shifts and optical surface tracking. Positioning refinements employed rigid 3D-matching of the planning CT and CBCT images and were implemented via automated 6DOF couch control. CBCT imaging was repeated following the treatment of all non-transverse beams with associated couch kicks. Detected patient translations and rotations were recorded and automatically corrected. Optical surface tracking was applied throughout the treatments to monitor motion, and this contemporaneous patient positioning data was recorded to compare against CBCT data and 6DOF couch adjustments. Results: Initial patient positions were refined on average by translations of 3±1mm and rotations of ±0.9-degrees. Optical surface tracking corroborated couch corrections to within 1±1mm and ±0.4-degrees. Following treatment of the transverse and subsequent superior-oblique beam, average translations of 0.6±0.4mm and rotations of ±0.4-degrees were reported via CBCT, with optical surface tracking in agreement to within 1.1±0.6mm and ±0.6-degrees. Following treatment of the third beam, CBCT indicated additional translations of 0.4±0.2mm and rotations of ±0.3-degrees. Cumulative couch corrections resulted in 0.7 ± 0.4mm average magnitude translations and rotations of ±0.4-degrees. Conclusion: Based on CBCT measurements of patients during SRS, the open face mask maintained patient positioning to within 1.5mm and 1-degree with >95% confidence. Patient positioning

  11. SU-D-BRA-02: Motion Assessment During Open Face Mask SRS Using CBCT and Surface Monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Williams, BB; Fox, CJ; Hartford, AC; Gladstone, DJ [Dartmouth-Hitchcock Medical Center, Lebanon, NH (Lebanon)

    2016-06-15

    Purpose: To assess the robustness of immobilization using open-face mask technology for linac-based stereotactic radiosurgery (SRS) with multiple non-coplanar arcs via repeated CBCT acquisition, with comparison to contemporaneous optical surface tracking data. Methods: 25 patients were treated in open faced masks with cranial SRS using 3–4 non-coplanar arcs. Repeated CBCT imaging was performed to verify the maintenance of proper patient positioning during treatment. Initial patient positioning was performed based on prescribed shifts and optical surface tracking. Positioning refinements employed rigid 3D-matching of the planning CT and CBCT images and were implemented via automated 6DOF couch control. CBCT imaging was repeated following the treatment of all non-transverse beams with associated couch kicks. Detected patient translations and rotations were recorded and automatically corrected. Optical surface tracking was applied throughout the treatments to monitor motion, and this contemporaneous patient positioning data was recorded to compare against CBCT data and 6DOF couch adjustments. Results: Initial patient positions were refined on average by translations of 3±1mm and rotations of ±0.9-degrees. Optical surface tracking corroborated couch corrections to within 1±1mm and ±0.4-degrees. Following treatment of the transverse and subsequent superior-oblique beam, average translations of 0.6±0.4mm and rotations of ±0.4-degrees were reported via CBCT, with optical surface tracking in agreement to within 1.1±0.6mm and ±0.6-degrees. Following treatment of the third beam, CBCT indicated additional translations of 0.4±0.2mm and rotations of ±0.3-degrees. Cumulative couch corrections resulted in 0.7 ± 0.4mm average magnitude translations and rotations of ±0.4-degrees. Conclusion: Based on CBCT measurements of patients during SRS, the open face mask maintained patient positioning to within 1.5mm and 1-degree with >95% confidence. Patient positioning

  12. 2013 mask industry survey

    Science.gov (United States)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  13. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  14. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    Science.gov (United States)

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  15. Line Positions of Centrifugal Distorsion Induced Rotational Transitions of Methane Measured up to 2.6 Thz at Sub-Mhz Accuracy with a Cw-Thz Photomixing Spectrometer

    Science.gov (United States)

    Bray, Cédric; Cuisset, Arnaud; Hindle, Francis; Mouret, Gaël; Bocquet, Robin; Boudon, Vincent

    2017-06-01

    Several Doppler limited rotational transitions of methane induced by centrifugal distortion have been measured with an unprecedented frequency accuracy using the THz photomixing synthesizer based on a frequency comb. Compared to previous synchrotron based FT-Far-IR measurements of Boudon et al., the accuracy of the line frequency measurements is improved by one order of magnitude, this yields a corresponding increase of two orders of magnitude to the weighting of these transitions in the global fit. The rotational transitions in the ν_4←ν_4 hot band are measured for the first time by the broad spectral coverage of the photomixing CW-THz spectrometer providing access up to R(5) transitions at 2.6 THz. The new global fit including the present lines has been used to update the methane line list of the HITRAN database. Some small, but significant variations of the parameter values are observed and are accompanied by a reduction of the 1-σ uncertainties on the rotational (B_0) and centrifugal distortion (D_0) constants. V. Boudon, O. Pirali, P. Roy, J.-B. Brubach, L. Manceron, J. Vander Auwera, J. Quant. Spectrosc. Radiat. Transfer, 111, 1117-1129 (2010).

  16. Masking Period Patterns and Forward Masking for Speech-Shaped Noise: Age-Related Effects.

    Science.gov (United States)

    Grose, John H; Menezes, Denise C; Porter, Heather L; Griz, Silvana

    2016-01-01

    The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to nonsimultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Participants included younger (n = 11), middle-age (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions and assessed how well the temporal window fits accounted for these data. The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. This study demonstrated an age-related increase in susceptibility to nonsimultaneous masking, supporting the hypothesis that exacerbated nonsimultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data, suggesting an association between susceptibility to forward masking and speech understanding in modulated noise.

  17. Mask alignment system for semiconductor processing

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Weaver, William T.; Grant, Christopher N.

    2017-02-14

    A mask alignment system for providing precise and repeatable alignment between ion implantation masks and workpieces. The system includes a mask frame having a plurality of ion implantation masks loosely connected thereto. The mask frame is provided with a plurality of frame alignment cavities, and each mask is provided with a plurality of mask alignment cavities. The system further includes a platen for holding workpieces. The platen may be provided with a plurality of mask alignment pins and frame alignment pins configured to engage the mask alignment cavities and frame alignment cavities, respectively. The mask frame can be lowered onto the platen, with the frame alignment cavities moving into registration with the frame alignment pins to provide rough alignment between the masks and workpieces. The mask alignment cavities are then moved into registration with the mask alignment pins, thereby shifting each individual mask into precise alignment with a respective workpiece.

  18. 2012 Mask Industry Survey

    Science.gov (United States)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  19. Development and test of model apparatus of non-contact spin processor for photo mask production applying radial-type superconducting magnetic bearing

    International Nuclear Information System (INIS)

    Saito, Kimiyo; Fukui, Satoshi; Maezawa, Masaru; Ogawa, Jun; Oka, Tetsuo; Sato, Takao

    2013-01-01

    Highlights: ► We develop test spinner for non-contact spinning process in photo mask production. ► This test spinner shows improved spinning ability compared with our previous one. ► Large vertical movement of turn table still occurs during acceleration. ► Method to control vertical movement of turn table should be developed in next step. -- Abstract: In semiconductor devices, miniaturization of circuit patterning on wafers is required for higher integrations of circuit elements. Therefore, very high tolerance and quality are also required for patterning of microstructures of photo masks. The deposition of particulate dusts generated from mechanical bearings of the spin processor in the patterns of the photo mask is one of main causes of the deterioration of pattern preciseness. In our R and D, application of magnetic bearing utilizing bulk high temperature superconductors to the spin processors has been proposed. In this study, we develop a test spinner for the non-contact spinning process in the photo mask production system. The rotation test by using this test spinner shows that this test spinner accomplishes the improvement of the spinning ability compared with the test spinner developed in our previous study. This paper describes the rotation test results of the new test spinner applying the magnetic bearing with bulk high temperature superconductors

  20. Binary Masking & Speech Intelligibility

    DEFF Research Database (Denmark)

    Boldt, Jesper

    The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either experime......The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either...... experiments under ideal conditions or as experiments under more realistic conditions useful for real-life applications such as hearing aids. In the experiments under ideal conditions, the previously defined ideal binary mask is evaluated using hearing impaired listeners, and a novel binary mask -- the target...... binary mask -- is introduced. The target binary mask shows the same substantial increase in intelligibility as the ideal binary mask and is proposed as a new reference for binary masking. In the category of real-life applications, two new methods are proposed: a method for estimation of the ideal binary...

  1. Thin-plate spline analysis of the effects of face mask treatment in children with maxillary retrognathism.

    Science.gov (United States)

    Chang, Jenny Zwei-Chieng; Liu, Pao-Hsin; Chen, Yi-Jane; Yao, Jane Chung-Chen; Chang, Hong-Po; Chang, Chih-Han; Chang, Frank Hsin-Fu

    2006-02-01

    Face mask therapy is indicated for growing patients who suffer from maxillary retrognathia. Most previous studies used conventional cephalometric analysis to evaluate the effects of face mask treatment. Cephalometric analysis has been shown to be insufficient for complex craniofacial configurations. The purpose of this study was to investigate changes in the craniofacial structure of children with maxillary retrognathism following face mask treatment by means of thin-plate spline analysis. Thirty children with skeletal Class III malocclusions who had been treated with face masks were compared with a group of 30 untreated gender-matched, age-matched, observation period-matched, and craniofacial configuration-matched subjects. Average geometries, scaled to an equivalent size, were generated by means of Procrustes analysis. Thin-plate spline analysis was then performed for localization of the shape changes. Face mask treatment induced a forward displacement of the maxilla, a counterclockwise rotation of the palatal plane, a horizontal compression of the anterior border of the symphysis and the condylar region, and a downward deformation of the menton. The cranial base exhibited a counterclockwise deformation as a whole. We conclude that thin-plate spline analysis is a valuable supplement to conventional cephalometric analysis.

  2. Traditional Chinese Masks Reveal Customs

    Institute of Scientific and Technical Information of China (English)

    1996-01-01

    CHINESE masks are undoubtedly an important component in the worldwide mask culture. Minority nationality masks are a major component of China’s mask culture. Traditional Chinese masks, or nuo, represent a cultural component which originated from religious rites in prehistoric times. Various types of nuo are highly valuable for studies of Chinese customs.

  3. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  4. Gas analysis by computer-controlled microwave rotational spectrometry

    International Nuclear Information System (INIS)

    Hrubesh, L.W.

    1978-01-01

    Microwave rotational spectrometry has inherently high resolution and is thus nearly ideal for qualitative gas mixture analysis. Quantitative gas analysis is also possible by a simplified method which utilizes the ease with which molecular rotational transitions can be saturated at low microwave power densities. This article describes a computer-controlled microwave spectrometer which is used to demonstrate for the first time a totally automated analysis of a complex gas mixture. Examples are shown for a complete qualitative and quantitative analysis, in which a search of over 100 different compounds is made in less than 7 min, with sensitivity for most compounds in the 10 to 100 ppm range. This technique is expected to find increased use in view of the reduced complexity and increased reliabiity of microwave spectrometers and because of new energy-related applications for analysis of mixtures of small molecules

  5. Modulation cues influence binaural masking-level difference in masking-pattern experiments.

    Science.gov (United States)

    Nitschmann, Marc; Verhey, Jesko L

    2012-03-01

    Binaural masking patterns show a steep decrease in the binaural masking-level difference (BMLD) when masker and signal have no frequency component in common. Experimental threshold data are presented together with model simulations for a diotic masker centered at 250 or 500 Hz and a bandwidth of 10 or 100 Hz masking a sinusoid interaurally in phase (S(0)) or in antiphase (S(π)). Simulations with a binaural model, including a modulation filterbank for the monaural analysis, indicate that a large portion of the decrease in the BMLD in remote-masking conditions may be due to an additional modulation cue available for monaural detection. © 2012 Acoustical Society of America

  6. Effects of fixture rotation on coating uniformity for high-performance optical filter fabrication

    Science.gov (United States)

    Rubin, Binyamin; George, Jason; Singhal, Riju

    2018-04-01

    Coating uniformity is critical in fabricating high-performance optical filters by various vacuum deposition methods. Simple and planetary rotation systems with shadow masks are used to achieve the required uniformity [J. B. Oliver and D. Talbot, Appl. Optics 45, 13, 3097 (2006); O. Lyngnes, K. Kraus, A. Ode and T. Erguder, in `Method for Designing Coating Thickness Uniformity Shadow Masks for Deposition Systems with a Planetary Fixture', 2014 Technical Conference Proceedings, Optical Coatings, August 13, 2014, DOI: 10.14332/svc14.proc.1817.]. In this work, we discuss the effect of rotation pattern and speed on thickness uniformity in an ion beam sputter deposition system. Numerical modeling is used to determine statistical distribution of random thickness errors in coating layers. The relationship between thickness tolerance and production yield are simulated theoretically and demonstrated experimentally. Production yields for different optical filters produced in an ion beam deposition system with planetary rotation are presented. Single-wavelength and broadband optical monitoring systems were used for endpoint monitoring during filter deposition. Limitations of thickness tolerances that can be achieved in systems with planetary rotation are shown. Paths for improving production yield in an ion beam deposition system are described.

  7. Unmasking Zorro: functional importance of the facial mask in the Masked Shrike (Lanius nubicus)

    OpenAIRE

    Reuven Yosef; Piotr Zduniak; Piotr Tryjanowski

    2012-01-01

    The facial mask is a prominent feature in the animal kingdom. We hypothesized that the facial mask of shrikes allows them to hunt into the sun, which accords them detection and surprise-attack capabilities. We conducted a field experiment to determine whether the mask facilitated foraging while facing into the sun. Male shrikes with white-painted masks hunted facing away from the sun more than birds with black-painted masks, which are the natural color, and more than individuals in the contro...

  8. Spectral lines of methane measured up to 2.6 THz at sub-MHz accuracy with a CW-THz photomixing spectrometer: Line positions of rotational transitions induced by centrifugal distortion

    Science.gov (United States)

    Bray, C.; Cuisset, A.; Hindle, F.; Mouret, G.; Bocquet, R.; Boudon, V.

    2017-12-01

    Several Doppler-limited rotational transitions of methane induced by centrifugal distortion have been measured with an unprecedented frequency accuracy using a THz photomixing synthesizer based on a frequency comb. Compared to previous synchrotron based FT-Far-IR measurements of Boudon et al. (Ref. [1]), the accuracy of the line frequency measurements is improved by one order of magnitude; this yields a corresponding increase of two orders of magnitude to the weighting of these transitions in the global fit. The rotational transitions in the ν4 ←ν4 hot band are measured for the first time by the broad spectral coverage of the photomixing CW-THz spectrometer providing access up to R(5) transitions at 2.6 THz. The new global fit including the present lines has been used to update the methane line list of the HITRAN database. Some small, but significant variations of the parameter values are observed and are accompanied by a reduction of the 1-σ uncertainties on the rotational (B0) and centrifugal distortion (D0) constants.

  9. Cryogenic system for a superconducting spectrometer

    International Nuclear Information System (INIS)

    Porter, J.

    1983-03-01

    The Heavy Ion Spectrometer System (HISS) relies upon superconducting coils of cryostable, pool boiling design to provide a maximum particle bending field of 3 tesla. This paper describes the cryogenic facility including helium refrigeration, gas management, liquid nitrogen system, and the overall control strategy. The system normally operates with a 4 K heat load of 150 watts; the LN 2 circuits absorb an additional 4000 watts. 80K intercept control is by an LSI 11 computer. Total available refrigeration at 4K is 400 watts using reciprocating expanders at the 20K and 4K level. The minicomputer has the capability of optimizing overall utility input cost by varying operating points. A hybrid of pneumatic, analog, and digital control is successful in providing full time unattended operation. The 7m diameter magnet/cryostat assembly is rotatable through 180 degrees to provide a variety of spectrometer orientations

  10. Set Size and Mask Duration Do Not Interact in Object-Substitution Masking

    Science.gov (United States)

    Argyropoulos, Ioannis; Gellatly, Angus; Pilling, Michael; Carter, Wakefield

    2013-01-01

    Object-substitution masking (OSM) occurs when a mask, such as four dots that surround a brief target item, onsets simultaneously with the target and offsets a short time after the target, rather than simultaneously with it. OSM is a reduction in accuracy of reporting the target with the temporally trailing mask, compared with the simultaneously…

  11. A hard x-ray spectrometer for high angular resolution observations of cosmic sources

    International Nuclear Information System (INIS)

    Hailey, C.J.; Ziock, K.P.; Harrison, F.; Kahn, S.M.; Liedahl, D.; Lubin, P.M.; Seiffert, M.

    1988-01-01

    LAXRIS (large area x-ray imaging spectrometer) is an experimental, balloon-borne, hard x-ray telescope that consists of a coaligned array of x-ray imaging spectrometer modules capable of obtaining high angular resolution (1--3 arcminutes) with moderate energy resolution in the 20- to 300-keV region. Each spectrometer module consists of a CsI(Na) crystal coupled to a position-sensitive phototube with a crossed-wire, resistive readout. Imaging is provided by a coded aperture mask with a 4-m focal length. The high angular resolution is coupled with rather large area (/approximately/800 cm 2 ) to provide good sensitivity. Results are presented on performance and overall design. Sensitivity estimates are derived from a Monte-Carlo code developed to model the LAXRIS response to background encountered at balloon altitudes. We discuss a variety of observations made feasible by high angular resolution. For instance, spatially resolving the nonthermal x-ray emission from clusters of galaxies is suggested as an ideal program for LAXRIS. 15 refs., 5 figs

  12. Mask strategy at International SEMATECH

    Science.gov (United States)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  13. Toroidal rotation studies in KSTAR

    Science.gov (United States)

    Lee, S. G.; Lee, H. H.; Yoo, J. W.; Kim, Y. S.; Ko, W. H.; Terzolo, L.; Bitter, M.; Hill, K.; KSTAR Team

    2014-10-01

    Investigation of the toroidal rotation is one of the most important topics for the magnetically confined fusion plasma researches since it is essential for the stabilization of resistive wall modes and its shear plays an important role to improve plasma confinement by suppressing turbulent transport. The most advantage of KSTAR tokamak for toroidal rotation studies is that it equips two main diagnostics including the high-resolution X-ray imaging crystal spectrometer (XICS) and charge exchange spectroscopy (CES). Simultaneous core toroidal rotation and ion temperature measurements of different impurity species from the XICS and CES have shown in reasonable agreement with various plasma discharges in KSTAR. It has been observed that the toroidal rotation in KSTAR is faster than that of other tokamak devices with similar machine size and momentum input. This may due to an intrinsically low toroidal field ripple and error field of the KSTAR device. A strong braking of the toroidal rotation by the n = 1 non-resonant magnetic perturbations (NRMPs) also indicates these low toroidal field ripple and error field. Recently, it has been found that n = 2 NRMPs can also damp the toroidal rotation in KSTAR. The detail toroidal rotation studies will be presented. Work supported by the Korea Ministry of Science, ICT and Future Planning under the KSTAR project.

  14. Imaging of gamma rays with the WINKLER high-resolution germanium spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Fisher, T.R.; Hamilton, T.W.; Hawley, J.D.; Kilner, J.R.; Murphy, M.J.; Nakano, G.H. (Luckheed Palo Alto Research Lab., Palo Alto, CA (US))

    1990-06-01

    The WINKLER spectrometer is a matrix of nine high-purity {ital n}-type germanium detectors developed for astrophysical observations and terrestrial radiation monitoring. The spectrometer has been fitted with a set of modulation collimator grids designed for imaging hard x-ray and gamma-ray sources by the Mertz, Nakano, and Kilner method. This technique employs a pair of gridded collimators in front of each detector with the number of grid bars varying from one to {ital N}, where {ital N} is the number of detectors. When the collimator pairs are rotated through a full 360-degree angular range, the detector signals provide the information for a two-dimensional band-limited Fourier reconstruction of order {ital N}. Tests of the spectrometer with single and multiple point sources as well as continuous source distributions are reported.

  15. Masks in Pedagogical Practice

    Science.gov (United States)

    Roy, David

    2016-01-01

    In Drama Education mask work is undertaken and presented as both a methodology and knowledge base. There are numerous workshops and journal articles available for teachers that offer knowledge or implementation of mask work. However, empirical examination of the context or potential implementation of masks as a pedagogical tool remains…

  16. Keeping African Masks Real

    Science.gov (United States)

    Waddington, Susan

    2012-01-01

    Art is a good place to learn about our multicultural planet, and African masks are prized throughout the world as powerfully expressive artistic images. Unfortunately, multicultural education, especially for young children, can perpetuate stereotypes. Masks taken out of context lose their meaning and the term "African masks" suggests that there is…

  17. The Ultraviolet Spectrometer and Polarimeter on the Solar Maximum Mission

    Science.gov (United States)

    Woodgate, B. E.; Brandt, J. C.; Kalet, M. W.; Kenny, P. J.; Tandberg-Hanssen, E. A.; Bruner, E. C.; Beckers, J. M.; Henze, W.; Knox, E. D.; Hyder, C. L.

    1980-01-01

    The Ultraviolet Spectrometer and Polarimeter (UVSP) on the Solar Maximum Mission spacecraft is described, including the experiment objectives, system design, performance, and modes of operation. The instrument operates in the wavelength range 1150-3600 A with better than 2 arcsec spatial resolution, raster range 256 x 256 sq arcsec, and 20 mA spectral resolution in second order. Observations can be made with specific sets of four lines simultaneously, or with both sides of two lines simultaneously for velocity and polarization. A rotatable retarder can be inserted into the spectrometer beam for measurement of Zeeman splitting and linear polarization in the transition region and chromosphere.

  18. The ultraviolet spectrometer and polarimeter on the solar maximum mission

    International Nuclear Information System (INIS)

    Woodgate, B.E.; Brandt, J.C.; Kalet, M.W.; Kenny, P.J.; Beckers, J.M.; Henze, W.; Hyder, C.L.; Knox, E.D.

    1980-01-01

    The Ultraviolet Spectrometer and Polarimeter (UVSP) on the Solar Maximum Mission spacecraft is described, including the experiment objectives, system design. performance, and modes of operation. The instrument operates in the wavelength range 1150-3600 Angstreom with better than 2 arc sec spatial resolution, raster range 256 x 256 arc sec 2 , and 20 m Angstroem spectral resolution in second order. Observations can be made with specific sets of 4 lines simultaneously, or with both sides of 2 lines simultaneously for velocity and polarization. A rotatable retarder can be inserted into the spectrometer beam for measurement of Zeeman splitting and linear polarization in the transition region and chromosphere. (orig.)

  19. Masks: The Artist in Me

    Science.gov (United States)

    Skophammer, Karen

    2009-01-01

    Whether masks are made from cardboard, papier-mache, metal, wood, leather, fabric, clay or any combination of these materials, they bring out the artist in people. Young children like to wear masks when they play to pretend they were another person or animal. Masks let them fantasize and be creative. The author's students made masks representing…

  20. Wavelength calibration of x-ray imaging crystal spectrometer on Joint Texas Experimental Tokamak

    International Nuclear Information System (INIS)

    Yan, W.; Chen, Z. Y.; Jin, W.; Huang, D. W.; Ding, Y. H.; Li, J. C.; Zhang, X. Q.; Zhuang, G.; Lee, S. G.; Shi, Y. J.

    2014-01-01

    The wavelength calibration of x-ray imaging crystal spectrometer is a key issue for the measurements of plasma rotation. For the lack of available standard radiation source near 3.95 Å and there is no other diagnostics to measure the core rotation for inter-calibration, an indirect method by using tokamak plasma itself has been applied on joint Texas experimental tokamak. It is found that the core toroidal rotation velocity is not zero during locked mode phase. This is consistent with the observation of small oscillations on soft x-ray signals and electron cyclotron emission during locked-mode phase

  1. Solar maximum ultraviolet spectrometer and polarimeter

    Science.gov (United States)

    Tandberg-Hanssen, E.; Woodgate, B. E.; Brandt, J. C.; Chapman, R. D.; Hyder, C. L.; Michalitsianos, A. G.; Shine, R. A.; Athay, R. G.; Beckers, J. M.; Bruner, E. C.

    1979-01-01

    The objectives of the UVSP experiment are to study solar ultraviolet radiations, particularly from flares and active regions, and to measure constituents in the terrestrial atmosphere by the extinction of sunlight at satellite dawn and dusk. The instrument is designed to observe the Sun at a variety of spectral and spatial resolutions in the range from 1150 to 3600 A. A Gregorian telescope with effective focal length of 1.8 m is used to feed a 1 m Ebert-Fastie spectrometer. A polarimeter containing rotatable magnesium fluoride waveplates is included behind the spectrometer entrance slit and will allow all four Stokes parameters to be determined. Velocities on the Sun can also be measured. The instrument is controlled by a computer which can interact with the data stream to modify the observing program. The observing modes, including rasters, spectral scans, velocity measurements, and polarimetry, are also described along with plans for mission operations, data handling, and analysis of the observations.

  2. Supreme Laryngeal Mask Airway versus Face Mask during Neonatal Resuscitation: A Randomized Controlled Trial.

    Science.gov (United States)

    Trevisanuto, Daniele; Cavallin, Francesco; Nguyen, Loi Ngoc; Nguyen, Tien Viet; Tran, Linh Dieu; Tran, Chien Dinh; Doglioni, Nicoletta; Micaglio, Massimo; Moccia, Luciano

    2015-08-01

    To assess the effectiveness of supreme laryngeal mask airway (SLMA) over face mask ventilation for preventing need for endotracheal intubation at birth. We report a prospective, randomized, parallel 1:1, unblinded, controlled trial. After a short-term educational intervention on SLMA use, infants ≥34-week gestation and/or expected birth weight ≥1500 g requiring positive pressure ventilation (PPV) at birth were randomized to resuscitation by SLMA or face mask. The primary outcome was the success rate of the resuscitation devices (SLMA or face mask) defined as the achievement of an effective PPV preventing the need for endotracheal intubation. We enrolled 142 patients (71 in SLMA and 71 in face mask group, respectively). Successful resuscitation rate was significantly higher with the SLMA compared with face mask ventilation (91.5% vs 78.9%; P = .03). Apgar score at 5 minutes was significantly higher in SLMA than in face mask group (P = .02). Neonatal intensive care unit admission rate was significantly lower in SLMA than in face mask group (P = .02). No complications related to the procedure occurred. In newborns with gestational age ≥34 weeks and/or expected birth weight ≥1500 g needing PPV at birth, the SLMA is more effective than face mask to prevent endotracheal intubation. The SLMA is effective in clinical practice after a short-term educational intervention. Registered with ClinicalTrials.gov: NCT01963936. Copyright © 2015 Elsevier Inc. All rights reserved.

  3. REgolith X-Ray Imaging Spectrometer (REXIS) Aboard NASA’s OSIRIS-REx Mission

    Science.gov (United States)

    Hong, JaeSub; Allen, Branden; Grindlay, Jonathan E.; Binzel, Richard P.; Masterson, Rebecca; Inamdar, Niraj K; Chodas, Mark; Smith, Matthew W; Bautz, Mark W.; Kissel, Steven E; Villasenor, Jesus Noel; Oprescu, Antonia

    2014-06-01

    The REgolith X-Ray Imaging Spectrometer (REXIS) is a student-led instrument being designed, built, and operated as a collaborative effort involving MIT and Harvard. It is a part of NASA's OSIRIS-REx mission, which is scheduled for launch in September of 2016 for a rendezvous with, and collection of a sample from the surface of the primitive carbonaceous chondrite-like asteroid 101955 Bennu in 2019. REXIS will determine spatial variations in elemental composition of Bennu's surface through solar-induced X-ray fluorescence. REXIS consists of four X-ray CCDs in the detector plane and an X-ray mask. It is the first coded-aperture X-ray telescope in a planetary mission, which combines the benefit of high X-ray throughput of wide-field collimation with imaging capability of a coded-mask, enabling detection of elemental surface distributions at approximately 50-200 m scales. We present an overview of the REXIS instrument and the expected performance.

  4. Effect of mask dead space and occlusion of mask holes on delivery of nebulized albuterol.

    Science.gov (United States)

    Berlinski, Ariel

    2014-08-01

    Infants and children with respiratory conditions are often prescribed bronchodilators. Face masks are used to facilitate the administration of nebulized therapy in patients unable to use a mouthpiece. Masks incorporate holes into their design, and their occlusion during aerosol delivery has been a common practice. Masks are available in different sizes and different dead volumes. The aim of this study was to compare the effect of different degrees of occlusion of the mask holes and different mask dead space on the amount of nebulized albuterol available at the mouth opening in a model of a spontaneously breathing child. A breathing simulator mimicking infant (tidal volume [VT] = 50 mL, breathing frequency = 30 breaths/min, inspiratory-expiratory ratio [I:E] = 1:3), child (VT = 155 mL, breathing frequency = 25 breaths/min, I:E = 1:2), and adult (VT = 500 mL, breathing frequency = 15 breaths/min, I:E = 1:2) breathing patterns was connected to a collection filter hidden behind a face plate. A pediatric size mask and an adult size mask connected to a continuous output jet nebulizer were sealed to the face plate. Three nebulizers were loaded with albuterol sulfate (2.5 mg/3 mL) and operated with 6 L/min compressed air for 5 min. Experiments were repeated with different degrees of occlusion (0%, 50%, and 90%). Albuterol was extracted from the filter and measured with a spectrophotometer at 276 nm. Occlusion of the holes in the large mask did not increase the amount of albuterol in any of the breathing patterns. The amount of albuterol captured at the mouth opening did not change when the small mask was switched to the large mask, except with the breathing pattern of a child, and when the holes in the mask were 50% occluded (P = .02). Neither decreasing the dead space of the mask nor occluding the mask holes increased the amount of nebulized albuterol captured at the mouth opening.

  5. Gestalt grouping and common onset masking.

    Science.gov (United States)

    Kahan, Todd A; Mathis, Katherine M

    2002-11-01

    A four-dot mask that surrounds and is presented simultaneously with a briefly presented target will reduce a person's ability to identity that target if the mask persists beyond target offset and attention is divided (Enns & Di Lollo, 1997, 2000). This masking effect, referred to as common onset masking, reflects reentrant processing in the visual system and can best be explained with a theory of object substitution (Di Lollo, Enns, & Rensink, 2000). In the present experiments, we investigated whether Gestalt grouping variables would influence the strength of common onset masking. The results indicated that (1) masking was impervious to grouping by form, similarity of color, position, luminance polarity, and common region and (2) masking increased with the number of elements in the masking display.

  6. Mechanical alignment of substrates to a mask

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Honan, Michael; Amato, Luigi G.; Grant, Christopher Neil; Strassner, James D.

    2016-11-08

    A plurality of masks is attached to the underside of a mask frame. This attachment is made such that each mask can independently move relative to the mask frame in three directions. This relative movement allows each mask to adjust its position to align with respective alignment pins disposed on a working surface. In one embodiment, each mask is attached to the mask frame using fasteners, where the fasteners have a shaft with a diameter smaller than the diameter of the mounting hole disposed on the mask. A bias element may be used to allow relative movement between the mask and the mask frame in the vertical direction. Each mask may also have kinematic features to mate with the respective alignment pins on the working surface.

  7. Comparison of Ventilation With One-Handed Mask Seal With an Intraoral Mask Versus Conventional Cuffed Face Mask in a Cadaver Model: A Randomized Crossover Trial.

    Science.gov (United States)

    Amack, Andrew J; Barber, Gary A; Ng, Patrick C; Smith, Thomas B; April, Michael D

    2017-01-01

    We compare received minute volume with an intraoral mask versus conventional cuffed face mask among medics obtaining a 1-handed mask seal on a cadaver model. This study comprised a randomized crossover trial of adult US Army combat medic volunteers participating in a cadaver laboratory as part of their training. We randomized participants to obtain a 1-handed mask seal during ventilation of a fresh unembalmed cadaver, first using either an intraoral airway device or conventional cuffed face mask. Participants obtained a 1-handed mask seal while a ventilator delivered 10 standardized 750-mL breaths during 1 minute. After a 5-minute rest period, they repeated the study with the alternative mask. The primary outcome measure was received minute volume as measured by a respirometer. Of 27 recruited participants, all completed the study. Median received minute volume was higher with the intraoral mask compared with conventional cuffed mask by 1.7 L (95% confidence interval 1.0 to 1.9 L; Pcadaver model. The intraoral mask may prove a useful airway adjunct for ventilation. Copyright © 2016 American College of Emergency Physicians. Published by Elsevier Inc. All rights reserved.

  8. The XRF spectrometer and the selection of analysis conditions (instrumental variables)

    International Nuclear Information System (INIS)

    Willis, J.P.

    2002-01-01

    Full text: This presentation will begin with a brief discussion of EDXRF and flat- and curved-crystal WDXRF spectrometers, contrasting the major differences between the three types. The remainder of the presentation will contain a detailed overview of the choice and settings of the many instrumental variables contained in a modern WDXRF spectrometer, and will discuss critically the choices facing the analyst in setting up a WDXRF spectrometer for different elements and applications. In particular it will discuss the choice of tube target (when a choice is possible), the kV and mA settings, tube filters, collimator masks, collimators, analyzing crystals, secondary collimators, detectors, pulse height selection, X-ray path medium (air, nitrogen, vacuum or helium), counting times for peak and background positions and their effect on counting statistics and lower limit of detection (LLD). The use of Figure of Merit (FOM) calculations to objectively choose the best combination of instrumental variables also will be discussed. This presentation will be followed by a shorter session on a subsequent day entitled - A Selection of XRF Conditions - Practical Session, where participants will be given the opportunity to discuss in groups the selection of the best instrumental variables for three very diverse applications. Copyright (2002) Australian X-ray Analytical Association Inc

  9. Mask quality assessment

    Science.gov (United States)

    Regis, Larry; Paulson, Neil; Reynolds, James A.

    1994-02-01

    Product quality and timely delivery are two of the most important parameters, determining the success of a mask manufacturing facility. Because of the sensitivity of this data, however, very little is known about industry performance in these areas. Using Arthur Andersen & Co. to protect contributor identity, the authors have conducted a blind quality survey of mask shops which represents over 75% of the total merchant and captive mask volume in the US. Quantities such as return rate, plate survival yield, performance to schedule and reason for return were requested from 1988 through Q2 1993. Data is analyzed and conclusions are presented.

  10. Binary phase masks on self-developing photopolymers: the technique for formation and testing in an optical correlator

    International Nuclear Information System (INIS)

    Yezhov, P V; Il'in, O A; Smirnova, T N; Tikhonov, E A

    2003-01-01

    Binary phase masks (PMs) of size 256x256 cells with a random distribution of elements, formed on the self-developing FPK-488 photopolymer, are studied. The masks were prepared by the projection method using amplitude transparencies. The phase shift between the mask elements corresponding to the regions of the amplitude transparency with the optical density D = 0 and 2 was (0.85±0.05)π at the wavelength of 0.633 μm. Holographic matched filters were recorded for PMs obtained. The diffraction efficiency of holographic matched PM filters was 40 %. The signal-to-noise ratio for recognition signals for PMs in the Vander Lugt correlator was 20 dB. The normalised power density of the recognition signal is studied as a function of the rotation angle of a PM in the input plane of the Vander Lugt correlator. (laser applications and other topics in quantum electronics)

  11. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  12. SU-E-T-65: A Prospective Trial of Open Face Masks for Head and Neck Radiotherapy

    International Nuclear Information System (INIS)

    Wiant, D; Squire, S; Maurer, J; Liu, H; Hayes, L; Sintay, B

    2015-01-01

    Purpose: Open face head and neck masks allow for active patient monitoring during treatment and may reduced claustrophobia and anxiety compared to closed masks. The ability of open masks to limit intrafraction motion and to preserve the patient shape/position from simulation over protracted treatments should be considered. Methods: Thirty-two head and neck patients were prospectively randomized to treatment in a closed mask or a novel open face mask. All patients received daily volumetric imaging. The daily images were automatically rigidly registered to the planning CT’s offline using a commercial image processing tool. The shifts needed to optimize the registration, the mutual information coefficient (MI), and the Pearson correlation (PC) coefficients were recorded to evaluate shape preservation. The open group was set-up and monitored with surface imaging at treatment. The real time surface imaging information was recorded to evaluate intrafraction motion. Results: Sixteen patients were included in each group. Evaluations were made over a total of 984 fractions. The mean MI and PC showed significantly higher shape preservation for the open group than for the closed group (p = 0). The mean rotations for the open group were smaller or < 0.15° larger versus the closed group. The mean intrafraction motion for the open group was 0.93 +/−0.99 mm (2 SD). The maximum single fraction displacement was 3.2 mm. Fourteen of 16 patients showed no significant correlation of motion with fraction number (p > 0.05). Conclusion: The open masks preserved shape as well as the closed masks, and they limited motion to < 2 mm for 95% of the treated fractions. These results are consistent over treatment courses of up to 35 fractions. The open mask is suitable for treatment with or without active monitoring. This work was partially supported by Qfix

  13. SU-E-T-65: A Prospective Trial of Open Face Masks for Head and Neck Radiotherapy

    Energy Technology Data Exchange (ETDEWEB)

    Wiant, D; Squire, S; Maurer, J; Liu, H; Hayes, L; Sintay, B [Cone Health Cancer Center, Greensboro, NC (United States)

    2015-06-15

    Purpose: Open face head and neck masks allow for active patient monitoring during treatment and may reduced claustrophobia and anxiety compared to closed masks. The ability of open masks to limit intrafraction motion and to preserve the patient shape/position from simulation over protracted treatments should be considered. Methods: Thirty-two head and neck patients were prospectively randomized to treatment in a closed mask or a novel open face mask. All patients received daily volumetric imaging. The daily images were automatically rigidly registered to the planning CT’s offline using a commercial image processing tool. The shifts needed to optimize the registration, the mutual information coefficient (MI), and the Pearson correlation (PC) coefficients were recorded to evaluate shape preservation. The open group was set-up and monitored with surface imaging at treatment. The real time surface imaging information was recorded to evaluate intrafraction motion. Results: Sixteen patients were included in each group. Evaluations were made over a total of 984 fractions. The mean MI and PC showed significantly higher shape preservation for the open group than for the closed group (p = 0). The mean rotations for the open group were smaller or < 0.15° larger versus the closed group. The mean intrafraction motion for the open group was 0.93 +/−0.99 mm (2 SD). The maximum single fraction displacement was 3.2 mm. Fourteen of 16 patients showed no significant correlation of motion with fraction number (p > 0.05). Conclusion: The open masks preserved shape as well as the closed masks, and they limited motion to < 2 mm for 95% of the treated fractions. These results are consistent over treatment courses of up to 35 fractions. The open mask is suitable for treatment with or without active monitoring. This work was partially supported by Qfix.

  14. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  15. SUBMILLIMETER-WAVE ROTATIONAL SPECTROSCOPY OF H2F+

    International Nuclear Information System (INIS)

    Fujimori, R.; Kawaguchi, K.; Amano, T.

    2011-01-01

    Five pure rotational transitions of H 2 F + generated by a discharge in an HF/H 2 /Ar mixture were observed in the range 473-774 GHz with a backward-wave oscillator based submillimeter-wave spectrometer. A simultaneous analysis of the rotational lines with 120 combination differences for the ground state derived from the infrared spectra was carried out to determine the precise molecular constants for the ground state. The rotational transition frequencies that lie below 2 THz were calculated, together with their estimated uncertainties, to facilitate future astronomical identifications. The chemistry for H 2 F + formation in interstellar space is discussed in comparison with a case for recently detected H 2 Cl + .

  16. SEMATECH EUVL mask program status

    Science.gov (United States)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  17. Mask-based dual-axes tomoholography using soft x-rays

    International Nuclear Information System (INIS)

    Guehrs, Erik; Frömmel, Stefanie; Günther, Christian M; Hessing, Piet; Schneider, Michael; Shemilt, Laura; Eisebitt, Stefan; Fohler, Manuel

    2015-01-01

    We explore tomographic mask-based Fourier transform x-ray holography with respect to the use of a thin slit as a reference wave source. This imaging technique exclusively uses the interference between the waves scattered by the object and the slit simplifying the experimental realization and ensuring high data quality. Furthermore, we introduce a second reference slit to rotate the sample around a second axis and to record a dual-axes tomogram. Compared to a single-axis tomogram, the reconstruction artifacts are decreased in accordance with the reduced missing data wedge. Two demonstration experiments are performed where test structures are imaged with a lateral resolution below 100 nm. (paper)

  18. Mask_explorer: A tool for exploring brain masks in fMRI group analysis.

    Science.gov (United States)

    Gajdoš, Martin; Mikl, Michal; Mareček, Radek

    2016-10-01

    Functional magnetic resonance imaging (fMRI) studies of the human brain are appearing in increasing numbers, providing interesting information about this complex system. Unique information about healthy and diseased brains is inferred using many types of experiments and analyses. In order to obtain reliable information, it is necessary to conduct consistent experiments with large samples of subjects and to involve statistical methods to confirm or reject any tested hypotheses. Group analysis is performed for all voxels within a group mask, i.e. a common space where all of the involved subjects contribute information. To our knowledge, a user-friendly interface with the ability to visualize subject-specific details in a common analysis space did not yet exist. The purpose of our work is to develop and present such interface. Several pitfalls have to be avoided while preparing fMRI data for group analysis. One such pitfall is spurious non-detection, caused by inferring conclusions in the volume of a group mask that has been corrupted due to a preprocessing failure. We describe a MATLAB toolbox, called the mask_explorer, designed for prevention of this pitfall. The mask_explorer uses a graphical user interface, enables a user-friendly exploration of subject masks and is freely available. It is able to compute subject masks from raw data and create lists of subjects with potentially problematic data. It runs under MATLAB with the widely used SPM toolbox. Moreover, we present several practical examples where the mask_explorer is usefully applied. The mask_explorer is designed to quickly control the quality of the group fMRI analysis volume and to identify specific failures related to preprocessing steps and acquisition. It helps researchers detect subjects with potentially problematic data and consequently enables inspection of the data. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  19. Simultaneous pure-tone masking : the dependence of masking asymmetries on intensity

    NARCIS (Netherlands)

    Vogten, L.L.M.

    1978-01-01

    Phase locking between probe and masker was used in a series of pure-tone masking experiments. The masker was a stationary sine wave of variable frequency; the probe a fixed-frequency tone burst. We have observed that for small frequency separation the masking behaves asymmetrically around the probe

  20. Design of Data Masking Architecture and Analysis of Data Masking Techniques for Testing

    OpenAIRE

    Ravikumar G K,; Manjunath T. N,; Ravindra S. Hegadi,; Archana.R.A

    2011-01-01

    Data masking is the process of obscuring-masking, specific data elements within data stores. It ensures that sensitive data is replaced with realistic but not real data. The goal is that sensitive customer information is not available outside of the authorized environment. Data masking is typically done while provisioning nonproduction environments so that copies created to support test and development processes are not exposing sensitive information and thus avoiding risks of leaking. Maskin...

  1. Mask ventilation with two different face masks in the delivery room for preterm infants: a randomized controlled trial.

    Science.gov (United States)

    Cheung, D; Mian, Q; Cheung, P-Y; O'Reilly, M; Aziz, K; van Os, S; Pichler, G; Schmölzer, G M

    2015-07-01

    If an infant fails to initiate spontaneous breathing after birth, international guidelines recommend a positive pressure ventilation (PPV). However, PPV by face mask is frequently inadequate because of leak between the face and mask. Despite a variety of available face masks, none have been prospectively compared in a randomized fashion. We aimed to evaluate and compare leak between two commercially available round face masks (Fisher & Paykel (F&P) and Laerdal) in preterm infants mask PPV in the delivery room routinely had a flow sensor placed between the mask and T-piece resuscitator. Infants were randomly assigned to receive PPV with either a F&P or Laerdal face mask. All resuscitators were trained in the use of both face masks. We compared mask leak, airway pressures, tidal volume and ventilation rate between the two groups. Fifty-six preterm infants (n=28 in each group) were enrolled; mean±s.d. gestational age 28±3 weeks; birth weight 1210±448 g; and 30 (52%) were male. Apgar scores at 1 and 5 min were 5±3 and 7±2, respectively. Infants randomized to the F&P face mask and Laerdal face mask had similar mask leak (30 (25-38) versus 35 (24-46)%, median (interquartile range), respectively, P=0.40) and tidal volume (7.1 (4.9-8.9) versus 6.6 (5.2-8.9) ml kg(-1), P=0.69) during PPV. There were no significant differences in ventilation rate, inflation time or airway pressures between groups. The use of either face mask during PPV in the delivery room yields similar mask leak in preterm infants <33 weeks gestational age.

  2. Self-Rescue Mask Training

    CERN Multimedia

    2013-01-01

    Nine new self-rescue mask instructors have been trained since early 2013, which provides CERN with a total of 26 self-rescue mask instructors to date. This will allow us to meet the increasing training needs caused by the Long Shut Down LS1.   The self-rescue mask instructors have trained 1650 persons in 2012 and about 500 persons since the beginning of the year on how to wear the masks properly. We thank all the instructors and all the persons that made this training possible. Please remember that the self-rescue masks training sessions are scheduled as follows: Basic course: Tuesday and Thursday mornings (2 sessions – 8.30 AM and 10.30 AM), duration:  1.30 hour, in French and English – registration via CERN online training catalogue – Course code 077Y00. Refresher training : Monday mornings (2 sessions – 8.30 AM and 10.30 AM), duration: 1.30 hour , in French and English – registration via CERN online training catalogue &...

  3. How do different brands of size 1 laryngeal mask airway compare with face mask ventilation in a dedicated laryngeal mask airway teaching manikin?

    Science.gov (United States)

    Tracy, Mark Brian; Priyadarshi, Archana; Goel, Dimple; Lowe, Krista; Huvanandana, Jacqueline; Hinder, Murray

    2018-05-01

    International neonatal resuscitation guidelines recommend the use of laryngeal mask airway (LMA) with newborn infants (≥34 weeks' gestation or >2 kg weight) when bag-mask ventilation (BMV) or tracheal intubation is unsuccessful. Previous publications do not allow broad LMA device comparison. To compare delivered ventilation of seven brands of size 1 LMA devices with two brands of face mask using self-inflating bag (SIB). 40 experienced neonatal staff provided inflation cycles using SIB with positive end expiratory pressure (PEEP) (5 cmH 2 O) to a specialised newborn/infant training manikin randomised for each LMA and face mask. All subjects received prior education in LMA insertion and BMV. 12 415 recorded inflations for LMAs and face masks were analysed. Leak detected was lowest with i-gel brand, with a mean of 5.7% compared with face mask (triangular 42.7, round 35.7) and other LMAs (45.5-65.4) (p<0.001). Peak inspiratory pressure was higher with i-gel, with a mean of 28.9 cmH 2 O compared with face mask (triangular 22.8, round 25.8) and other LMAs (14.3-22.0) (p<0.001). PEEP was higher with i-gel, with a mean of 5.1 cmH 2 O compared with face mask (triangular 3.0, round 3.6) and other LMAs (0.6-2.6) (p<0.001). In contrast to other LMAs examined, i-gel had no insertion failures and all users found i-gel easy to use. This study has shown dramatic performance differences in delivered ventilation, mask leak and ease of use among seven different brands of LMA tested in a manikin model. This coupled with no partial or complete insertion failures and ease of use suggests i-gel LMA may have an expanded role with newborn resuscitation as a primary resuscitation device. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  4. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    Science.gov (United States)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper summarizes the challenging business model for mask equipment suppliers and highlight government support for mask equipment and materials development.

  5. Rotational dynamics of propylene inside Na-Y zeolite cages

    Indian Academy of Sciences (India)

    We report here the quasielastic neutron scattering (QENS) studies on the dynamics of propylene inside Na-Y zeolite using triple axis spectrometer (TAS) at Dhruva reactor, Trombay. Molecular dynamics (MD) simulations performed on the system had shown that the rotational motion involves energy larger than that involved ...

  6. Masking of Enamel Fluorosis Discolorations and Tooth Misalignment With a Combination of At-Home Whitening, Resin Infiltration, and Direct Composite Restorations.

    Science.gov (United States)

    Perdigão, J; Lam, V Q; Burseth, B G; Real, C

    This clinical report illustrates a conservative technique to mask enamel discolorations in maxillary anterior teeth caused by hypomineralization associated with enamel fluorosis and subsequent direct resin composite to improve the anterior esthetics. The treatment consisted of at-home whitening with 10% carbamide peroxide gel with potassium nitrate and sodium fluoride in a custom-fitted tray to mask the brown-stained areas, followed by resin infiltration to mask the white spot areas. An existing resin composite restoration in the maxillary right central incisor was subsequently replaced after completion of the whitening and resin infiltration procedures, whereas the two misaligned and rotated maxillary lateral incisors were built up with direct resin composite restorations to provide the illusion of adequate arch alignment, as the patient was unable to use orthodontic therapy.

  7. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    Science.gov (United States)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  8. Fourier phasing with phase-uncertain mask

    International Nuclear Information System (INIS)

    Fannjiang, Albert; Liao, Wenjing

    2013-01-01

    Fourier phasing is the problem of retrieving Fourier phase information from Fourier intensity data. The standard Fourier phase retrieval (without a mask) is known to have many solutions which cause the standard phasing algorithms to stagnate and produce wrong or inaccurate solutions. In this paper Fourier phase retrieval is carried out with the introduction of a randomly fabricated mask in measurement and reconstruction. Highly probable uniqueness of solution, up to a global phase, was previously proved with exact knowledge of the mask. Here the uniqueness result is extended to the case where only rough information about the mask’s phases is assumed. The exponential probability bound for uniqueness is given in terms of the uncertainty-to-diversity ratio of the unknown mask. New phasing algorithms alternating between the object update and the mask update are systematically tested and demonstrated to have the capability of recovering both the object and the mask (within the object support) simultaneously, consistent with the uniqueness result. Phasing with a phase-uncertain mask is shown to be robust with respect to the correlation in the mask as well as the Gaussian and Poisson noises. (paper)

  9. Computing Challenges in Coded Mask Imaging

    Science.gov (United States)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  10. Summation versus suppression in metacontrast masking: On the potential pitfalls of using metacontrast masking to assess perceptual-motor dissociation.

    Science.gov (United States)

    Cardoso-Leite, Pedro; Waszak, Florian

    2014-07-01

    A briefly flashed target stimulus can become "invisible" when immediately followed by a mask-a phenomenon known as backward masking, which constitutes a major tool in the cognitive sciences. One form of backward masking is termed metacontrast masking. It is generally assumed that in metacontrast masking, the mask suppresses activity on which the conscious perception of the target relies. This assumption biases conclusions when masking is used as a tool-for example, to study the independence between perceptual detection and motor reaction. This is because other models can account for reduced perceptual performance without requiring suppression mechanisms. In this study, we used signal detection theory to test the suppression model against an alternative view of metacontrast masking, referred to as the summation model. This model claims that target- and mask-related activations fuse and that the difficulty in detecting the target results from the difficulty to discriminate this fused response from the response produced by the mask alone. Our data support this alternative view. This study is not a thorough investigation of metacontrast masking. Instead, we wanted to point out that when a different model is used to account for the reduced perceptual performance in metacontrast masking, there is no need to postulate a dissociation between perceptual and motor responses to account for the data. Metacontrast masking, as implemented in the Fehrer-Raab situation, therefore is not a valid method to assess perceptual-motor dissociations.

  11. Orion Emergency Mask Approach

    Science.gov (United States)

    Tuan, George C.; Graf, John C.

    2009-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction time, breakthroughs, and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  12. Migration from full-head mask to "open-face" mask for immobilization of patients with head and neck cancer.

    Science.gov (United States)

    Li, Guang; Lovelock, D Michael; Mechalakos, James; Rao, Shyam; Della-Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-09-06

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an "open-face" thermoplastic mask was evaluated using video-based optical surface imaging (OSI) and kilovoltage (kV) X-ray radiography. A three-point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real-time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open-face and full-head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open-face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real-time OSI. With the open-face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre-/post-treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask-locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open-face and full-head masks were found to be similar. Most (80%) of the volunteers preferred the open-face mask to the full-head mask, while claustrophobic patients could only tolerate the open-face mask. The open-face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open-face mask is readily adopted in radiotherapy clinic as a superior alternative to

  13. Metacontrast masking is processed before grapheme-color synesthesia.

    Science.gov (United States)

    Bacon, Michael Patrick; Bridgeman, Bruce; Ramachandran, Vilayanur S

    2013-01-01

    We investigated the physiological mechanism of grapheme-color synesthesia using metacontrast masking. A metacontrast target is rendered invisible by a mask that is delayed by about 60 ms; the target and mask do not overlap in space or time. Little masking occurs, however, if the target and mask are simultaneous. This effect must be cortical, because it can be obtained dichoptically. To compare the data for synesthetes and controls, we developed a metacontrast design in which nonsynesthete controls showed weaker dichromatic masking (i.e., the target and mask were in different colors) than monochromatic masking. We accomplished this with an equiluminant target, mask, and background for each observer. If synesthetic color affected metacontrast, synesthetes should show monochromatic masking more similar to the weak dichromatic masking among controls, because synesthetes could add their synesthetic color to the monochromatic condition. The target-mask pairs used for each synesthete were graphemes that elicited strong synesthetic colors. We found stronger monochromatic than dichromatic U-shaped metacontrast for both synesthetes and controls, with optimal masking at an asynchrony of 66 ms. The difference in performance between the monochromatic and dichromatic conditions in the synesthetes indicates that synesthesia occurs at a later processing stage than does metacontrast masking.

  14. An interactive tool for gamut masking

    Science.gov (United States)

    Song, Ying; Lau, Cheryl; Süsstrunk, Sabine

    2014-02-01

    Artists often want to change the colors of an image to achieve a particular aesthetic goal. For example, they might limit colors to a warm or cool color scheme to create an image with a certain mood or feeling. Gamut masking is a technique that artists use to limit the set of colors they can paint with. They draw a mask over a color wheel and only use the hues within the mask. However, creating the color palette from the mask and applying the colors to the image requires skill. We propose an interactive tool for gamut masking that allows amateur artists to create an image with a desired mood or feeling. Our system extracts a 3D color gamut from the 2D user-drawn mask and maps the image to this gamut. The user can draw a different gamut mask or locally refine the image colors. Our voxel grid gamut representation allows us to represent gamuts of any shape, and our cluster-based image representation allows the user to change colors locally.

  15. APPLICATION OF EQUIPMENT FOR AUTOMATIC CONTROL OF PLANAR STRUCTURES IN MANUFACTURING MASTER MASKS OF INTEGRATED CIRCUITS ON PHOTO-MASKS

    Directory of Open Access Journals (Sweden)

    S. M. Avakov

    2007-01-01

    Full Text Available Following the concept of defect-free manufacturing of master masks of IC on photo-masks, two Belarusian sets of optomechanical equipment for 0,3 5 p and 90 nanometers are presented in the paper. Each of the sets comprises:   • Multi-channel laser pattern generator; • Automatic mask defect inspection system; • Laser-based mask defect repair system.The paper contains description of automatic mask defect inspection process during photo-mask manufacturing and respective basic technological operations of the processes.Advantages of a complex approach to the development of a set of opto-mechanical equipment for defect-free manufacturing of photo-masks have been analyzed in the paper. 

  16. Design of TOPAZ masking system using EGS4

    International Nuclear Information System (INIS)

    Uno, Shoji

    1991-01-01

    There are two sources of the beam background in the e + e - collider experiments. One source is the synchrotron radiation from many magnets. Another source comes from the spent-electron hitting the beam pipe near the interaction region. To reduce the these background, TOPAZ masking system was designed using EGS4 code. The designed masking system consists of two pairs of masks which are called mask-1 and mask-2. The mask-1 is placed to intercept the spent-electron. The aperture of the mask-2 was determined for the synchrotron radiation photons not to hit the mask-1 directly. After these masks were installed, we are taking the data in the small beam background. (author)

  17. Time-of-flight mass spectrometer using an imaging detector and a rotating electric field

    International Nuclear Information System (INIS)

    Katayama, Atsushi; Kameo, Yutaka; Nakashima, Mikio

    2008-01-01

    A new technique for minor isotope analysis that uses a rotating electric field and an imaging detector is described. The rotating electric field is generated by six cylindrically arranged plane electrodes with multi-phase sinusoidal wave voltage. When ion packets that are discriminated by time-of-flight enter the rotating electric field, they are circularly deflected, rendering a spiral image on the fluorescent screen of the detector. This spiral image represents m/z values of ions as the position and abundance of ions as brightness. For minor isotopes analyses, the micro channel plate detector under gate control operation is used to eliminate the influence of high intensity of major isotopes. (author)

  18. [Recognition of visual objects under forward masking. Effects of cathegorial similarity of test and masking stimuli].

    Science.gov (United States)

    Gerasimenko, N Iu; Slavutskaia, A V; Kalinin, S A; Kulikov, M A; Mikhaĭlova, E S

    2013-01-01

    In 38 healthy subjects accuracy and response time were examined during recognition of two categories of images--animals andnonliving objects--under forward masking. We revealed new data that masking effects depended of categorical similarity of target and masking stimuli. The recognition accuracy was the lowest and the response time was the most slow, when the target and masking stimuli belongs to the same category, that was combined with high dispersion of response times. The revealed effects were more clear in the task of animal recognition in comparison with the recognition of nonliving objects. We supposed that the revealed effects connected with interference between cortical representations of the target and masking stimuli and discussed our results in context of cortical interference and negative priming.

  19. Mask Phenomenon in Communication

    Institute of Scientific and Technical Information of China (English)

    郎丽璇

    2013-01-01

    People sometimes wear masks. Abusive expression may be used to convey love while polite words can be exchanged among enemies. This essay describes and discusses this special phenomenon in communication and analyzes the elements that con-tribute to the success of a mask communication.

  20. The Moody Mask Model

    DEFF Research Database (Denmark)

    Larsen, Bjarke Alexander; Andkjær, Kasper Ingdahl; Schoenau-Fog, Henrik

    2015-01-01

    This paper proposes a new relation model, called "The Moody Mask model", for Interactive Digital Storytelling (IDS), based on Franceso Osborne's "Mask Model" from 2011. This, mixed with some elements from Chris Crawford's Personality Models, is a system designed for dynamic interaction between ch...

  1. Brightness masking is modulated by disparity structure.

    Science.gov (United States)

    Pelekanos, Vassilis; Ban, Hiroshi; Welchman, Andrew E

    2015-05-01

    The luminance contrast at the borders of a surface strongly influences surface's apparent brightness, as demonstrated by a number of classic visual illusions. Such phenomena are compatible with a propagation mechanism believed to spread contrast information from borders to the interior. This process is disrupted by masking, where the perceived brightness of a target is reduced by the brief presentation of a mask (Paradiso & Nakayama, 1991), but the exact visual stage that this happens remains unclear. In the present study, we examined whether brightness masking occurs at a monocular-, or a binocular-level of the visual hierarchy. We used backward masking, whereby a briefly presented target stimulus is disrupted by a mask coming soon afterwards, to show that brightness masking is affected by binocular stages of the visual processing. We manipulated the 3-D configurations (slant direction) of the target and mask and measured the differential disruption that masking causes on brightness estimation. We found that the masking effect was weaker when stimuli had a different slant. We suggest that brightness masking is partly mediated by mid-level neuronal mechanisms, at a stage where binocular disparity edge structure has been extracted. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  2. Gilded Silver Mask

    Institute of Scientific and Technical Information of China (English)

    1998-01-01

    This gilded silver mask from the Liao Dynasty is 31 cm long and 22.2 cm wide. The plump oval face was designed with a protruding brow ridge, narrow eyes, high-bridged nose and closed mouth. The chin is slightly round against a thin neck, the ears are long and the hair can be clearly seen from the finely carved lines. The use of masks was recorded as

  3. Determination of 3D location and rotation of lumbar vertebrae in CT images by symmetry-based auto-registration

    Science.gov (United States)

    Vrtovec, Tomaž; Likar, Boštjan; Pernuš, Franjo

    2007-03-01

    Quantitative measurement of vertebral rotation is important in surgical planning, analysis of surgical results, and monitoring of the progression of spinal deformities. However, many established and newly developed techniques for measuring axial vertebral rotation do not exploit three-dimensional (3D) information, which may result in virtual axial rotation because of the sagittal and coronal rotation of vertebrae. We propose a novel automatic approach to the measurement of the location and rotation of vertebrae in 3D without prior volume reformation, identification of appropriate cross-sections or aid by statistical models. The vertebra under investigation is encompassed by a mask in the form of an elliptical cylinder in 3D, defined by its center of rotation and the rotation angles. We exploit the natural symmetry of the vertebral body, vertebral column and vertebral canal by dividing the vertebral mask by its mid-axial, mid-sagittal and mid-coronal plane, so that the obtained volume pairs contain symmetrical parts of the observed anatomy. Mirror volume pairs are then simultaneously registered to each other by robust rigid auto-registration, using the weighted sum of absolute differences between the intensities of the corresponding volume pairs as the similarity measure. The method was evaluated on 50 lumbar vertebrae from normal and scoliotic computed tomography (CT) spinal scans, showing relatively large capture ranges and distinctive maxima at the correct locations and rotation angles. The proposed method may aid the measurement of the dimensions of vertebral pedicles, foraminae and canal, and may be a valuable tool for clinical evaluation of the spinal deformities in 3D.

  4. Shadows alter facial expressions of Noh masks.

    Directory of Open Access Journals (Sweden)

    Nobuyuki Kawai

    Full Text Available BACKGROUND: A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers' recognition of the emotional expressions. METHODOLOGY/PRINCIPAL FINDINGS: In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. CONCLUSIONS/SIGNIFICANCE: Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa's smile. They also agree with the aesthetic principle of Japanese traditional art "yugen (profound grace and subtlety", which highly appreciates subtle emotional expressions in the darkness.

  5. TFTR horizontal high-resolution Bragg x-ray spectrometer

    International Nuclear Information System (INIS)

    Hill, K.W.; Bitter, M.; Tavernier, M.

    1984-11-01

    A bent quartz crystal spectrometer of the Johann type with a spectral resolution of lambda/Δlambda = 10,000 to 25,000 is used on TFTR to determine central plasma parameters from the spectra of heliumlike and lithiumlike metal impurity ions (Ti, Cr, Fe, and Ni). The spectra are observed along a central radial chord and are recorded by a position sensitive multiwire proportional counter with a spatial resolution of 250. Standard delay-line time-difference readout is employed. The data are histogrammed and stored in 64k of memory providing 128 time groups of 512-channel spectra. The central ion temperature and the toroidal plasma rotation are inferred from the Doppler broadening and Doppler shift of the K lines. The central electron temperature, the distribution of ionization states, and dielectronic recombination rates are obtained from satellite-to-resonance line ratios. The performance of the spectrometer is demonstrated by measurements of the Ti XXI K radiation

  6. Methyl internal rotation in the microwave spectrum of vinyl acetate.

    Science.gov (United States)

    Nguyen, Ha Vinh Lam; Jabri, Atef; Van, Vinh; Stahl, Wolfgang

    2014-12-26

    The rotational spectrum of vinyl acetate, CH3(CO)OCH═CH2, was measured using two molecular beam Fourier transform microwave spectrometers operating in the frequency range from 2 to 40 GHz. Large splittings up to 2 GHz occurred due to the internal rotation of the acetyl methyl group CH3CO with a V3 potential of 151.492(34) cm(-1), much larger than the barrier of approximately 100 cm(-1) often found in acetates. The torsional transitions were fitted using three different programs XIAM, ERHAM, and BELGI-Cs, whereby the rotational constants, centrifugal distortion constants, and the internal rotation parameters could be determined with very high accuracy. The experimental results were supported by quantum chemical calculations. For a conformational analysis, potential energy surfaces were calculated.

  7. Are Masking-Based Models of Risk Useful?

    Science.gov (United States)

    Gisiner, Robert C

    2016-01-01

    As our understanding of directly observable effects from anthropogenic sound exposure has improved, concern about "unobservable" effects such as stress and masking have received greater attention. Equal energy models of masking such as power spectrum models have the appeal of simplicity, but do they offer biologically realistic assessments of the risk of masking? Data relevant to masking such as critical ratios, critical bandwidths, temporal resolution, and directional resolution along with what is known about general mammalian antimasking mechanisms all argue for a much more complicated view of masking when making decisions about the risk of masking inherent in a given anthropogenic sound exposure scenario.

  8. Comparison of the OxyMask and Venturi Mask in the Delivery of Supplemental Oxygen: Pilot Study in Oxygen-Dependent Patients

    OpenAIRE

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia.METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a ra...

  9. Comparison of the OxyMask and Venturi mask in the delivery of supplemental oxygen: Pilot study in oxygen-dependent patients

    Science.gov (United States)

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia. METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a randomized, single-blind, cross-over design. Baseline blood oxygen saturation (SaO2) was established breathing room air, followed in a random order by supplemental oxygen through the OxyMask or Venturi mask. Oxygen delivery was titrated to maintain SaO2 4% to 5% and 8% to 9% above baseline for two separate 30 min periods of stable breathing. Oxygen flow rate, partial pressure of inspired and expired oxygen (PO2) and carbon dioxide (PCO2), minute ventilation, heart rate, nasal and oral breathing, SaO2 and transcutaneous PCO2 were collected continuously. The study was repeated following alterations to the OxyMask design, which improved clearance of carbon dioxide. RESULTS: Thirteen patients, aged 28 to 79 years, were studied initially using the original OxyMask. Oxygen flow rate was lower, inspired PO2 was higher and expired PO2 was lower while using the OxyMask. Minute ventilation and inspired and expired PCO2 were significantly higher while using the OxyMask, whereas transcutaneous PCO2, heart rate and the ratio of nasal to oral breathing did not change significantly throughout the study. Following modification of the OxyMask, 13 additional patients, aged 18 to 79 years, were studied using the same protocol. The modified OxyMask provided a higher inspired PO2 at a lower flow rate, without evidence of carbon dioxide retention. CONCLUSIONS: Oxygen is delivered safely and more efficiently by the OxyMask than by the Venturi mask in stable oxygen-dependent patients. PMID:16896425

  10. Mask materials in powderblasting

    NARCIS (Netherlands)

    Wensink, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt

    1999-01-01

    Powderblasting has the opportunity to become a standard technology in micromachining. To machine small details with powderbalsting, it is necessary to use a suiabled mask. In this paper four mask types ares examined. BF400 resist foil is most suitable for standard use in powderblasting for reason of

  11. A masking index for quantifying hidden glitches

    OpenAIRE

    Berti-Equille, Laure; Loh, J. M.; Dasu, T.

    2015-01-01

    Data glitches are errors in a dataset. They are complex entities that often span multiple attributes and records. When they co-occur in data, the presence of one type of glitch can hinder the detection of another type of glitch. This phenomenon is called masking. In this paper, we define two important types of masking and propose a novel, statistically rigorous indicator called masking index for quantifying the hidden glitches. We outline four cases of masking: outliers masked by missing valu...

  12. Shadows Alter Facial Expressions of Noh Masks

    Science.gov (United States)

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    Background A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers’ recognition of the emotional expressions. Methodology/Principal Findings In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Conclusions/Significance Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa’s smile. They also agree with the aesthetic principle of Japanese traditional art “yugen (profound grace and subtlety)”, which highly appreciates subtle emotional expressions in the darkness. PMID:23940748

  13. Advances in Molecular Rotational Spectroscopy for Applied Science

    Science.gov (United States)

    Harris, Brent; Fields, Shelby S.; Pulliam, Robin; Muckle, Matt; Neill, Justin L.

    2017-06-01

    Advances in chemical sensitivity and robust, solid-state designs for microwave/millimeter-wave instrumentation compel the expansion of molecular rotational spectroscopy as research tool into applied science. It is familiar to consider molecular rotational spectroscopy for air analysis. Those techniques for molecular rotational spectroscopy are included in our presentation of a more broad application space for materials analysis using Fourier Transform Molecular Rotational Resonance (FT-MRR) spectrometers. There are potentially transformative advantages for direct gas analysis of complex mixtures, determination of unknown evolved gases with parts per trillion detection limits in solid materials, and unambiguous chiral determination. The introduction of FT-MRR as an alternative detection principle for analytical chemistry has created a ripe research space for the development of new analytical methods and sampling equipment to fully enable FT-MRR. We present the current state of purpose-built FT-MRR instrumentation and the latest application measurements that make use of new sampling methods.

  14. 21 CFR 868.5570 - Nonrebreathing mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nonrebreathing mask. 868.5570 Section 868.5570...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5570 Nonrebreathing mask. (a) Identification. A nonrebreathing mask is a device fitting over a patient's face to administer oxygen. It utilizes...

  15. Rotation stability of high speed neutron time-of-flight mechanical chopper

    International Nuclear Information System (INIS)

    Habib, N.; Adib, M.

    1998-01-01

    A modified rotation stabilization system has been designed to maintain the stability of a neutron time-of-flight (TOF) mechanical chopper rates from 460 rpm to 16000 rpm. The main principle of the system is based on comparing the chopper's rotation period with the preselected one from a quartz timer. The result of comparison is used to control the current driver of the chopper's motor. A 600 Hz three phase generator controlled by a magnetic amplifier was used as a current driver. The stability of the chopper's rotation rate at 16000 rpm was 0.02%. An improved method precise time scale calibration of the TOF spectrometer is applied

  16. 37 CFR 211.3 - Mask work fees.

    Science.gov (United States)

    2010-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2010-07-01 2010-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  17. Orientation tuning of contrast masking caused by motion streaks.

    Science.gov (United States)

    Apthorp, Deborah; Cass, John; Alais, David

    2010-08-01

    We investigated whether the oriented trails of blur left by fast-moving dots (i.e., "motion streaks") effectively mask grating targets. Using a classic overlay masking paradigm, we varied mask contrast and target orientation to reveal underlying tuning. Fast-moving Gaussian blob arrays elevated thresholds for detection of static gratings, both monoptically and dichoptically. Monoptic masking at high mask (i.e., streak) contrasts is tuned for orientation and exhibits a similar bandwidth to masking functions obtained with grating stimuli (∼30 degrees). Dichoptic masking fails to show reliable orientation-tuned masking, but dichoptic masks at very low contrast produce a narrowly tuned facilitation (∼17 degrees). For iso-oriented streak masks and grating targets, we also explored masking as a function of mask contrast. Interestingly, dichoptic masking shows a classic "dipper"-like TVC function, whereas monoptic masking shows no dip and a steeper "handle". There is a very strong unoriented component to the masking, which we attribute to transiently biased temporal frequency masking. Fourier analysis of "motion streak" images shows interesting differences between dichoptic and monoptic functions and the information in the stimulus. Our data add weight to the growing body of evidence that the oriented blur of motion streaks contributes to the processing of fast motion signals.

  18. 21 CFR 868.5590 - Scavenging mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Scavenging mask. 868.5590 Section 868.5590 Food... DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5590 Scavenging mask. (a) Identification. A scavenging mask is a device positioned over a patient's nose to deliver anesthetic or analgesic gases to the...

  19. 21 CFR 868.5600 - Venturi mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Venturi mask. 868.5600 Section 868.5600 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5600 Venturi mask. (a) Identification. A venturi mask is a...

  20. Plasma residual poloidal rotation in TCABR tokamak

    International Nuclear Information System (INIS)

    Severo, J.H.F.; Nascimento, I.C.; Tsypin, V.S.; Galvao, R.M.O.

    2003-01-01

    This paper reports the first measurement of the radial profiles of plasma poloidal and toroidal rotation performed on the TCABR tokamak for a collisional plasma (Pfirsch-Schluter regime), using Doppler shift of carbon spectral lines, measured with a high precision optical spectrometer. The results for poloidal rotation show a maximum velocity of (4.5±1.0)·10 3 m/s at r ∼ 2/3a, (a - limiter radius), in the direction of the diamagnetic electron drift. Within the error limits, reasonable agreement is obtained with calculations using the neoclassical theory for a collisional plasma, except near the plasma edge, as expected. For toroidal rotation, the radial profile shows that the velocity decreases from a counter-current value of (20 ± 1) · 10 3 m/s for the plasma core to a co-current value of (2.0 ± 1.0) · 10 3 m/s near the limiter. An agreement within a factor 2, for the plasma core rotation, is obtained with calculations using the model proposed by Kim, Diamond and Groebner. (author)

  1. Fast mask writers: technology options and considerations

    Science.gov (United States)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  2. Spherical grating spectrometers

    Science.gov (United States)

    O'Donoghue, Darragh; Clemens, J. Christopher

    2014-07-01

    We describe designs for spectrometers employing convex dispersers. The Offner spectrometer was the first such instrument; it has almost exclusively been employed on satellite platforms, and has had little impact on ground-based instruments. We have learned how to fabricate curved Volume Phase Holographic (VPH) gratings and, in contrast to the planar gratings of traditional spectrometers, describe how such devices can be used in optical/infrared spectrometers designed specifically for curved diffraction gratings. Volume Phase Holographic gratings are highly efficient compared to conventional surface relief gratings; they have become the disperser of choice in optical / NIR spectrometers. The advantage of spectrometers with curved VPH dispersers is the very small number of optical elements used (the simplest comprising a grating and a spherical mirror), as well as illumination of mirrors off axis, resulting in greater efficiency and reduction in size. We describe a "Half Offner" spectrometer, an even simpler version of the Offner spectrometer. We present an entirely novel design, the Spherical Transmission Grating Spectrometer (STGS), and discuss exemplary applications, including a design for a double-beam spectrometer without any requirement for a dichroic. This paradigm change in spectrometer design offers an alternative to all-refractive astronomical spectrometer designs, using expensive, fragile lens elements fabricated from CaF2 or even more exotic materials. The unobscured mirror layout avoids a major drawback of the previous generation of catadioptric spectrometer designs. We describe laboratory measurements of the efficiency and image quality of a curved VPH grating in a STGS design, demonstrating, simultaneously, efficiency comparable to planar VPH gratings along with good image quality. The stage is now set for construction of a prototype instrument with impressive performance.

  3. 21 CFR 868.5580 - Oxygen mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device...

  4. Migration from full‐head mask to “open‐face” mask for immobilization of patients with head and neck cancer

    Science.gov (United States)

    Lovelock, D. Michael; Mechalakos, James; Rao, Shyam; Della‐Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-01-01

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an “open‐face” thermoplastic mask was evaluated using video‐based optical surface imaging (OSI) and kilovoltage (kV) X‐ray radiography. A three‐point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real‐time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15 minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open‐face and full‐head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open‐face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real‐time OSI. With the open‐face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre‐/post‐treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask‐locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open‐face and full‐head masks were found to be similar. Most (80%) of the volunteers preferred the open‐face mask to the full‐head mask, while claustrophobic patients could only tolerate the open‐face mask. The open‐face mask is characterized for its immobilization capability and can immobilize patients sufficiently (face mask is readily adopted in radiotherapy clinic as a superior alternative to the standard full‐head mask. PACS numbers: 87.19.xj, 87.63.L‐, 87.59.‐e, 87.55.tg, 87.55.‐x PMID:24036878

  5. Simulation-based MDP verification for leading-edge masks

    Science.gov (United States)

    Su, Bo; Syrel, Oleg; Pomerantsev, Michael; Hagiwara, Kazuyuki; Pearman, Ryan; Pang, Leo; Fujimara, Aki

    2017-07-01

    For IC design starts below the 20nm technology node, the assist features on photomasks shrink well below 60nm and the printed patterns of those features on masks written by VSB eBeam writers start to show a large deviation from the mask designs. Traditional geometry-based fracturing starts to show large errors for those small features. As a result, other mask data preparation (MDP) methods have become available and adopted, such as rule-based Mask Process Correction (MPC), model-based MPC and eventually model-based MDP. The new MDP methods may place shot edges slightly differently from target to compensate for mask process effects, so that the final patterns on a mask are much closer to the design (which can be viewed as the ideal mask), especially for those assist features. Such an alteration generally produces better masks that are closer to the intended mask design. Traditional XOR-based MDP verification cannot detect problems caused by eBeam effects. Much like model-based OPC verification which became a necessity for OPC a decade ago, we see the same trend in MDP today. Simulation-based MDP verification solution requires a GPU-accelerated computational geometry engine with simulation capabilities. To have a meaningful simulation-based mask check, a good mask process model is needed. The TrueModel® system is a field tested physical mask model developed by D2S. The GPU-accelerated D2S Computational Design Platform (CDP) is used to run simulation-based mask check, as well as model-based MDP. In addition to simulation-based checks such as mask EPE or dose margin, geometry-based rules are also available to detect quality issues such as slivers or CD splits. Dose margin related hotspots can also be detected by setting a correct detection threshold. In this paper, we will demonstrate GPU-acceleration for geometry processing, and give examples of mask check results and performance data. GPU-acceleration is necessary to make simulation-based mask MDP verification

  6. Individuals and Their Masks

    Directory of Open Access Journals (Sweden)

    Belén Altuna

    2009-08-01

    Full Text Available This essay works on the opposition between face and mask, where ‘face’ is understood as that which makes every human being singular, and makes visible her or his unique worth, while ‘mask’ is understood as whatever hides that singularity, and refers to a category, stereotype or cliché. The etymological history that relates face and mask to the concept of person, and the history of modern portrait painting, which alternates representations of face and mask, both lead to a discussion with authors who diagnose a contemporary “defeat of the face” as a result of the crisis of humanism and of ethical individualism, which give meaning and dignity to that face.

  7. Image differencing using masked CCD

    International Nuclear Information System (INIS)

    Rushbrooke, J.G.; Ansorge, R.E.; Webber, C.J. St. J.

    1987-01-01

    A charge coupled device has some of its ''pixels'' masked by a material which is opaque to the radiation to which the device is to be exposed, each masked region being employed as a storage zone into which the charge pattern from the unmasked pixels can be transferred to enable a subsequent charge pattern to be established on further exposure of the unmasked pixels. The components of the resulting video signal corresponding to the respective charge patterns read-out from the CCD are subtracted to produce a video signal corresponding to the difference between the two images which formed the respective charge patterns. Alternate rows of pixels may be masked, or chequer-board pattern masking may be employed. In an X-ray imaging system the CCD is coupled to image intensifying and converting means. (author)

  8. The design and evaluation of a selectively modulated interferometric dispersive spectrometer

    International Nuclear Information System (INIS)

    Fitzgerald, J.J.

    1986-01-01

    In approaching the problem of rapid simultaneous multielement analysis, the large light gathering power, wide spectral range and high resolution of a Fourier Transform Spectrometer (FTS) should be of benefit. The severe mechanical tolerances required in the construction and operation of a classical Michelson interferometer for use in the UV-Visible spectral region have limited investigations in the application of simultaneous trace quantitative analysis. Theory is presented demonstrating that replacement of the fixed mirror in one arm of the Michelson interferometer with a rotating grating preserves most of the FTS advantages and results in a greatly simplified detector system. No mathematical Fourier transform is required. The need for a computer is eliminated. An instrument, SEMIDS (Selectively Modulated Interferometric Dispersive Spectrometer), was constructed to investigate the mathematical model. Design criteria and basic operational performance as a flame emission spectrometer are presented. SEMIDS achieved high resolution, high throughput and greatly simplified operation compared to a Michelson interferometer. Performance as a trace quantitative tool was disappoint because of unanticipated noise contributions from flame background. A summary of the noise component contributions is discussed

  9. Towards 10 meV resolution: The design of an ultrahigh resolution soft X-ray RIXS spectrometer.

    Science.gov (United States)

    Dvorak, Joseph; Jarrige, Ignace; Bisogni, Valentina; Coburn, Scott; Leonhardt, William

    2016-11-01

    We present the optical design of the Centurion soft X-ray resonant inelastic X-ray scattering (RIXS) spectrometer to be located on the SIX beamline at NSLS-II. The spectrometer is designed to reach a resolving power of 100 000 at 1000 eV at its best resolution. It is also designed to have continuously variable 2θ motion over a range of 112° using a custom triple rotating flange. We have analyzed several possible spectrometer designs capable of reaching the target resolution. After careful analysis, we have adopted a Hettrick-Underwood spectrometer design, with an additional plane mirror to maintain a fixed direction for the outgoing beam. The spectrometer can cancel defocus and coma aberrations at all energies, has an erect focal plane, and minimizes mechanical motions of the detector. When the beamline resolution is accounted for, the net spectral resolution will be 14 meV at 1000 eV. This will open up many low energy excitations to study and will expand greatly the power of soft X-ray RIXS.

  10. Towards 10 meV resolution: The design of an ultrahigh resolution soft X-ray RIXS spectrometer

    Science.gov (United States)

    Dvorak, Joseph; Jarrige, Ignace; Bisogni, Valentina; Coburn, Scott; Leonhardt, William

    2016-11-01

    We present the optical design of the Centurion soft X-ray resonant inelastic X-ray scattering (RIXS) spectrometer to be located on the SIX beamline at NSLS-II. The spectrometer is designed to reach a resolving power of 100 000 at 1000 eV at its best resolution. It is also designed to have continuously variable 2θ motion over a range of 112° using a custom triple rotating flange. We have analyzed several possible spectrometer designs capable of reaching the target resolution. After careful analysis, we have adopted a Hettrick-Underwood spectrometer design, with an additional plane mirror to maintain a fixed direction for the outgoing beam. The spectrometer can cancel defocus and coma aberrations at all energies, has an erect focal plane, and minimizes mechanical motions of the detector. When the beamline resolution is accounted for, the net spectral resolution will be 14 meV at 1000 eV. This will open up many low energy excitations to study and will expand greatly the power of soft X-ray RIXS.

  11. Evaluation of a new pediatric positive airway pressure mask.

    Science.gov (United States)

    Kushida, Clete A; Halbower, Ann C; Kryger, Meir H; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J; Mendoza, June

    2014-09-15

    The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. © 2014 American Academy of Sleep Medicine.

  12. Sinusoidal masks for single channel speech separation

    DEFF Research Database (Denmark)

    Mowlaee, Pejman; Christensen, Mads Græsbøll; Jensen, Søren Holdt

    2010-01-01

    In this paper we present a new approach for binary and soft masks used in single-channel speech separation. We present a novel approach called the sinusoidal mask (binary mask and Wiener filter) in a sinusoidal space. Theoretical analysis is presented for the proposed method, and we show...... that the proposed method is able to minimize the target speech distortion while suppressing the crosstalk to a predetermined threshold. It is observed that compared to the STFTbased masks, the proposed sinusoidal masks improve the separation performance in terms of objective measures (SSNR and PESQ) and are mostly...

  13. Predicting masking release of lateralized speech

    DEFF Research Database (Denmark)

    Chabot-Leclerc, Alexandre; MacDonald, Ewen; Dau, Torsten

    2016-01-01

    . The largest masking release (MR) was observed when all maskers were on the opposite side of the target. The data in the conditions containing only energetic masking and modulation masking could be accounted for using a binaural extension of the speech-based envelope power spectrum model [sEPSM; Jørgensen et...... al., 2013, J. Acoust. Soc. Am. 130], which uses a short-term equalization-cancellation process to model binaural unmasking. In the conditions where informational masking (IM) was involved, the predicted SRTs were lower than the measured values because the model is blind to confusions experienced...

  14. Comparison of setup deviations for two thermoplastic immobilization masks in glottis cancer

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Jae Hong [Dept. of Biomedical Engineering, College of Medicine, The Catholic University, Seoul (Korea, Republic of)

    2017-03-15

    The purpose of this study was compare to the patient setup deviation of two different type thermoplastic immobilization masks for glottis cancer in the intensity-modulated radiation therapy (IMRT). A total of 16 glottis cancer cases were divided into two groups based on applied mask type: standard or alternative group. The mean error (M), three-dimensional setup displacement error (3D-error), systematic error (Σ), random error (σ) were calculated for each group, and also analyzed setup margin (mm). The 3D-errors were 5.2 ± 1.3 mm and 5.9 ± 0.7 mm for the standard and alternative groups, respectively; the alternative group was 13.6% higher than the standard group. The systematic errors in the roll angle and the x, y, z directions were 0.8°, 1.7 mm, 1.0 mm, and 1.5 mm in the alternative group and 0.8°, 1.1 mm, 1.8 mm, and 2.0 mm in the alternative group. The random errors in the x, y, z directions were 10.9%, 1.7%, and 23.1% lower in the alternative group than in the standard group. However, absolute rotational angle (i.e., roll) in the alternative group was 12.4% higher than in the standard group. For calculated setup margin, the alternative group in x direction was 31.8% lower than in standard group. In contrast, the y and z direction were 52.6% and 21.6% higher than in the standard group. Although using a modified thermoplastic immobilization mask could be affect patient setup deviation in terms of numerical results, various point of view for an immobilization masks has need to research in terms of clinic issue.

  15. 300 nm bandwidth adiabatic SOI polarization splitter-rotators exploiting continuous symmetry breaking.

    Science.gov (United States)

    Socci, Luciano; Sorianello, Vito; Romagnoli, Marco

    2015-07-27

    Adiabatic polarization splitter-rotators are investigated exploiting continuous symmetry breaking thereby achieving significant device size and losses reduction in a single mask fabrication process for both SOI channel and ridge waveguides. A crosstalk lower than -25 dB is expected over 300nm bandwidth, making the device suitable for full grid CWDM and diplexer/triplexer FTTH applications at 1310, 1490 and 1550nm.

  16. Rapid iconic erasure without masking.

    Science.gov (United States)

    Tijus, Charles Albert; Reeves, Adam

    2004-01-01

    We report on the erasure of the iconic memory of an array of 12 black letters flashed on a continuously- present white field. Erasure is accomplished by replacing the 16 ms letter array (frame 1) with a blank white frame for 16 ms (frame 2). The letter array returns in frame 3, with from one to six letters missing. Report of the missing letters is accurate without the blank white frame but is impoverished with it, as if interposing the blank erases the icon. Erasure occurs without any obvious luminance masking, 'mud splashes', pattern masking (backward, forward, or metacontrast), lateral masking, or masking by object substitution. Erasure is greatly decreased if the blank is presented one frame earlier or later. We speculate that erasure is due to a rapid reset of the icon produced by an informational mis-match.

  17. High quality mask storage in an advanced Logic-Fab

    Science.gov (United States)

    Jähnert, Carmen; Fritsche, Silvio

    2012-02-01

    High efficient mask logistics as well as safe and high quality mask storage are essential requirements within an advanced lithography area of a modern logic waferfab. Fast operational availability of the required masks at the exposure tool with excellent mask condition requires a safe mask handling, safeguarding of high mask quality over the whole mask usage time without any quality degradation and an intelligent mask logistics. One big challenge is the prevention of haze on high advanced phase shift masks used in a high volume production line for some thousands of 248nm or 193nm exposures. In 2008 Infineon Dresden qualified a customer specific developed semi-bare mask storage system from DMSDynamic Micro Systems in combination with a high advanced mask handling and an interconnected complex logistic system. This high-capacity mask storage system DMS M1900.22 for more than 3000 masks with fully automated mask and box handling as well as full-blown XCDA purge has been developed and adapted to the Infineon Lithotoollandscape using Nikon and SMIF reticle cases. Advanced features for ESD safety and mask security, mask tracking via RFID and interactions with the exposure tools were developed and implemented. The stocker is remote controlled by the iCADA-RSM system, ordering of the requested mask directly from the affected exposure tool allows fast access. This paper discusses the advantages and challenges for this approach as well as the practical experience gained during the implementation of the new system which improves the fab performance with respect to mask quality, security and throughput. Especially the realization of an extremely low and stable humidity level in addition with a well controlled air flow at each mask surface, preventing masks from haze degradation and particle contamination, turns out to be a notable technical achievement. The longterm stability of haze critical masks has been improved significantly. Relevant environmental parameters like

  18. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials

    DEFF Research Database (Denmark)

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L.

    2013-01-01

    at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result......The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound...... in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners...

  19. Self-masking: Listening during vocalization. Normal hearing.

    Science.gov (United States)

    Borg, Erik; Bergkvist, Christina; Gustafsson, Dan

    2009-06-01

    What underlying mechanisms are involved in the ability to talk and listen simultaneously and what role does self-masking play under conditions of hearing impairment? The purpose of the present series of studies is to describe a technique for assessment of masked thresholds during vocalization, to describe normative data for males and females, and to focus on hearing impairment. The masking effect of vocalized [a:] on narrow-band noise pulses (250-8000 Hz) was studied using the maximum vocalization method. An amplitude-modulated series of sound pulses, which sounded like a steam engine, was masked until the criterion of halving the perceived pulse rate was reached. For masking of continuous reading, a just-follow-conversation criterion was applied. Intra-session test-retest reproducibility and inter-session variability were calculated. The results showed that female voices were more efficient in masking high frequency noise bursts than male voices and more efficient in masking both a male and a female test reading. The male had to vocalize 4 dBA louder than the female to produce the same masking effect on the test reading. It is concluded that the method is relatively simple to apply and has small intra-session and fair inter-session variability. Interesting gender differences were observed.

  20. New data on masking reagents in complexometry

    International Nuclear Information System (INIS)

    Yurist, I.M.; Talmud, M.M.; Zajtsev, P.M.

    1985-01-01

    Recent literature data on employing inorganic and organic oxygen-, nitrogen- and sulfur-containing substances as masking reagents (MR) in complexonometry of alkali earths, rare earths and transition elements are reviewed for the period of 1971-1983. Effectiveness of any type of MR is shown to be dependent on the electron configuration of a cation being masked. Sr, La, Th, V(6), Zr, Hf, V(5), Nb(5), Ta(5), Mo(6), W(6) a.o. are masked by oxygen-containing ligands. Zn, Cd, Fe(2, 3), Co(2, 3), Ni, etc. are masked by nitrogen- and sulfur-bearing ligands. Thiocompounds mask mainly In, Tl(3), Sn(2), Pb, Bi

  1. Assessment of molecular contamination in mask pod

    Science.gov (United States)

    Foray, Jean Marie; Dejaune, Patrice; Sergent, Pierre; Gough, Stuart; Cheung, D.; Davenet, Magali; Favre, Arnaud; Rude, C.; Trautmann, T.; Tissier, Michel; Fontaine, H.; Veillerot, M.; Avary, K.; Hollein, I.; Lerit, R.

    2008-04-01

    Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic

  2. Interfacing an aspiration ion mobility spectrometer to a triple quadrupole mass spectrometer

    International Nuclear Information System (INIS)

    Adamov, Alexey; Viidanoja, Jyrki; Kaerpaenoja, Esko; Paakkanen, Heikki; Ketola, Raimo A.; Kostiainen, Risto; Sysoev, Alexey; Kotiaho, Tapio

    2007-01-01

    This article presents the combination of an aspiration-type ion mobility spectrometer with a mass spectrometer. The interface between the aspiration ion mobility spectrometer and the mass spectrometer was designed to allow for quick mounting of the aspiration ion mobility spectrometer onto a Sciex API-300 triple quadrupole mass spectrometer. The developed instrumentation is used for gathering fundamental information on aspiration ion mobility spectrometry. Performance of the instrument is demonstrated using 2,6-di-tert-butyl pyridine and dimethyl methylphosphonate

  3. Nasal mask ventilation is better than face mask ventilation in edentulous patients

    OpenAIRE

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Background and Aims: Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Material and Methods: Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively ev...

  4. Reflective masks for extreme ultraviolet lithography

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khanh Bao [Univ. of California, Berkeley, CA (United States)

    1994-05-01

    Extreme ultraviolet lithographic masks are made by patterning multilayer reflective coatings with high normal incidence reflectivity. Masks can be patterned by depositing a patterned absorber layer above the coating or by etching the pattern directly into the coating itself. Electromagnetic simulations showed that absorber-overlayer masks have superior imaging characteristics over etched masks (less sensitive to incident angles and pattern profiles). In an EUVL absorber overlayer mask, defects can occur in the mask substrate, reflective coating, and absorber pattern. Electromagnetic simulations showed that substrate defects cause the most severe image degradation. A printability study of substrate defects for absorber overlayer masks showed that printability of 25 nm high substrate defects are comparable to defects in optical lithography. Simulations also indicated that the manner in which the defects are covered by multilayer reflective coatings can affect printability. Coverage profiles that result in large lateral spreading of defect geometries amplify the printability of the defects by increasing their effective sizes. Coverage profiles of Mo/Si coatings deposited above defects were studied by atomic force microscopy and TEM. Results showed that lateral spread of defect geometry is proportional to height. Undercut at defect also increases the lateral spread. Reductions in defect heights were observed for 0.15 μm wide defect lines. A long-term study of Mo/Si coating reflectivity revealed that Mo/Si coatings with Mo as the top layer suffer significant reductions in reflectivity over time due to oxidation.

  5. Repositioning accuracy of two different mask systems-3D revisited: Comparison using true 3D/3D matching with cone-beam CT

    International Nuclear Information System (INIS)

    Boda-Heggemann, Judit; Walter, Cornelia; Rahn, Angelika; Wertz, Hansjoerg; Loeb, Iris; Lohr, Frank; Wenz, Frederik

    2006-01-01

    Purpose: The repositioning accuracy of mask-based fixation systems has been assessed with two-dimensional/two-dimensional or two-dimensional/three-dimensional (3D) matching. We analyzed the accuracy of commercially available head mask systems, using true 3D/3D matching, with X-ray volume imaging and cone-beam CT. Methods and Materials: Twenty-one patients receiving radiotherapy (intracranial/head-and-neck tumors) were evaluated (14 patients with rigid and 7 with thermoplastic masks). X-ray volume imaging was analyzed online and offline separately for the skull and neck regions. Translation/rotation errors of the target isocenter were analyzed. Four patients were treated to neck sites. For these patients, repositioning was aided by additional body tattoos. A separate analysis of the setup error on the basis of the registration of the cervical vertebra was performed. The residual error after correction and intrafractional motility were calculated. Results: The mean length of the displacement vector for rigid masks was 0.312 ± 0.152 cm (intracranial) and 0.586 ± 0.294 cm (neck). For the thermoplastic masks, the value was 0.472 ± 0.174 cm (intracranial) and 0.726 ± 0.445 cm (neck). Rigid masks with body tattoos had a displacement vector length in the neck region of 0.35 ± 0.197 cm. The intracranial residual error and intrafractional motility after X-ray volume imaging correction for rigid masks was 0.188 ± 0.074 cm, and was 0.134 ± 0.14 cm for thermoplastic masks. Conclusions: The results of our study have demonstrated that rigid masks have a high intracranial repositioning accuracy per se. Given the small residual error and intrafractional movement, thermoplastic masks may also be used for high-precision treatments when combined with cone-beam CT. The neck region repositioning accuracy was worse than the intracranial accuracy in both cases. However, body tattoos and image guidance improved the accuracy. Finally, the combination of both mask systems with 3D

  6. Comparison of the OxyMask and Venturi Mask in the Delivery of Supplemental Oxygen: Pilot Study in Oxygen-Dependent Patients

    Directory of Open Access Journals (Sweden)

    Jaime M Beecroft

    2006-01-01

    Full Text Available BACKGROUND: The OxyMask (Southmedic Inc, Canada is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA in patients with chronic hypoxemia.

  7. Mitigating mask roughness via pupil filtering

    Science.gov (United States)

    Baylav, B.; Maloney, C.; Levinson, Z.; Bekaert, J.; Vaglio Pret, A.; Smith, B.

    2014-03-01

    The roughness present on the sidewalls of lithographically defined patterns imposes a very important challenge for advanced technology nodes. It can originate from the aerial image or the photoresist chemistry/processing [1]. The latter remains to be the dominant group in ArF and KrF lithography; however, the roughness originating from the mask transferred to the aerial image is gaining more attention [2-9], especially for the imaging conditions with large mask error enhancement factor (MEEF) values. The mask roughness contribution is usually in the low frequency range, which is particularly detrimental to the device performance by causing variations in electrical device parameters on the same chip [10-12]. This paper explains characteristic differences between pupil plane filtering in amplitude and in phase for the purpose of mitigating mask roughness transfer under interference-like lithography imaging conditions, where onedirectional periodic features are to be printed by partially coherent sources. A white noise edge roughness was used to perturbate the mask features for validating the mitigation.

  8. Ipsilateral masking between acoustic and electric stimulations.

    Science.gov (United States)

    Lin, Payton; Turner, Christopher W; Gantz, Bruce J; Djalilian, Hamid R; Zeng, Fan-Gang

    2011-08-01

    Residual acoustic hearing can be preserved in the same ear following cochlear implantation with minimally traumatic surgical techniques and short-electrode arrays. The combined electric-acoustic stimulation significantly improves cochlear implant performance, particularly speech recognition in noise. The present study measures simultaneous masking by electric pulses on acoustic pure tones, or vice versa, to investigate electric-acoustic interactions and their underlying psychophysical mechanisms. Six subjects, with acoustic hearing preserved at low frequencies in their implanted ear, participated in the study. One subject had a fully inserted 24 mm Nucleus Freedom array and five subjects had Iowa/Nucleus hybrid implants that were only 10 mm in length. Electric masking data of the long-electrode subject showed that stimulation from the most apical electrodes produced threshold elevations over 10 dB for 500, 625, and 750 Hz probe tones, but no elevation for 125 and 250 Hz tones. On the contrary, electric stimulation did not produce any electric masking in the short-electrode subjects. In the acoustic masking experiment, 125-750 Hz pure tones were used to acoustically mask electric stimulation. The acoustic masking results showed that, independent of pure tone frequency, both long- and short-electrode subjects showed threshold elevations at apical and basal electrodes. The present results can be interpreted in terms of underlying physiological mechanisms related to either place-dependent peripheral masking or place-independent central masking.

  9. Contralateral tactile masking between forearms.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2014-03-01

    Masking effects have been demonstrated in which tactile sensitivity is affected when one touch is close to another on the body surface. Such effects are likely a result of local lateral inhibitory circuits that sharpen the spatial tuning of a given tactile receptor. Mutually inhibitory pathways have also been demonstrated between cortical tactile maps of the two halves of the body. Occasional reports have indicated that touches on one hand or forearm can affect tactile sensitivity at contralateral locations. Here, we measure the spatial tuning and effect of posture on this contralateral masking effect. Tactile sensitivity was measured on one forearm, while vibrotactile masking stimulation was applied to the opposite arm. Results were compared to sensitivity while vibrotactile stimulation was applied to a control site on the right shoulder. Sensitivity on the forearm was reduced by over 3 dB when the arms were touching and by 0.52 dB when they were held parallel. The masking effect depended on the position of the masking stimulus. Its effectiveness fell off by 1 STD when the stimulus was 29 % of arm length from the corresponding contralateral point. This long-range inhibitory effect in the tactile system suggests a surprisingly intimate relationship between the two sides of the body.

  10. Overlay improvement by exposure map based mask registration optimization

    Science.gov (United States)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  11. Laboratory Heterodyne Spectrometers Operating at 100 and 300 GHZ

    Science.gov (United States)

    Maßen, Jakob; Wehres, Nadine; Hermanns, Marius; Lewen, Frank; Heyne, Bettina; Endres, Christian; Graf, Urs; Honingh, Netty; Schlemmer, Stephan

    2017-06-01

    Two new laboratory heterodyne emission spectrometers are presented that are currently used for high-resolution rotational spectroscopy of complex organic molecules. The room temperature heterodyne receiver operating between 80-110 GHz, as well as the SIS heterodyne receiver operating between 270-370 GHz allow access to two very important frequency regimes, coinciding with Bands 3 and 7 of the ALMA (Atacama Large Millimeter Array) telescope. Taking advantage of recent progresses in the field of mm/submm technology, we build these two spectrometers using an XFFFTS (eXtended Fast Fourier Transform Spectrometer) for spectral acquisition. The instantaneous bandwidth is 2.5 GHz in a single sideband, spread over 32768 channels. Thus, the spectral resolution is about 76 kHz per channel and thus comparable to high resolution spectra from telescopes. Both receivers are operated in double sideband mode resulting in a total instantaneous bandwidth of 5 GHz. The system performances, in particular the noise temperatures and stabilities are presented. Proof-of-concept is demonstrated by showing spectra of methyl cyanide obtained with both spectrometers. While the transition frequencies for this molecule are very well known, intensities of those transitions can also be determined with high accuracy using our new instruments. This additional information shall be exploited in future measurements to improve spectral predictions for astronomical observations. Other future prospects concern the study of more complex organic species, such as ethyl cyanide. These aspects of the new instruments as well as limitations of the two distinct receivers will be discussed.

  12. Noninvasive CPAP with face mask: comparison among new air-entrainment masks and the Boussignac valve.

    Science.gov (United States)

    Mistraletti, Giovanni; Giacomini, Matteo; Sabbatini, Giovanni; Pinciroli, Riccardo; Mantovani, Elena S; Umbrello, Michele; Palmisano, Debora; Formenti, Paolo; Destrebecq, Anne L L; Iapichino, Gaetano

    2013-02-01

    The performances of 2 noninvasive CPAP systems (high flow and low flow air-entrainment masks) were compared to the Boussignac valve in 3 different scenarios. Scenario 1: pneumatic lung simulator with a tachypnea pattern (tidal volume 800 mL at 40 breaths/min). Scenario 2: Ten healthy subjects studied during tidal breaths and tachypnea. Scenario 3: Twenty ICU subjects enrolled for a noninvasive CPAP session. Differences between set and effective CPAP level and F(IO(2)), as well as the lowest airway pressure and the pressure swing around the imposed CPAP level, were analyzed. The lowest airway pressure and swing were correlated to the pressure-time product (area of the airway pressure curve below the CPAP level) measured with the simulator. P(aO(2)) was a subject's further performance index. Lung simulator: Boussignac F(IO(2)) was 0.54, even if supplied with pure oxygen. The air-entrainment masks had higher swing than the Boussignac (P = .007). Pressure-time product correlated better with pressure swing (Spearman correlation coefficient [ρ] = 0.97) than with lowest airway pressure (ρ = 0.92). In healthy subjects, the high-flow air-entrainment mask showed lower difference between set and effective F(IO(2)) (P mask had lower swing than the Boussignac valve (P = .03) with similar P(aO(2)) increase. High-flow air-entrainment mask showed the best performance in human subjects. During high flow demand, the Boussignac valve delivered lower than expected F(IO(2)) and showed higher dynamic hyper-pressurization than the air-entrainment masks. © 2013 Daedalus Enterprises.

  13. A design of a high speed dual spectrometer by single line scan camera

    Science.gov (United States)

    Palawong, Kunakorn; Meemon, Panomsak

    2018-03-01

    A spectrometer that can capture two orthogonal polarization components of s light beam is demanded for polarization sensitive imaging system. Here, we describe the design and implementation of a high speed spectrometer for simultaneous capturing of two orthogonal polarization components, i.e. vertical and horizontal components, of light beam. The design consists of a polarization beam splitter, two polarization-maintain optical fibers, two collimators, a single line-scan camera, a focusing lens, and a reflection blaze grating. The alignment of two beam paths was designed to be symmetrically incident on the blaze side and reverse blaze side of reflection grating, respectively. The two diffracted beams were passed through the same focusing lens and focused on the single line-scan sensors of a CMOS camera. The two spectra of orthogonal polarization were imaged on 1000 pixels per spectrum. With the proposed setup, the amplitude and shape of the two detected spectra can be controlled by rotating the collimators. The technique for optical alignment of spectrometer will be presented and discussed. The two orthogonal polarization spectra can be simultaneously captured at a speed of 70,000 spectra per second. The high speed dual spectrometer can simultaneously detected two orthogonal polarizations, which is an important component for the development of polarization-sensitive optical coherence tomography. The performance of the spectrometer have been measured and analyzed.

  14. Vibrotactile masking through the body.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2014-09-01

    Touches on one hand or forearm can affect tactile sensitivity at contralateral locations on the opposite side of the body. These interactions suggest an intimate connection between the two sides of the body. Here, we explore the effect of masking not across the body but through the body by measuring the effect of a masking stimulus on the back on the tactile sensitivity of the corresponding point on the front. Tactile sensitivity was measured on each side of the stomach, while vibrotactile masking stimulation was applied to one side of the front and to points on the back including the point directly behind the test point on the front. Results were compared to sensitivity, while vibrotactile stimulation was applied to a control site on the shoulder. A reduction in sensitivity of about .8 dB was found that required the masking stimulus to be within about 2 cm of the corresponding point on the back.

  15. Plasma residual rotation in the TCABR tokamak

    International Nuclear Information System (INIS)

    Severo, J.H.F.; Nascimento, I.C.; Tsypin, V.S.; Galvao, R.M.O.

    2003-01-01

    This paper reports the first results on the measurement of the radial profiles of plasma poloidal and toroidal rotation performed on the TCABR tokamak, in the collisional regime (Pfirsch-Schluter), using Doppler shift of carbon spectral lines, measured with a high precision optical spectrometer. The results for poloidal rotation show a maximum velocity of (4.5±1.0) x 10 3 m s -1 at r ∼ 2/3a,(a-limiter radius), in the direction of the diamagnetic electron drift. Within the error limits, reasonable agreement is obtained with calculations using the neoclassical theory for a collisional plasma, except near the plasma edge, as expected. For toroidal rotation, the radial profile shows that the velocity decreases from a counter-current value of (20 ± 1) x 10 3 m s -1 , at the plasma core, to a co-current value of (2.0 ± 0.9) x 10 3 m s -1 near the limiter. An agreement within a factor 2, for the plasma core rotation, is obtained with calculations using the model proposed by Kim, Diamond and Groebner (1991 Phys. Fluids B 3 2050). (author)

  16. Rotation of methyl side groups in polymers: A Fourier transform approach to quasielastic neutron scattering. 1: Homopolymers

    International Nuclear Information System (INIS)

    Arrighi, V.; Higgins, J.S.; Howells, W.S.

    1995-01-01

    The rotational motion of the ester methyl group in poly(methyl methacrylate) (PMMA) was investigated using quasielastic neutron scattering (QENS). A comparison between the authors results and the QENS data reported in the literature for PMMA-d 5 indicates that the amount of quasielastic broadening is highly dependent upon the energy resolution of the spectrometer. This anomalous behavior is here attributed to the method of analysis, namely, the use of a single rotational frequency. Such a procedure leads to a non-Arrhenius temperature dependence, to a temperature-dependent elastic incoherent structure factor, and to values of rotational frequency which are resolution dependent. They propose an alternative approach to the analysis of the QENS data which accounts for the existence of a distribution of rotational frequencies. The frequency data are Fourier transformed to the time domain, and the intermediate scattering function is fitted using a stretched exponential or Kohlraush-Williams-Watts function. The excellent overlap between data from different spectrometers leaves no doubt on the adequacy of their procedure. Measurements of the ether methyl group rotation in poly(vinyl methyl ether) (PVME) are also reported. The PVME data confirm that the behavior observed for PMMA-d 5 is likely to be a common feature to all polymeric systems

  17. 21 CFR 868.5560 - Gas mask head strap.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Gas mask head strap. 868.5560 Section 868.5560...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5560 Gas mask head strap. (a) Identification. A gas mask head strap is a device used to hold an anesthetic gas mask in position on a patient's...

  18. Investigating neurophysiological correlates of metacontrast masking with magnetoencephalography

    Directory of Open Access Journals (Sweden)

    Jens Schwarzbach

    2006-01-01

    Full Text Available Early components of visual evoked potentials (VEP in EEG seem to be unaffected by target visibility in visual masking studies. Bridgeman's reanalysis of Jeffreys and Musselwhite's (1986 data suggests that a later visual component in the VEP, around 250 ms reflects the perceptual effect of masking. We challenge this view on the ground that temporal interactions between targets and masks unrelated to stimulus visibility could account for Bridgeman's observation of a U-shaped time course in VEP amplitudes for this later component. In an MEG experiment of metacontrast masking with variable stimulus onset asynchrony, we introduce a proper control, a pseudo mask. In contrast to an effective mask, the pseudomask should produce neither behavioral masking nor amplitude modulations of late VEPs. Our results show that effective masks produced a strong U-shaped perceptual effect of target visibility while performance remained virtually perfect when a pseudomask was used. The visual components around 250 ms after target onset did not show a distinction between mask and pseudomask conditions. The results indicate that these visual evoked potentials do not reveal neurophysiological correlates of stimulus visibility but rather reflect dynamic interactions between superimposed potentials elicited by stimuli in close temporal proximity. However, we observed a postperceptual component around 340 ms after target onset, located over temporal-parietal cortex, which shows a clear effect of visibility. Based on P300 ERP literature, this finding could indicate that working memory related processes contribute to metacontrast masking.

  19. Causal mechanisms of masked hypertension: socio-psychological aspects.

    Science.gov (United States)

    Ogedegbe, Gbenga

    2010-04-01

    The contribution of Dr Thomas Pickering's study to the measurement of blood pressure (BP) is the defining aspect of his academic career and achievement - narrowly defined. In this regard, two important areas characterized his study as it relates to masked hypertension. First, he introduced the term, masked hypertension, to replace the rather inappropriate term 'reverse white-coat hypertension' and 'white-coat normotension'; thus drawing attention to the fact that these patients are genuinely hypertensive by ambulatory BP but were missed by normal office BP. More importantly, he rightly maintained that masked hypertension is a true continuum of sustained hypertension rather than an aberrant measurement artifact. Second, is his pivotal study on the important role of psychosocial factors as a potential mechanism for the development of masked hypertension. In this regard, he explained masked hypertension as a conditioned response to anxiety in office settings, and highlighted the role that diagnostic labeling plays in its development. His view of masked hypertension is that of a continuum from prehypertension (based on office BP measurement) to masked hypertension (based on ambulatory BP) and finally to sustained hypertension (based on both office and ambulatory BP). He strongly believes that it is the prehypertensive patients who progress to masked hypertension. Subsequently, patients who are prehypertensive should be screened for masked hypertension and treated. In this manuscript, we summarize his study as it relates to the definition of masked hypertension, the psychosocial characteristics, mechanisms and its clinical relevance.

  20. Ergonomic evaluation of pilot oxygen mask designs

    NARCIS (Netherlands)

    Lee, W.; Yang, Xiaopeng; Jung, Daehan; Park, Seikwon; Kim, Heeeun; You, Heecheon

    2018-01-01

    A revised pilot oxygen mask design was developed for better fit to the Korean Air Force pilots’ faces. The present study compared an existing pilot oxygen mask and a prototype of the revised mask design with 88 Korean Air Force pilots in terms of subjective discomfort, facial contact pressure,

  1. 21 CFR 868.5550 - Anesthetic gas mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  2. Emergency Face-Mask Removal Effectiveness: A Comparison of Traditional and Nontraditional Football Helmet Face-Mask Attachment Systems

    Science.gov (United States)

    Swartz, Erik E.; Belmore, Keith; Decoster, Laura C.; Armstrong, Charles W.

    2010-01-01

    Abstract Context: Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. Objective: To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Design: Controlled laboratory study. Setting: Applied biomechanics laboratory. Participants: Twenty-five certified athletic trainers. Intervention(s): The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Main Outcome Measure(s): Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Results: Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F4,20  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F4,20  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected

  3. Emergency face-mask removal effectiveness: a comparison of traditional and nontraditional football helmet face-mask attachment systems.

    Science.gov (United States)

    Swartz, Erik E; Belmore, Keith; Decoster, Laura C; Armstrong, Charles W

    2010-01-01

    Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Controlled laboratory study. Applied biomechanics laboratory. Twenty-five certified athletic trainers. The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F(4,20)  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F(4,20)  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected in RPE during face-mask removal (F(4,20)  =  43.20, P  =  .001), with participants reporting average

  4. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  5. Account of magnetic field effects of polarized proton target on charged particle trajectories in experiments with magnetic spectrometers

    International Nuclear Information System (INIS)

    Telegin, Yu.N.; Ranyuk, Yu.N.; Karnaukhov, I.M.; Lukhanin, A.A.; Sporov, E.A.

    1980-01-01

    Some effects of the influence of magnetic field of a polarized proton target (PPT) on trajectories of secondary particles in experiments using magnetic spectrometers are considered. It is shown that these effects can be eliminated by the target shift relatively to the spectrometer rotation axis and variation of the spectrometer installation angle. Numerical calculations of the correction values were performed for emitted particle momenta of 100-800 MeB/s and working intensity of the H 0 magnetic field H 0 =27 kG. The influence of the PPT magnetic field on the functions of angular and energy resolution in the γp→π + n experiment is investigated. The results obtained can be used in experiments with a polarized proton target

  6. The performances of standard and ResMed masks during bag-valve-mask ventilation.

    Science.gov (United States)

    Lee, Hyoung Youn; Jeung, Kyung Woon; Lee, Byung Kook; Lee, Seung Joon; Jung, Yong Hun; Lee, Geo Sung; Min, Yong Il; Heo, Tag

    2013-01-01

    A tight mask seal is frequently difficult to obtain and maintain during single-rescuer bag-valve-mask (BVM) ventilation. The ResMed mask (Bella Vista, NSW, Australia) is a continuous-positive-airway-pressure mask (CM) designed for noninvasive ventilation. In this study, we compared the ventilation performances of a standard mask (SM) and a ResMed CM using a simulation manikin in an out-of-hospital single-rescuer BVM ventilation scenario. Thirty emergency medical technicians (EMTs) performed two 2-minute attempts to ventilate a simulation manikin using BVM ventilation, alternatively, with the SM or the ResMed CM in a randomized order. Ventilation parameters including tidal volume and peak airway pressure were measured using computer analysis software connected to the simulation manikin. Successful volume delivery was defined as delivery of 440-540 mL of tidal volume in accord with present cardiopulmonary resuscitation guidelines. BVM ventilation using the ResMed CM produced higher mean (± standard deviation) tidal volumes (452 ± 50 mL vs. 394 ± 113 mL, p = 0.014) and had a higher proportion of successful volume deliveries (65.3% vs. 26.7%, p < 0.001) than that using the SM. Peak airway pressure was higher in BVM ventilation using the ResMed CM (p = 0.035). Stomach insufflation did not occur during either method. Twenty-nine of the participants (96.7%) preferred BVM ventilation using the ResMed CM. BVM ventilations using ResMed CM resulted in a significantly higher proportion of successful volume deliveries meeting the currently recommended range of tidal volume. Clinical studies are needed to determine the value of the ResMed CM for BVM ventilation.

  7. Scatterometry on pelliclized masks: an option for wafer fabs

    Science.gov (United States)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  8. Monolithic spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Rajic, Slobodan (Knoxville, TN); Egert, Charles M. (Oak Ridge, TN); Kahl, William K. (Knoxville, TN); Snyder, Jr., William B. (Knoxville, TN); Evans, III, Boyd M. (Oak Ridge, TN); Marlar, Troy A. (Knoxville, TN); Cunningham, Joseph P. (Oak Ridge, TN)

    1998-01-01

    A monolithic spectrometer is disclosed for use in spectroscopy. The spectrometer is a single body of translucent material with positioned surfaces for the transmission, reflection and spectral analysis of light rays.

  9. Phase mask coronagraphy at JPL and Palomar

    Directory of Open Access Journals (Sweden)

    Serabyn E.

    2011-07-01

    Full Text Available For the imaging of faint companions, phase mask coronagraphy has the dual advantages of a small inner working angle and high throughput. This paper summarizes our recent work in developing phase masks and in demonstrating their capabilities at JPL. Four-quadrant phase masks have been manufactured at JPL by means of both evaporation and etching, and we have been developing liquid crystal vortex phase masks in partnership with a commercial vendor. Both types of mask have been used with our extreme adaptive optics well-corrected subaperture at Palomar to detect known brown dwarf companions as close as ~ 2.5 λ/D to stars. Moreover, our recent vortex masks perform very well in laboratory tests, with a demonstrated infrared contrast of about 10−6 at 3 λ/D, and contrasts of a few 10−7 with an initial optical wavelength device. The demonstrated performance already meets the needs of ground-based extreme adaptive optics coronagraphy, and further planned improvements are aimed at reaching the 10−10 contrast needed for terrestrial exoplanet detection with a space-based coronagraph.

  10. Control system of executive mechanisms of a spectrometer on the IBR-2 reactor as a modern local network of controllers CAN

    International Nuclear Information System (INIS)

    Zhuravlev, V.V.; Kirillov, A.S.; Petukhova, T.B.; Sirotin, A.P.

    2007-01-01

    Controllers SMC-32 and SMC-32-CAN as elements of control systems of executive mechanisms of the IBR-2 spectrometers are submitted. The controllers provide management of executive mechanisms of spectrometers on the consecutive communication line RS232, RS422 (SMC-32, SMC-32-CAN), and on the local network CAN (SMC-32-CAN). The control systems of the executive mechanisms are easily modernized due to connection of additional elements of the local network CAN. Dynamic characteristics of the spectrometers' executive mechanisms are essentially improved. For example, it has been possible to increase the rotation frequency of the step motor DSHI-200 up to 10000 pps. (author)

  11. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  12. Attentional capture by masked colour singletons.

    Science.gov (United States)

    Ansorge, Ulrich; Horstmann, Gernot; Worschech, Franziska

    2010-09-15

    We tested under which conditions a colour singleton of which an observer is unaware captures attention. To prevent visual awareness of the colour singleton, we used backward masking. We find that a masked colour singleton cue captures attention if it matches the observer's goal to search for target colours but not if it is task-irrelevant. This is also reflected in event-related potentials to the visible target: the masked goal-matching cue elicits an attentional potential (N2pc) in a target search task. By contrast, a non-matching but equally strong masked colour singleton cue failed to elicit a capture effect and an N2pc. Results are discussed with regard to currently pertaining conceptions of attentional capture by colour singletons. Copyright 2010 Elsevier Ltd. All rights reserved.

  13. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials.

    Science.gov (United States)

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L

    2013-12-01

    The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners who participated in the psychoacoustical experiment. The data indicate differences in N1 and P2 between stimuli with and without interaural phase disparities. However, differences for stimuli with and without coherent masker modulation were only found for P2, i.e., only P2 is sensitive to the increase in audibility, irrespective of the cue that caused the masking release. The amplitude of P2 is consistent with the psychoacoustical finding of an addition of the masking releases when both cues are present. Even though it cannot be concluded where along the auditory pathway the audibility is represented, the P2 component of auditory evoked potentials is a candidate for an objective measure of audibility in the human auditory system. Copyright © 2013 Elsevier B.V. All rights reserved.

  14. Extreme nuclear deformations studied at the GASP spectrometer

    International Nuclear Information System (INIS)

    Lunardi, S.; Bazzacco, D.; Fabris, D.; Lunardon, M.; Medina, N.H.; Nebbia, G.; Petrache, C.M.; Rizzuto, M.A.; Alvarez, C.R.; Viesti, G.

    1997-01-01

    Very exotic shapes can be assumed for the nuclei at high angular momenta. Their study has become possible with the advent of the last generation high-resolution γ-ray spectrometers. In this talk results are presented on superdeformed nuclei of the A = 140 mass region where a detailed spectroscopy in the second minimum is now possible. Hyperdeformed rotational bands, expected in the third minimum, lie at even higher spins where their observation is very difficult from the experimental point of view. Up to now only weak signals of their presence have been observed. The status of the search for hyperdeformation in 152 Dy is reported. (author)

  15. Rotational spectrum of formaldehyde reinvestigated using a photomixing THz synthesizer

    Science.gov (United States)

    Eliet, Sophie; Cuisset, Arnaud; Guinet, Mickaël; Hindle, Francis; Mouret, Gaël; Bocquet, Robin; Demaison, Jean

    2012-09-01

    Approximately 60 pure rotational frequency transitions of formaldehyde in its ground state have been measured with sub-MHz uncertainty in the 0.7-1.8 THz frequency range using a photomixing THz synthesizer locked onto a frequency comb. The frequencies associated with previous submillimeter and infrared data have been included in a fit providing a new set of improved molecular parameters. The assignment of each line was checked using the usual statistical diagnostics. Finally, the ability of the continuous-wave spectrometer coupled to a multipass-cell to measure THz rotational transitions of H2CO in the 31, 41 and 61 vibrational states was demonstrated.

  16. Effect of Ibuprofen on masking endodontic diagnosis.

    Science.gov (United States)

    Read, Jason K; McClanahan, Scott B; Khan, Asma A; Lunos, Scott; Bowles, Walter R

    2014-08-01

    An accurate diagnosis is of upmost importance before initiating endodontic treatment; yet, there are occasions when the practitioner cannot reproduce the patient's chief complaint because the patient has become asymptomatic. Ibuprofen taken beforehand may "mask" or eliminate the patient's symptoms. In fact, 64%-83% of patients with dental pain take analgesics before seeing a dentist. The purpose of this study was to examine the possible "masking" effect of ibuprofen on endodontic diagnostic tests. Forty-two patients with endodontic pain underwent testing (cold, percussion, palpation, and bite force measurement) and then received either placebo or 800 mg ibuprofen. Both patients and operators were blinded to the medication received. One hour later, diagnostic testing was repeated and compared with pretreatment testing. Ibuprofen affected testing values for vital teeth by masking palpation 40%, percussion 25%, and cold 25% on affected teeth with symptomatic irreversible pulpitis and symptomatic apical periodontitis. There was no observed masking effect in the placebo group on palpation, percussion, or cold values. When nonvital teeth were included, the masking effect of ibuprofen was decreased. However, little masking occurred with the bite force measurement differences. Analgesics taken before the dental appointment can affect endodontic diagnostic testing results. Bite force measurements can assist in identifying the offending tooth in cases in which analgesics "mask" the endodontic diagnosis. Copyright © 2014 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  17. Fabless company mask technology approach: fabless but not fab-careless

    Science.gov (United States)

    Hisamura, Toshiyuki; Wu, Xin

    2009-10-01

    There are two different foundry-fabless working models in the aspect of mask. Some foundries have in-house mask facility while others contract with merchant mask vendors. Significant progress has been made in both kinds of situations. Xilinx as one of the pioneers of fabless semiconductor companies has been continually working very closely with both merchant mask vendors and mask facilities of foundries in past many years, contributed well in both technology development and benefited from corporations. Our involvement in manufacturing is driven by the following three elements: The first element is to understand the new fabrication and mask technologies and then find a suitable design / layout style to better utilize these new technologies and avoid potential risks. Because Xilinx has always been involved in early stage of advanced technology nodes, this early understanding and adoption is especially important. The second element is time to market. Reduction in mask and wafer manufacturing cycle-time can ensure faster time to market. The third element is quality. Commitment to quality is our highest priority for our customers. We have enough visibility on any manufacturing issues affecting the device functionality. Good correlation has consistently been observed between FPGA speed uniformity and the poly mask Critical Dimension (CD) uniformity performance. To achieve FPGA speed uniformity requirement, the manufacturing process as well as the mask and wafer CD uniformity has to be monitored. Xilinx works closely with the wafer foundries and mask suppliers to improve productivity and the yield from initial development stage of mask making operations. As an example, defect density reduction is one of the biggest challenges for mask supplier in development stage to meet the yield target satisfying the mask cost and mask turn-around-time (TAT) requirement. Historically, masks were considered to be defect free but at these advanced process nodes, that assumption no longer

  18. Is tinnitus an early voice of masked hypertension? High masked hypertension rate in patients with tinnitus.

    Science.gov (United States)

    Gun, Taylan; Özkan, Selçuk; Yavuz, Bunyamin

    2018-04-23

    Tinnitus is hearing a sound without any external acoustic stimulus. There are some clues of hypertension can cause tinnitus in different ways. The aim of the study was to evaluate the relationship between tinnitus and masked hypertension including echocardiographic parameters and severity of tinnitus. This study included 88 patients with tinnitus of at least 3 months duration and 85 age and gender-matched control subjects. Tinnitus severity index was used to classify the patients with tinnitus. After a complete medical history, all subjects underwent routine laboratory examination, office blood pressure measurement, hearing tests and ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of masked hypertension was significantly higher in patients with tinnitus than controls (18.2% vs 3.5%, p = 0.002). Office diastolic BP (76 ± 8.1 vs. 72.74 ± 8.68, p = 0.01), ambulatory 24-H diastolic BP (70.2 ± 9.6 vs. 66.9 ± 6.1, p = 0.07) and ambulatory daytime diastolic BP (73.7 ± 9.5 vs. 71.1 ± 6.2, p = 0.03) was significantly higher in patients with tinnitus than control group. Tinnitus severity index in patients without masked hypertension was 0 and tinnitus severity index in patients with masked hypertension were 2 (1-5). This study demonstrated that masked hypertension must be kept in mind if there is a complaint of tinnitus without any other obvious reason.

  19. Performance of the rebuilt SUERC single-stage accelerator mass spectrometer

    Science.gov (United States)

    Shanks, Richard P.; Ascough, Philippa L.; Dougans, Andrew; Gallacher, Paul; Gulliver, Pauline; Rood, Dylan H.; Xu, Sheng; Freeman, Stewart P. H. T.

    2015-10-01

    The SUERC bipolar single-stage accelerator mass spectrometer (SSAMS) has been dismantled and rebuilt to accommodate an additional rotatable pre-accelerator electrostatic spherical analyser (ESA) and a second ion source injector. This is for the attachment of an experimental positive-ion electron cyclotron resonance (ECR) ion source in addition to a Cs-sputter source. The ESA significantly suppresses oxygen interference to radiocarbon detection, and remaining measurement interference is now thought to be from 13C injected as 13CH molecule scattering off the plates of a second original pre-detector ESA.

  20. Development of inelastic neutron spectrometer (DC-TOF) and utilization

    International Nuclear Information System (INIS)

    Park, Je Geun; So, J. Y.; Moon, M. K.; Choi, Y. H.; Cho, S. J.; Lee, C. H.; Nam, U. W.; Kim, H. Y.; Kim, H. J.

    2010-08-01

    DC-TOF is an inelastic neutron spectrometer with potentially very wide applications to areas such as physics, chemistry, biology, and material engineering. And it is the most technically challenging and advanced instrument by using high speed choppers rotating up to 20,000 RPM and wide detector of steradian producing data in the order of a few hundred Mbyte. Through this project, we have successfully developed DC-TOF at KAERI with the development of the following key technologies : - Detector Electronics - Data acquisition software - Data reduction software. We believe that DC-TOF will become a workhorse instrument for the wide community of sciences in Korea

  1. 42 CFR 84.117 - Gas mask containers; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Gas mask containers; minimum requirements. 84.117... SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF RESPIRATORY PROTECTIVE DEVICES Gas Masks § 84.117 Gas mask containers; minimum requirements. (a) Gas masks shall be equipped with a substantial...

  2. Simulation based mask defect repair verification and disposition

    Science.gov (United States)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  3. Progress report of a static Fourier transform spectrometer breadboard

    Science.gov (United States)

    Rosak, A.; Tintó, F.

    2017-11-01

    MOLI instrument -for MOtionLess Interferometer- takes advantage of the new concept of static Fourier transform spectrometer. It is a high-resolution spectrometer working over a narrow bandwidth, which is adapted to a wide range of atmospheric sounding missions and compatible with micro-satellite platform. The core of this instrument is an echelette cube. Mirrors on the classical design are replaced by stepped mirrors -integrated into that interference cube- thus suppressing any moving part. The steps' directions being set over a perpendicular axis, the overlap of both stepped mirrors creates a cluster of so-called "echelettes", each one corresponding to a different optical path difference (OPD). Hence the Fourier transform of the incoming radiance is directly imaged on a CCD array in a single acquisition. The frequency domain of the measurements is selected by an interferential filter disposed on the incoming optical path. A rotating wheel equipped with several filters allows the successive measurement of spectra around some bands of interest, i.e. O2, CO2 and CO absorption bands.

  4. Neonatal mannequin comparison of the Upright self-inflating bag and snap-fit mask versus standard resuscitators and masks: leak, applied load and tidal volumes.

    Science.gov (United States)

    Rafferty, Anthony Richard; Johnson, Lucy; Davis, Peter G; Dawson, Jennifer Anne; Thio, Marta; Owen, Louise S

    2017-11-30

    Neonatal mask ventilation is a difficult skill to acquire and maintain. Mask leak is common and can lead to ineffective ventilation. The aim of this study was to determine whether newly available neonatal self-inflating bags and masks could reduce mask leak without additional load being applied to the face. Forty operators delivered 1 min episodes of mask ventilation to a mannequin using the Laerdal Upright Resuscitator, a standard Laerdal infant resuscitator (Laerdal Medical) and a T-Piece Resuscitator (Neopuff), using both the Laerdal snap-fit face mask and the standard Laerdal size 0/1 face mask (equivalent sizes). Participants were asked to use pressure sufficient to achieve 'appropriate' chest rise. Leak, applied load, airway pressure and tidal volume were measured continuously. Participants were unaware that load was being recorded. There was no difference in mask leak between resuscitation devices. Leak was significantly lower when the snap-fit mask was used with all resuscitation devices, compared with the standard mask (14% vs 37% leak, Pmask was preferred by 83% of participants. The device-mask combinations had no significant effect on applied load. The Laerdal Upright Resuscitator resulted in similar leak to the other resuscitation devices studied, and did not exert additional load to the face and head. The snap-fit mask significantly reduced overall leak with all resuscitation devices and was the mask preferred by participants. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  5. Analysis and test of laws for backward (metacontrast) masking

    NARCIS (Netherlands)

    Francis, G.; Rothmayer, M.; Hermens, F.

    2004-01-01

    In backward visual masking, it is common to find that the mask has its biggest effect when it follows the target by several tens of milliseconds. Research in the 1960s and 1970s suggested that masking effects were best characterized by the stimulus onset asynchrony (SOA) between the target and mask.

  6. Static dual-channel polarization imaging spectrometer for simultaneous acquisition of inphase and antiphase interference images

    International Nuclear Information System (INIS)

    Mu, Tingkui; Zhang, Chunmin; Ren, Wenyi; Jian, Xiaohua

    2011-01-01

    The raw data acquired by Fourier-transform imaging spectrometers are the physical superposition of an interferogram and image. To reconstruct an accurate spectrum from a pure interferogram via Fourier transformation and get a pure image that is undisturbed by fringes, the interferogram and the image need to be separated. Although it can be achieved by digital image processing, heavy computations with approximation would be introduced. To overcome these drawbacks and in the meantime avoid the influence of the rapid changes of the observed scene and the perturbations of the rotating elements, a static dual-channel polarization imaging spectrometer that can simultaneously acquire inphase and antiphase interference images is presented. The extraction of a pure image and pure fringe can be simply achieved from the difference and the summation of the two interference images, respectively. The feasibility of the spectrometer and its features are described, and the influence of the polarization direction of the polarizers on the background image and fringe is discussed

  7. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  8. Rotational structure of the five lowest frequency fundamental vibrational states of dimethylsulfoxide

    Science.gov (United States)

    Cuisset, Arnaud; Drumel, Marie-Aline Martin; Hindle, Francis; Mouret, Gaël; Sadovskií, Dmitrií A.

    2013-10-01

    We report on the successful extended analysis of the high-frequency (200-700 GHz) part of the gas phase (sub)mm-wave spectra of dimethylsulfoxide (DMSO). The spectrum was recorded at 100 kHz resolution using a solid state subTHz spectrometer. The five lowest energy fundamental vibrational states of DMSO with frequencies below 400 cm-1 were observed as sidebands along with the main 0←0 band. Neglecting the internal rotation of methyls, our rotational Hamiltonian reproduced the spectrum to the subMHz accuracy. We have found that the asymmetric bending state ν23 is the only low frequency fundamental vibrational state with the "anomalous" rotational structure uncovered in Cuisset et al. [1]. dmsomw 2013-09-04 15:03

  9. Individual differences in metacontrast masking regarding sensitivity and response bias.

    Science.gov (United States)

    Albrecht, Thorsten; Mattler, Uwe

    2012-09-01

    In metacontrast masking target visibility is modulated by the time until a masking stimulus appears. The effect of this temporal delay differs across participants in such a way that individual human observers' performance shows distinguishable types of masking functions which remain largely unchanged for months. Here we examined whether individual differences in masking functions depend on different response criteria in addition to differences in discrimination sensitivity. To this end we reanalyzed previously published data and conducted a new experiment for further data analyses. Our analyses demonstrate that a distinction of masking functions based on the type of masking stimulus is superior to a distinction based on the target-mask congruency. Individually different masking functions are based on individual differences in discrimination sensitivities and in response criteria. Results suggest that individual differences in metacontrast masking result from individually different criterion contents. Copyright © 2012 Elsevier Inc. All rights reserved.

  10. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  11. An investigation into the efficiency of disposable face masks.

    Science.gov (United States)

    Rogers, K B

    1980-01-01

    Disposable face masks used in hospitals have been assessed for the protection afforded the patient and the wearer by challenges of simulated natural conditions of stress. Operating theatre masks made of synthetic materials allow the wearer to breathe through the masks, and these have been shown to protect the patient well but the wearer slightly less. Cheaper paper masks are worn for ward duties, and of these only the Promask protected in area in front of the wearer: air does not pass through this mask, expired air is prevented from passing forward, and the wearer breathes unfiltered air. All the other paper masks tested allowed many bacteria-laden particles to pass through them. PMID:7440756

  12. Face mask ventilation--the dos and don'ts.

    Science.gov (United States)

    Wood, Fiona E; Morley, Colin J

    2013-12-01

    Face mask ventilation provides respiratory support to newly born or sick infants. It is a challenging technique and difficult to ensure that an appropriate tidal volume is delivered because large and variable leaks occur between the mask and face; airway obstruction may also occur. Technique is more important than the mask shape although the size must appropriately fit the face. The essence of the technique is to roll the mask on to the face from the chin while avoiding the eyes, with a finger and thumb apply a strong even downward pressure to the top of the mask, away from the stem and sloped sides or skirt of the mask, place the other fingers under the jaw and apply a similar upward pressure. Preterm infants require continuous end-expiratory pressure to facilitate lung aeration and maintain lung volume. This is best done with a T-piece device, not a self-inflating or flow-inflating bag. Copyright © 2013 Elsevier Ltd. All rights reserved.

  13. Chirped Pulse Spectrometer Operating at 200 GHz

    Science.gov (United States)

    Hindle, Francis; Bray, Cédric; Hickson, Kevin; Fontanari, Daniele; Mouelhi, Meriem; Cuisset, Arnaud; Mouret, Gaël; Bocquet, Robin

    2018-01-01

    The combination of electronic sources operating at high frequencies and modern microwave instrumentation has enabled the recent development of chirped pulse spectrometers for the millimetre and THz bands. This type of instrument can operate at high resolution which is particularly suited to gas-phase rotational spectroscopy. The construction of a chirped pulse spectrometer operating at 200 GHz is described in detail while attention is paid to the phase stability and the data accumulation over many cycles. Validation using carbonyl sulphide has allowed the detection limit of the instrument to be established as function of the accumulation. A large number of OCS transitions were identified using a 10-GHz chirped pulse and include the six most abundant isotopologues, the weakest line corresponding to the fundamental R(17) transition of 16O13C33S with a line strength of 4.3 × 10-26 cm-1/(molecule cm-2). The linearity of the system response for different degrees of data accumulation and transition line strength was confirmed over four orders of magnitudes. A simple analysis of the time-domain data was demonstrated to provide the line-broadening coefficient without the need for conversion by a Fourier transform. Finally, the pulse duration is discussed and optimal values are given for both Doppler-limited and collisional regimes.

  14. A respiratory mask for resting and exercising dogs.

    Science.gov (United States)

    Stavert, D M; Reischl, P; O'Loughlin, B J

    1982-02-01

    A respiratory face mask has been developed for use with unsedated beagles trained to run on a treadmill. The latex rubber mask, shaped to fit the animal's muzzle, incorporates two modified, commercially available, pulmonary valves for separating inspiratory and expiratory flows. The mask has a dead space of 30 cm3 and a flow resistance below 1 cmH2O . 1(-1) . s. The flexible mask is used to measure breath-by-breath respiratory variables over extended periods of time during rest and exercise.

  15. Communication masking in marine mammals: A review and research strategy.

    Science.gov (United States)

    Erbe, Christine; Reichmuth, Colleen; Cunningham, Kane; Lucke, Klaus; Dooling, Robert

    2016-02-15

    Underwater noise, whether of natural or anthropogenic origin, has the ability to interfere with the way in which marine mammals receive acoustic signals (i.e., for communication, social interaction, foraging, navigation, etc.). This phenomenon, termed auditory masking, has been well studied in humans and terrestrial vertebrates (in particular birds), but less so in marine mammals. Anthropogenic underwater noise seems to be increasing in parts of the world's oceans and concerns about associated bioacoustic effects, including masking, are growing. In this article, we review our understanding of masking in marine mammals, summarise data on marine mammal hearing as they relate to masking (including audiograms, critical ratios, critical bandwidths, and auditory integration times), discuss masking release processes of receivers (including comodulation masking release and spatial release from masking) and anti-masking strategies of signalers (e.g. Lombard effect), and set a research framework for improved assessment of potential masking in marine mammals. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  16. Quality control of a 2 m{sup 2} Micromegas detector for the ATLAS muon spectrometer upgrade project using contact CCDs

    Energy Technology Data Exchange (ETDEWEB)

    Biebel, Otmar; Hertenberger, Ralf; Wagner-Kuhr, Jeannine [LMU, Munich (Germany); Wellenstein, Hermann [Brandeis University, Waltham (United States)

    2016-07-01

    The inner endcap region of the ATLAS muon spectrometer, the Small Wheel, will be upgraded in 2019 using Micromegas detectors to retain the tracking performance after the LHC luminosity upgrade. In the new Small Wheel Micromegas detectors will be arranged in trapezoidal quadruplets of four active layers each and 2-3 m{sup 2} in size. Guaranteeing the design spatial resolution of 100 μm poses a huge challenge for the mechanical precision of each readout plane and the alignment between the 4 planes. We report about a novel optical alignment tool based on Contact CCDs and coded masks which will be used for the quality control during the construction of the Micromegas detectors. Using pictures of an arbitrary cutout of a coded mask on a readout board taken by a Contact CCD the relative position of the mask with respect to the center of the Contact-CCD can be determined on sub μm accuracy. Together with a calibrated reference device the position of masks within a single plane but also within a quadruplet can be measured with high precision allowing to monitor the relative position of the 3 PowerCircuitBoards within a single plane and the relative alignment between the different planes in a quadruplet. In this presentation the ideas of this new optical alignment tool are shown as well as first quality control studies using a Contact-CCD.

  17. Multidimensional spectrometer

    Science.gov (United States)

    Zanni, Martin Thomas; Damrauer, Niels H.

    2010-07-20

    A multidimensional spectrometer for the infrared, visible, and ultraviolet regions of the electromagnetic spectrum, and a method for making multidimensional spectroscopic measurements in the infrared, visible, and ultraviolet regions of the electromagnetic spectrum. The multidimensional spectrometer facilitates measurements of inter- and intra-molecular interactions.

  18. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  19. Small angle spectrometers: Summary

    International Nuclear Information System (INIS)

    Courant, E.; Foley, K.J.; Schlein, P.E.

    1986-01-01

    Aspects of experiments at small angles at the Superconducting Super Collider are considered. Topics summarized include a small angle spectrometer, a high contingency spectrometer, dipole and toroid spectrometers, and magnet choices

  20. Method for measuring the focal spot size of an x-ray tube using a coded aperture mask and a digital detector.

    Science.gov (United States)

    Russo, Paolo; Mettivier, Giovanni

    2011-04-01

    kVp and M = 6.1, with a detector entrance exposure as low as 1.82 mR (0.125 mA s tube load). The slit camera indicated a focal spot of 0.112 mm x 0.104 mm at 35 kVp and M = 3.15, with an exposure at the detector of 72 mR. Focal spot measurements with the coded mask could be performed up to 80 kVp. Tolerance to angular misalignment with the reference beam up to 7 degrees in in-plane rotations and 1 degrees deg in out-of-plane rotations was observed. The axial distance of the focal spot from the coded mask could also be determined. It is possible to determine the beam intensity via measurement of the intensity of the decoded image of the focal spot and via a calibration procedure. Coded aperture masks coupled to a digital area detector produce precise determinations of the focal spot of an x-ray tube with reduced tube loading and measurement time, coupled to a large tolerance in the alignment of the mask.

  1. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  2. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  3. The fastest saccadic responses escape visual masking

    DEFF Research Database (Denmark)

    Crouzet, Sébastien M.; Overgaard, Morten; Busch, Niko A.

    2014-01-01

    Object-substitution masking (OSM) occurs when a briefly presented target in a search array is surrounded by small dots that remain visible after the target disappears. The reduction of target visibility occurring after OSM has been suggested to result from a specific interference with reentrant......, which gives access to very early stages of visual processing, target visibility was reduced either by OSM, conventional backward masking, or low stimulus contrast. A general reduction of performance was observed in all three conditions. However, the fastest saccades did not show any sign of interference...... under either OSM or backward masking, as they did under the low-contrast condition. This finding supports the hypothesis that masking interferes mostly with reentrant processing at later stages, while leaving early feedforward processing largely intact....

  4. Photoelectron spectrometer for high-resolution angular resolved studies

    International Nuclear Information System (INIS)

    Parr, A.C.; Southworth, S.H.; Dehmer, J.L.; Holland, D.M.P.

    1982-01-01

    We report on a new electron spectrometer system designed for use on storage-ring light sources. The system features a large (76 cm dia. x 92 cm long) triply magnetically shielded vacuum chamber and two 10.2 cm mean radius hemispherical electron-energy analyzers. One of the analyzers is fixed and the other is rotatable through about 150 0 . The chamber is pumped by a cryopump and a turbomolecular pump combination so as to enable experiments with a variety of gases under different conditions. The light detection includes both a direct beam monitor and polarization analyzer. The electron detection is accomplished with either a continuous-channel electron multiplier or with multichannel arrays used as area detectors

  5. Electron spectrometer for measurement of the energy distributions and angular distributions of electrons ejected by ionizing radiation

    International Nuclear Information System (INIS)

    Dehmer, J.L.

    1975-01-01

    With a broad range of applications in mind, a new electron spectrometer has been constructed which is flange mountable, has an easily accessible source region, is rotatable over the range 25 0 less than or equal to theta less than or equal to 335 0 , and has a wide dynamical range and a wide range of resolving power

  6. New method of contour-based mask-shape compiler

    Science.gov (United States)

    Matsuoka, Ryoichi; Sugiyama, Akiyuki; Onizawa, Akira; Sato, Hidetoshi; Toyoda, Yasutaka

    2007-10-01

    We have developed a new method of accurately profiling a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, it is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method for a DFM solution in which two dimensional data are extracted for an error free practical simulation by precise reproduction of a real mask shape in addition to the mask data simulation. The flow centering around the design data is fully automated and provides an environment where optimization and verification for fully automated model calibration with much less error is available. It also allows complete consolidation of input and output functions with an EDA system by constructing a design data oriented system structure. This method therefore is regarded as a strategic DFM approach in the semiconductor metrology.

  7. Mask design and fabrication in coded aperture imaging

    International Nuclear Information System (INIS)

    Shutler, Paul M.E.; Springham, Stuart V.; Talebitaher, Alireza

    2013-01-01

    We introduce the new concept of a row-spaced mask, where a number of blank rows are interposed between every pair of adjacent rows of holes of a conventional cyclic difference set based coded mask. At the cost of a small loss in signal-to-noise ratio, this can substantially reduce the number of holes required to image extended sources, at the same time increasing mask strength uniformly across the aperture, as well as making the mask automatically self-supporting. We also show that the Finger and Prince construction can be used to wrap any cyclic difference set onto a two-dimensional mask, regardless of the number of its pixels. We use this construction to validate by means of numerical simulations not only the performance of row-spaced masks, but also the pixel padding technique introduced by in ’t Zand. Finally, we provide a computer program CDSGEN.EXE which, on a fast modern computer and for any Singer set of practical size and open fraction, generates the corresponding pattern of holes in seconds

  8. GLEBUS SAINCIUC’S PAPIER-MÂCHÉ PORTRAIT MASKS

    Directory of Open Access Journals (Sweden)

    MARIAN ANA

    2016-12-01

    Full Text Available The portrait masks created by Glebus Sainciuc (1919-2012 portray painters, sculptors, musicians, writers, actors, playwrights,filmmakers etc., and they are a proof of the fact that the individual contribution of these persons to the artistic development of our country has not been forgotten. Morphologically, the papier-mâché portrait masks of master Glebus Sainciuc are situated at the limit of painting, graphic drawing and sculpture; the masks were created by the master using the papier-mâché techniques. We should mention that the author’s predilection for the fauvist and naïve techniques in portrait representation, together with the style of his caricatures and small size drawings, infl uenced the caricatures style of his masks. The first portrait masks were created by Glebus Sainciuc in 1957, and by the end of his artistic activity his collection consisted of 350 masks – all depicting different persons, but all sharing the same portrait techniques and showing the inner charm of these persons.

  9. Comparison of Cloud Detection Using the CERES-MODIS Ed4 and LaRC AVHRR Cloud Masks and CALIPSO Vertical Feature Mask

    Science.gov (United States)

    Trepte, Q. Z.; Minnis, P.; Palikonda, R.; Bedka, K. M.; Sun-Mack, S.

    2011-12-01

    Accurate detection of cloud amount and distribution using satellite observations is crucial in determining cloud radiative forcing and earth energy budget. The CERES-MODIS (CM) Edition 4 cloud mask is a global cloud detection algorithm for application to Terra and Aqua MODIS data with the aid of other ancillary data sets. It is used operationally for the NASA's Cloud and Earth's Radiant Energy System (CERES) project. The LaRC AVHRR cloud mask, which uses only five spectral channels, is based on a subset of the CM cloud mask which employs twelve MODIS channels. The LaRC mask is applied to AVHRR data for the NOAA Climate Data Record Program. Comparisons among the CM Ed4, and LaRC AVHRR cloud masks and the CALIPSO Vertical Feature Mask (VFM) constitute a powerful means for validating and improving cloud detection globally. They also help us understand the strengths and limitations of the various cloud retrievals which use either active and passive satellite sensors. In this paper, individual comparisons will be presented for different types of clouds over various surfaces, including daytime and nighttime, and polar and non-polar regions. Additionally, the statistics of the global, regional, and zonal cloud occurrence and amount from the CERES Ed4, AVHRR cloud masks and CALIPSO VFM will be discussed.

  10. Polymer Masks for nanostructuring of graphene

    DEFF Research Database (Denmark)

    Shvets, Violetta

    This PhD project is a part of Center for Nanostructured Graphene (CNG) activities. The aim of the project is to develop a new lithography method for creation of highly ordered nanostructures with as small as possible feature and period sizes. The method should be applicable for graphene nanostruc...... demonstrated the opening of what could be interpreted as a band gap....... polymer masks is developed. Mask fabrication is realized by microtoming of 30-60 nm thin sections from pre-aligned polymer monoliths with different morphologies. The resulting polymer masks are then transferred to both silicon and graphene substrates. Hexagonally packed hole patterns with 10 nm hole...

  11. The SPEDE spectrometer

    Science.gov (United States)

    Papadakis, P.; Cox, D. M.; O'Neill, G. G.; Borge, M. J. G.; Butler, P. A.; Gaffney, L. P.; Greenlees, P. T.; Herzberg, R.-D.; Illana, A.; Joss, D. T.; Konki, J.; Kröll, T.; Ojala, J.; Page, R. D.; Rahkila, P.; Ranttila, K.; Thornhill, J.; Tuunanen, J.; Van Duppen, P.; Warr, N.; Pakarinen, J.

    2018-03-01

    The electron spectrometer, SPEDE, has been developed and will be employed in conjunction with the Miniball spectrometer at the HIE-ISOLDE facility, CERN. SPEDE allows for direct measurement of internal conversion electrons emitted in-flight, without employing magnetic fields to transport or momentum filter the electrons. Together with the Miniball spectrometer, it enables simultaneous observation of γ rays and conversion electrons in Coulomb excitation experiments using radioactive ion beams.

  12. Conceptual Masking: How One Picture Captures Attention from Another Picture.

    Science.gov (United States)

    Loftus, Geoffrey R.; And Others

    1988-01-01

    Five experiments studied operations of conceptual masking--the reduction of conceptual memory performance for an initial stimulus when it is followed by a masking picture process. The subjects were 337 undergraduates at the University of Washington (Seattle). Conceptual masking is distinguished from perceptual masking. (TJH)

  13. 1995 mask industry quality assessment

    Science.gov (United States)

    Bishop, Chris; Strott, Al

    1995-12-01

    The third annual mask industry assessment will again survey various industry companies for key performance measurements in the areas of quality and delivery. This year's assessment is enhanced to include the area of safety and further breakdown of the data into 5-inch vs. 6- inch. The data compiled includes shipments, customer return rate, customer return reason, performance to schedule, plate survival yield, and throughput time (TPT) from 1988 through Q2, 1995. Contributor identities remain protected by utilizing Arthur Andersen & Company to ensure participant confidentiality. Participation in the past included representation of over 75% of the total merchant and captive mask volume in the United States. This year's assessment is expected to result in expanded participation by again inviting all mask suppliers domestically to participate as well as an impact from inviting international suppliers to participate.

  14. Endogenous cueing attenuates object substitution masking.

    Science.gov (United States)

    Germeys, Filip; Pomianowska, I; De Graef, P; Zaenen, P; Verfaillie, K

    2010-07-01

    Object substitution masking (OSM) is a form of visual masking in which a briefly presented target surrounded by four small dots is masked by the continuing presence of the four dots after target offset. A major parameter in the prediction of OSM is the time required for attention to be directed to the target following its onset. Object substitution theory (Di Lollo et al. in J Exp Psychol Gen 129:481-507, 2000) predicts that the sooner attention can be focused at the target's location, the less masking will ensue. However, recently Luiga and Bachmann (Psychol Res 71:634-640, 2007) presented evidence that precueing of attention to the target location prior to target-plus-mask onset by means of a central (endogenous) arrow cue does not reduce OSM. When attention was cued exogenously, OSM was attenuated. Based on these results, Luiga and Bachmann argued that object substitution theory should be adapted by differentiating the ways of directing attention to the target location. The goal of the present study was to further examine the dissociation between the effects of endogenous and exogenous precueing on OSM. Contrary to Luiga and Bachmann, our results show that prior shifts of attention to the target location initiated by both exogenous and endogenous cues reduce OSM as predicted by object substitution theory and its computational model CMOS.

  15. A novel anti-influenza copper oxide containing respiratory face mask.

    Science.gov (United States)

    Borkow, Gadi; Zhou, Steve S; Page, Tom; Gabbay, Jeffrey

    2010-06-25

    Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10)TCID(50) of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were masks 5.03+/-0.54 log(10)TCID(50). The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks may significantly reduce the risk of hand or environmental contamination, and thereby subsequent infection, due to improper handling and disposal of the masks.

  16. Development of movable mask system to cope with high beam current

    International Nuclear Information System (INIS)

    Suetsugu, Y.; Shibata, K.; Sanami, T.; Kageyama, T.; Takeuchi, Y.

    2003-01-01

    The KEK B factory (KEKB), a high current electron-positron collider, has a movable mask (or collimator) system to reduce the background noise in the BELLE detector coming from spent particles. The early movable masks, however, had severe problems of heating, arcing, and vacuum leaks over the stored beam current of several hundred mA. The cause is intense trapped higher order modes (HOMs) excited at the mask head, where the cross section of the beam chamber changed drastically. The mask head, made of copper-tungsten alloy or pure copper, was frequently damaged by hitting of the high energy beam at the same time. Since the problems of the mask were revealed, several kinds of improved masks have been designed employing rf technologies in dealing with the HOM and installed to the ring step by step. Much progress has come from adopting a trapped-mode free structure, where the mask was a bent chamber itself. Recently the further improved mask with a reduced HOM design or HOM dampers was developed to suppress the heating of vacuum components near the mask due to the HOM traveling from the mask. To avoid damage to the mask head, on the other hand, a titanium mask head was tried. The latest masks are working as expected now at the stored beam current of 1.5 A. Presented are the problems and experiences on the movable mask system for the KEKB, which are characteristic of and common in a high intensity accelerator

  17. Self-masking subtraction tomosynthesis

    International Nuclear Information System (INIS)

    Chakraborty, D.P.; Yester, M.V.; Barnes, G.T.; Lakshminarayanan, A.V.

    1984-01-01

    The authors tested the image quality and dose savings of self-masking subtraction tomosynthesis (SST), which combines digital tomosynthesis with subtraction of a blurred self-mask. High-quality images of the inner ear of a head phantom were obtained at moderate dose savings. Although they were taken with linear motion, they did not exhibit the streaking due to off-fulcrum objects that is characteristic of conventional linear tomography. SST could reduce patient dose by a factor of at least 12 in examinations of the inner ear, and the mechanical aspects can be implemented with moderate modifications of existing instrumentation

  18. Evaluation of Criteria to Detect Masked Hypertension

    Science.gov (United States)

    Booth, John N.; Muntner, Paul; Diaz, Keith M.; Viera, Anthony J.; Bello, Natalie A.; Schwartz, Joseph E.; Shimbo, Daichi

    2016-01-01

    The prevalence of masked hypertension, out-of-clinic daytime systolic/diastolic blood pressure (SBP/DBP)≥135/85 mmHg on ambulatory blood pressure monitoring (ABPM) among adults with clinic SBP/DBPABPM testing criterion. In a derivation cohort (n=695), the index was clinic SBP+1.3*clinic DBP. In an external validation cohort (n=675), the sensitivity for masked hypertension using an index ≥190 mmHg and ≥217 mmHg and prehypertension status was 98.5%, 71.5% and 82.5%, respectively. Using NHANES data (n=11,778), we estimated that these thresholds would refer 118.6, 44.4 and 59.3 million US adults, respectively, to ABPM screening for masked hypertension. In conclusion, the CBP index provides a useful approach to identify candidates for masked hypertension screening using ABPM. PMID:27126770

  19. Reusable High Aspect Ratio 3-D Nickel Shadow Mask

    Science.gov (United States)

    Shandhi, M.M.H.; Leber, M.; Hogan, A.; Warren, D.J.; Bhandari, R.; Negi, S.

    2017-01-01

    Shadow Mask technology has been used over the years for resistless patterning and to pattern on unconventional surfaces, fragile substrate and biomaterial. In this work, we are presenting a novel method to fabricate high aspect ratio (15:1) three-dimensional (3D) Nickel (Ni) shadow mask with vertical pattern length and width of 1.2 mm and 40 μm respectively. The Ni shadow mask is 1.5 mm tall and 100 μm wide at the base. The aspect ratio of the shadow mask is 15. Ni shadow mask is mechanically robust and hence easy to handle. It is also reusable and used to pattern the sidewalls of unconventional and complex 3D geometries such as microneedles or neural electrodes (such as the Utah array). The standard Utah array has 100 active sites at the tip of the shaft. Using the proposed high aspect ratio Ni shadow mask, the Utah array can accommodate 300 active sites, 200 of which will be along and around the shaft. The robust Ni shadow mask is fabricated using laser patterning and electroplating techniques. The use of Ni 3D shadow mask will lower the fabrication cost, complexity and time for patterning out-of-plane structures. PMID:29056835

  20. [Patients' reaction to pharmacists wearing a mask during their consultations].

    Science.gov (United States)

    Tamura, Eri; Kishimoto, Keiko; Fukushima, Noriko

    2013-01-01

      This study sought to determine the effect of pharmacists wearing a mask on the consultation intention of patients who do not have a trusting relationship with the pharmacists. We conducted a questionnaire survey of customers at a Tokyo drugstore in August 2012. Subjects answered a questionnaire after watching two medical teaching videos, one in which the pharmacist was wearing a mask and the other in which the pharmacist was not wearing a mask. Data analysis was performed using a paired t-test and multiple logistic regression. The paired t-test revealed a significant difference in 'Maintenance Problem' between the two pharmacist situations. After excluding factors not associated with wearing a mask, multiple logistic regression analysis identified three independent variables with a significant effect on participants not wanting to consult with a pharmacist wearing a mask. Positive factors were 'active-inactive' and 'frequency mask use', a negative factor was 'age'. Our study has shown that pharmacists wearing a mask may be a factor that prevents patients from consulting with pharmacist. Those patients whose intention to consult might be affected by the pharmacists wearing a mask tended to be younger, to have no habit of wearing masks preventively themselves, and to form a negative opinion of such pharmacists. Therefore, it was estimated that pharmacists who wear masks need to provide medical education by asking questions more positively than when they do not wear a mask in order to prevent the patient worrying about oneself.

  1. Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    Science.gov (United States)

    Newman, Kevin Edward; Belikov, Ruslan; Guyon, Olivier; Balasubramanian, Kunjithapatham; Wilson, Dan

    2013-01-01

    Recent advances in coronagraph technologies for exoplanet imaging have achieved contrasts close to 1e10 at 4 lambda/D and 1e-9 at 2 lambda/D in monochromatic light. A remaining technological challenge is to achieve high contrast in broadband light; a challenge that is largely limited by chromaticity of the focal plane mask. The size of a star image scales linearly with wavelength. Focal plane masks are typically the same size at all wavelengths, and must be sized for the longest wavelength in the observational band to avoid starlight leakage. However, this oversized mask blocks useful discovery space from the shorter wavelengths. We present here the design, development, and testing of an achromatic focal plane mask based on the concept of optical filtering by a diffractive optical element (DOE). The mask consists of an array of DOE cells, the combination of which functions as a wavelength filter with any desired amplitude and phase transmission. The effective size of the mask scales nearly linearly with wavelength, and allows significant improvement in the inner working angle of the coronagraph at shorter wavelengths. The design is applicable to almost any coronagraph configuration, and enables operation in a wider band of wavelengths than would otherwise be possible. We include initial results from a laboratory demonstration of the mask with the Phase Induced Amplitude Apodization coronagraph.

  2. The effect of masking in the attentional dwell time paradigm

    DEFF Research Database (Denmark)

    Petersen, Anders

    2009-01-01

    , 1994). In most studies of attentional dwell time, two masked targets have been used. Moore et al. (1996) have criticised the masking of the first target when measuring the attentional dwell time, finding a shorter attentional dwell time when the first mask was omitted. In the presented work, the effect...... of the first mask is further investigated by including a condition where the first mask is presented without a target. The results from individual subjects show that the findings of Moore et al. can be replicated. The results also suggest that presenting the first mask without a target is enough to produce...... an impairment of the second target. Hence, the attentional dwell time may be a combined effect arising from attending to both the first target and its mask....

  3. Quality of patient positioning during cerebral tomotherapy irradiation using different mask systems

    Energy Technology Data Exchange (ETDEWEB)

    Leitzen, C.; Wilhelm-Buchstab, T.; Garbe, S.; Luetter, C.; Muedder, T.; Simon, B.; Schild, H.H.; Schueller, H. [Universitaetsklinik Bonn, Radiologische Klinik, FE Strahlentherapie, Bonn (Germany)

    2014-04-15

    Patient immobilization during brain tumor radiotherapy is achieved by employing different mask systems. Two innovative mask systems were developed to minimize the problems of claustrophobic patients. Our aim was to evaluate whether the quality of patient immobilization using the new mask systems was equivalent to the standard mask system currently in use. Thirty-three patients with cerebral target volumes were irradiated using the Hi-Art II tomotherapy system between 2010 and 2012. Each group of 11 patients was fitted with one of the two new mask systems (Crystal {sup registered} or Open Face {sup registered} mask, Orfit) or the standard three-point mask (Raycast {sup registered} -HP, Orfit) and a total of 557 radiotherapy fractions were evaluated. After positioning was checked by MV-CT, the necessary table adjustments were noted. Data were analyzed by comparing the groups, and safety margins were calculated for nonimage-guided irradiation. The mean values of the table adjustments were: (a) lateral (mm): -0.22 (mask 1, standard deviation (σ): 2.15); 1.1 (mask 2, σ: 2.4); -0.64 (mask 3, σ: 2.9); (b) longitudinal (mm): -1 (mask 1, σ: 2.57); -0.5 (mask 2, σ: 4.7); -1.22 (mask 3, σ: 2.52); (c) vertical (mm): 0.62 (mask 1, σ: 0.63); 1.2 (mask 2, σ: 1.0); 0.57 (mask 3, σ: 0.28); (d) roll: 0.35 (mask 1, σ: 0.75); 0 (mask 2, σ: 0.8); 0.02 (mask 3, σ: 1.12). The outcomes suggest necessary safety margins of 5.49-7.38 mm (lateral), 5.4-6.56 mm (longitudinal), 0.82-3.9 mm (vertical), and 1.93-4.5 (roll). There were no significant differences between the groups. The new mask systems improve patient comfort while providing consistent patient positioning. (orig.)

  4. Quality of patient positioning during cerebral tomotherapy irradiation using different mask systems

    International Nuclear Information System (INIS)

    Leitzen, C.; Wilhelm-Buchstab, T.; Garbe, S.; Luetter, C.; Muedder, T.; Simon, B.; Schild, H.H.; Schueller, H.

    2014-01-01

    Patient immobilization during brain tumor radiotherapy is achieved by employing different mask systems. Two innovative mask systems were developed to minimize the problems of claustrophobic patients. Our aim was to evaluate whether the quality of patient immobilization using the new mask systems was equivalent to the standard mask system currently in use. Thirty-three patients with cerebral target volumes were irradiated using the Hi-Art II tomotherapy system between 2010 and 2012. Each group of 11 patients was fitted with one of the two new mask systems (Crystal registered or Open Face registered mask, Orfit) or the standard three-point mask (Raycast registered -HP, Orfit) and a total of 557 radiotherapy fractions were evaluated. After positioning was checked by MV-CT, the necessary table adjustments were noted. Data were analyzed by comparing the groups, and safety margins were calculated for nonimage-guided irradiation. The mean values of the table adjustments were: (a) lateral (mm): -0.22 (mask 1, standard deviation (σ): 2.15); 1.1 (mask 2, σ: 2.4); -0.64 (mask 3, σ: 2.9); (b) longitudinal (mm): -1 (mask 1, σ: 2.57); -0.5 (mask 2, σ: 4.7); -1.22 (mask 3, σ: 2.52); (c) vertical (mm): 0.62 (mask 1, σ: 0.63); 1.2 (mask 2, σ: 1.0); 0.57 (mask 3, σ: 0.28); (d) roll: 0.35 (mask 1, σ: 0.75); 0 (mask 2, σ: 0.8); 0.02 (mask 3, σ: 1.12). The outcomes suggest necessary safety margins of 5.49-7.38 mm (lateral), 5.4-6.56 mm (longitudinal), 0.82-3.9 mm (vertical), and 1.93-4.5 (roll). There were no significant differences between the groups. The new mask systems improve patient comfort while providing consistent patient positioning. (orig.)

  5. a Thz Photomixing Synthesizer Based on a Fiber Frequency Comb for High Resolution Rotational Spectroscopy

    Science.gov (United States)

    Hindle, Francis; Mouret, Gael; Cuisset, Arnaud; Yang, Chun; Eliet, Sophie; Bocquet, Robin

    2010-06-01

    To date the principal application for photomixing sources has been for high resolution spectroscopy of gases due to the large tuning range and spectral purity. New Developments of the Opto-Electronic THz Spectrometer have been performed in order to obtain a powerful tool for High-Resolution Spectroscopy. The combination of two extended cavity laser diodes and fast charge carrier lifetime semiconductor materials has allowed a continuous-wave THz spectrometer to be constructed based on optical heterodyning. Unlike many THz sources, this instrument gives access to all frequencies in the range 0.3 to 3.5 THz with a resolution of 1 MHz. The main spectroscopic applications of this spectrometer were dedicated to line profile analysis of rotational transitions referenced in the spectroscopic databases. One limitation of the THz spectrometer was accuracy with which the generated frequency is known. Recently, this obstacle has been circled with the construction of a photomixing spectrometer where the two pump lasers are phase locked to two modes of a repetition rate stabilized frequency doubled fiber laser frequency comb. In order to achieve a tuning range in excess to 100 MHz a third cw laser was required in the new configuration of the THz spectrometer. To assess the performances of this instrument, the frequencies of the pure rotational transitions of OCS molecules have been measured between 0,8 to 1,2 THz. A rms inferior to 100 kHz, deduced from the frequencies measured, demonstrates that the THz photomixing synthesizer is now able to be competitive with microwave and submillimeter techniques. S. Matton, F. Rohart, R. Bocquet, D. Bigourd, A. Cuisset, F. Hindle, G. Mouret, J. Mol. Spectrosc., 2006, 239: 182. C. Yang, J. Buldyreva, I. E. Gordon, F. Rohart, A. Cuisset, G. Mouret, R. Bocquet, F. Hindle, J. Quant. Spectrosc. Radiat. Transfer, 2008, 109: 2857. G. Mouret, F. Hindle, A. Cuisset, C. Yang, R. Bocquet, M. Lours, D. Rovera, Opt. Express, 2009, 17: 22031.

  6. Counteracting Power Analysis Attacks by Masking

    Science.gov (United States)

    Oswald, Elisabeth; Mangard, Stefan

    The publication of power analysis attacks [12] has triggered a lot of research activities. On the one hand these activities have been dedicated toward the development of secure and efficient countermeasures. On the other hand also new and improved attacks have been developed. In fact, there has been a continuous arms race between designers of countermeasures and attackers. This chapter provides a brief overview of the state-of-the art in the arms race in the context of a countermeasure called masking. Masking is a popular countermeasure that has been extensively discussed in the scientific community. Numerous articles have been published that explain different types of masking and that analyze weaknesses of this countermeasure.

  7. Differential effect of visual masking in perceptual categorization.

    Science.gov (United States)

    Hélie, Sébastien; Cousineau, Denis

    2015-06-01

    This article explores the visual information used to categorize stimuli drawn from a common stimulus space into verbal and nonverbal categories using 2 experiments. Experiment 1 explores the effect of target duration on verbal and nonverbal categorization using backward masking to interrupt visual processing. With categories equated for difficulty for long and short target durations, intermediate target duration shows an advantage for verbal categorization over nonverbal categorization. Experiment 2 tests whether the results of Experiment 1 can be explained by shorter target duration resulting in a smaller signal-to-noise ratio of the categorization stimulus. To test for this possibility, Experiment 2 used integration masking with the same stimuli, categories, and masks as Experiment 1 with a varying level of mask opacity. As predicted, low mask opacity yielded similar results to long target duration while high mask opacity yielded similar results to short target duration. Importantly, intermediate mask opacity produced an advantage for verbal categorization over nonverbal categorization, similar to intermediate target duration. These results suggest that verbal and nonverbal categorization are affected differently by manipulations affecting the signal-to-noise ratio of the stimulus, consistent with multiple-system theories of categorizations. The results further suggest that verbal categorization may be more digital (and more robust to low signal-to-noise ratio) while the information used in nonverbal categorization may be more analog (and less robust to lower signal-to-noise ratio). This article concludes with a discussion of how these new results affect the use of masking in perceptual categorization and multiple-system theories of perceptual category learning. (c) 2015 APA, all rights reserved).

  8. Propagation of resist heating mask error to wafer level

    Science.gov (United States)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  9. A conceptual approach to the masking effect of measures of disproportionality.

    Science.gov (United States)

    Maignen, Francois; Hauben, Manfred; Hung, Eric; Holle, Lionel Van; Dogne, Jean-Michel

    2014-02-01

    Masking is a statistical issue by which true signals of disproportionate reporting are hidden by the presence of other products in the database. Masking is currently not perfectly understood. There is no algorithm to identify the potential masking drugs to remove them for subsequent analyses of disproportionality. The primary objective of our study is to develop a mathematical framework for assessing the extent and impact of the masking effect of measures of disproportionality. We have developed a masking ratio that quantifies the masking effect of a given product. We have conducted a simulation study to validate our algorithm. The masking ratio is a measure of the strength of the masking effect whether the analysis is performed at the report or event level, and the manner in which reports are allocated to cells in the contingency table significantly impact the masking mechanisms. The reports containing both the product of interest and the masking product need to be handled appropriately. The proposed algorithm can use simplified masking provided that underlying assumptions (in particular the size of the database) are verified. For any event, the strongest masking effect is associated with the drug with the highest number of records (reports excluding the product of interest). Our study provides significant insights with practical implications for real-world pharmacovigilance that are supported by both real and simulated data. The public health impact of masking is still unknown. Copyright © 2013 John Wiley & Sons, Ltd.

  10. X-ray face mask and chest shield device

    International Nuclear Information System (INIS)

    Moti, S.

    1981-01-01

    A protective face mask is designed to shield an x-ray technician or machine operator primarily from random secondary or scatter x-rays deflected towards his face, head and neck by the table, walls, equipment and other reflecting elements in an x-ray room or chamber. The face mask and chest shield device can be mounted on a patient's shoulders in reverse attitude to protect the back of a patient's head and neck from the x-ray beam. The face mask is relatively or substantially transparent and contains lead in combination with a plastic ionomer or comonomer, which to a degree absorbs or resists penetration of the random deflected secondary or scatter x-rays or the x-ray beam through the mask. The face mask is removably attachable to the chest shield for easy application of the device to and support upon the shoulders of the technician or the patient. (author)

  11. Random mask optimization for fast neutron coded aperture imaging

    Energy Technology Data Exchange (ETDEWEB)

    McMillan, Kyle [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Univ. of California, Los Angeles, CA (United States); Marleau, Peter [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Brubaker, Erik [Sandia National Lab. (SNL-CA), Livermore, CA (United States)

    2015-05-01

    In coded aperture imaging, one of the most important factors determining the quality of reconstructed images is the choice of mask/aperture pattern. In many applications, uniformly redundant arrays (URAs) are widely accepted as the optimal mask pattern. Under ideal conditions, thin and highly opaque masks, URA patterns are mathematically constructed to provide artifact-free reconstruction however, the number of URAs for a chosen number of mask elements is limited and when highly penetrating particles such as fast neutrons and high-energy gamma-rays are being imaged, the optimum is seldom achieved. In this case more robust mask patterns that provide better reconstructed image quality may exist. Through the use of heuristic optimization methods and maximum likelihood expectation maximization (MLEM) image reconstruction, we show that for both point and extended neutron sources a random mask pattern can be optimized to provide better image quality than that of a URA.

  12. Perception of Scary Halloween Masks by Zoo Animals and Humans

    OpenAIRE

    Sinnott, Joan M.; Speaker, H. Anton; Powell, Laura A.; Mosteller, Kelly W.

    2012-01-01

    Zoo animals were tested to see if they perceived the scary nature of Halloween masks, using a procedure that measured the avoidance response latency to take food from a masked human experimenter. Human perception of the masks was also assessed using a rating scale, with results showing that a Bill Clinton mask was rated not scary, while a Vampire mask was rated very scary. Animal results showed that primate latencies correlated significantly with the human ratings, while non-primate latencies...

  13. New method of 2-dimensional metrology using mask contouring

    Science.gov (United States)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  14. Contact printed masks for 3D microfabrication in negative resists

    DEFF Research Database (Denmark)

    Häfliger, Daniel; Boisen, Anja

    2005-01-01

    We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded into the ......We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded...... into the negative resist to protect buried material from UV-exposure. Unlike direct evaporation-deposition of a mask onto the SU-8, printing avoids high stress and radiation, thus preventing resist wrinkling and prepolymerization. We demonstrate effective monolithic fabrication of soft, 4-μm thick and 100-μm long...

  15. Masking interrupts figure-ground signals in V1.

    Science.gov (United States)

    Lamme, Victor A F; Zipser, Karl; Spekreijse, Henk

    2002-10-01

    In a backward masking paradigm, a target stimulus is rapidly (figure-ground segregation can be recorded. Here, we recorded from awake macaque monkeys, engaged in a task where they had to detect figures from background in a pattern backward masking paradigm. We show that the V1 figure-ground signals are selectively and fully suppressed at target-mask intervals that psychophysically result in the target being invisible. Initial response transients, signalling the features that make up the scene, are not affected. As figure-ground modulations depend on feedback from extrastriate areas, these results suggest that masking selectively interrupts the recurrent interactions between V1 and higher visual areas.

  16. Mechanical and thermal modeling of the SCALPEL mask

    International Nuclear Information System (INIS)

    Martin, C. J.; Semke, W. H.; Dicks, G. A.; Engelstad, R. L.; Lovell, E. G.; Liddle, J. A.; Novembre, A. E.

    1999-01-01

    Scattering with angular limitation projection electron-beam lithography (SCALPEL) is being developed by Lucent Technologies for sub-130 nm lithography. The mask fabrication and exposure processes produce mask distortions that result in pattern placement errors. In order to understand these distortions, and determine how to reduce them to levels consistent with the error budget, structural and heat transfer finite element models have been generated to simulate the mechanical and thermal response of the mask. In addition, sensitivity studies of the distortions due to key design parameters that may be used to refine the SCALPEL mask configuration have been conducted. (c) 1999 American Vacuum Society

  17. Improvement of radiographs by means of optical masks

    International Nuclear Information System (INIS)

    Shishov, B.A.; Tereshenko, O.I.; Tyurin, E.I.

    1985-01-01

    High-gradient photographic material improves contrast and detectability of small details. Parts of the radiographs will however tend to be over- or underexposed. The recorded information can be improved by optical masks that modify the light in various parts of the image according to film sensitivity. For screen-film systems an immediate correction of the image by inserted masks results in a better recording of details while the well known detail filtering process improves only the visual detectability of the already recorded information. A special cassette for the generation of masks and a method for the calculation of correction factors for various screen combinations and masks types are described. (author)

  18. Active mask segmentation of fluorescence microscope images.

    Science.gov (United States)

    Srinivasa, Gowri; Fickus, Matthew C; Guo, Yusong; Linstedt, Adam D; Kovacević, Jelena

    2009-08-01

    We propose a new active mask algorithm for the segmentation of fluorescence microscope images of punctate patterns. It combines the (a) flexibility offered by active-contour methods, (b) speed offered by multiresolution methods, (c) smoothing offered by multiscale methods, and (d) statistical modeling offered by region-growing methods into a fast and accurate segmentation tool. The framework moves from the idea of the "contour" to that of "inside and outside," or masks, allowing for easy multidimensional segmentation. It adapts to the topology of the image through the use of multiple masks. The algorithm is almost invariant under initialization, allowing for random initialization, and uses a few easily tunable parameters. Experiments show that the active mask algorithm matches the ground truth well and outperforms the algorithm widely used in fluorescence microscopy, seeded watershed, both qualitatively, as well as quantitatively.

  19. Automatic control unit for A neutron diffraction crystal spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Adib, M.; Abbas, Y.; Mostafa, M.; Hamouda, I.

    1982-01-01

    An automatic transistorized unit has been designed and constructed to control the operation of the double axis crystal spectrometer installed in front of one of the horizontal channels of the ET-RR-1 reactor. The function of the automatic unit is to store the diffracted neutrons at a certain angle with respect to the direction of the incident neutron beam in a selected channel of a 1024-multichannel analyzer for a certain preadjusted time period. AT the end of this time period the unit rotates the spectrometer's arm to another angle, selects the next channel of the MCA and provides the measurement of the diffracted neutron for the same time period. Such a sequence is repeated automatically over all angles required for the neutron diffraction pattern of the sample under investigation. As a result, the stored information at the MCA provides the neutron diffraction pattern as a function of channel number, where each channel corresponds to a certain scattering angle. The stored distribution at MCA can be obtained through the analyzer read out unit. The designed automatic unit has the possibility of providing the neutron diffraction pattern using a 6-digit scaler and a printer.

  20. Dead space variability of face masks for valved holding chambers.

    Science.gov (United States)

    Amirav, Israel; Newhouse, Michael T

    2008-03-01

    Valved holding chambers with masks are commonly used to deliver inhaled medications to young children with asthma. Optimal mask properties such as their dead space volume have received little attention. The smaller the mask the more likely it is that a greater proportion of the dose in the VHC will be inhaled with each breath, thus speeding VHC emptying and improving overall aerosol delivery efficiency and dose. Masks may have different DSV and thus different performance. To compare both physical dead space and functional dead space of different face masks under various applied pressures. The DSV of three commonly used face masks of VHCs was measured by water displacement both under various pressures (to simulate real-life application, dynamic DSV) and under no pressure (static DSV). There was a great variability of both static and dynamic dead space among various face mask for VHCs, which is probably related to their flexibility. Different masks have different DSV characteristics. This variability should be taken into account when comparing the clinical efficacy of various VHCs.

  1. A novel anti-influenza copper oxide containing respiratory face mask.

    Directory of Open Access Journals (Sweden)

    Gadi Borkow

    Full Text Available BACKGROUND: Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. METHODOLOGY/PRINCIPAL FINDINGS: We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10TCID(50 of human influenza A virus (H1N1 and avian influenza virus (H9N2, respectively, under simulated breathing conditions (28.3 L/min. Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (< or = 0.88 log(10TCID(50, while 4.67+/-1.35 log(10TCID(50 were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were < or = 0.97+/-0.01 log(10TCID(50 and from the control masks 5.03+/-0.54 log(10TCID(50. The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. CONCLUSIONS/SIGNIFICANCE: Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical

  2. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  3. Negative ion source improvement by introduction of a shutter mask

    International Nuclear Information System (INIS)

    Belchenko, Yu.I.; Oka, Y.; Kaneko, O.; Takeiri, Y.; Tsumori, K.; Osakabe, M.; Ikeda, K.; Asano, E.; Kawamoto, T.

    2004-01-01

    Studies of a multicusp source were recently done at the National Institute for Fusion Science by plasma grid masking. The maximal H - ion yield is ∼1.4 times greater for the shutter mask case than that for the standard source. Negative ion current evolution during the cesium feed to the masked plasma grid evidenced that about 60% of negative ions are produced on the shutter mask surface, while about 30% are formed on the plasma grid emission hole edges, exposed by cesium with the mask open

  4. Airflow-Restricting Mask Reduces Acute Performance in Resistance Exercise

    Directory of Open Access Journals (Sweden)

    Yuri L. Motoyama

    2016-09-01

    Full Text Available Background: The aim of this study was to compare the number of repetitions to volitional failure, the blood lactate concentration, and the perceived exertion to resistance training with and without an airflow-restricting mask. Methods: Eight participants participated in a randomized, counterbalanced, crossover study. Participants were assigned to an airflow-restricting mask group (MASK or a control group (CONT and completed five sets of chest presses and parallel squats until failure at 75% one-repetition-maximum test (1RM with 60 s of rest between sets. Ratings of perceived exertion (RPEs, blood lactate concentrations (Lac−, and total repetitions were taken after the training session. Results: MASK total repetitions were lower than those of the CONT, and (Lac− and MASK RPEs were higher than those of the CONT in both exercises. Conclusions: We conclude that an airflow-restricting mask in combination with resistance training increase perceptions of exertion and decrease muscular performance and lactate concentrations when compared to resistance training without this accessory. This evidence shows that the airflow-restricting mask may change the central nervous system and stop the exercise beforehand to prevent some biological damage.

  5. Neopuff T-piece resuscitator mask ventilation: Does mask leak vary with different peak inspiratory pressures in a manikin model?

    Science.gov (United States)

    Maheshwari, Rajesh; Tracy, Mark; Hinder, Murray; Wright, Audrey

    2017-08-01

    The aim of this study was to compare mask leak with three different peak inspiratory pressure (PIP) settings during T-piece resuscitator (TPR; Neopuff) mask ventilation on a neonatal manikin model. Participants were neonatal unit staff members. They were instructed to provide mask ventilation with a TPR with three PIP settings (20, 30, 40 cm H 2 O) chosen in a random order. Each episode was for 2 min with 2-min rest period. Flow rate and positive end-expiratory pressure (PEEP) were kept constant. Airway pressure, inspiratory and expiratory tidal volumes, mask leak, respiratory rate and inspiratory time were recorded. Repeated measures analysis of variance was used for statistical analysis. A total of 12 749 inflations delivered by 40 participants were analysed. There were no statistically significant differences (P > 0.05) in the mask leak with the three PIP settings. No statistically significant differences were seen in respiratory rate and inspiratory time with the three PIP settings. There was a significant rise in PEEP as the PIP increased. Failure to achieve the desired PIP was observed especially at the higher settings. In a neonatal manikin model, the mask leak does not vary as a function of the PIP when the flow rate is constant. With a fixed rate and inspiratory time, there seems to be a rise in PEEP with increasing PIP. © 2017 Paediatrics and Child Health Division (The Royal Australasian College of Physicians).

  6. Optical performances of the FM JEM-X masks

    Science.gov (United States)

    Reglero, V.; Rodrigo, J.; Velasco, T.; Gasent, J. L.; Chato, R.; Alamo, J.; Suso, J.; Blay, P.; Martínez, S.; Doñate, M.; Reina, M.; Sabau, D.; Ruiz-Urien, I.; Santos, I.; Zarauz, J.; Vázquez, J.

    2001-09-01

    The JEM-X Signal Multiplexing Systems are large HURA codes "written" in a pure tungsten plate 0.5 mm thick. 24.247 hexagonal pixels (25% open) are spread over a total area of 535 mm diameter. The tungsten plate is embedded in a mechanical structure formed by a Ti ring, a pretensioning system (Cu-Be) and an exoskeleton structure that provides the required stiffness. The JEM-X masks differ from the SPI and IBIS masks on the absence of a code support structure covering the mask assembly. Open pixels are fully transparent to X-rays. The scope of this paper is to report the optical performances of the FM JEM-X masks defined by uncertainties on the pixel location (centroid) and size coming from the manufacturing and assembly processes. Stability of the code elements under thermoelastic deformations is also discussed. As a general statement, JEM-X Mask optical properties are nearly one order of magnitude better than specified in 1994 during the ESA instrument selection.

  7. Comodulation masking release in bit-rate reduction systems

    DEFF Research Database (Denmark)

    Vestergaard, Martin David; Rasmussen, Karsten Bo; Poulsen, Torben

    1999-01-01

    It has been suggested that the level dependence of the upper masking slope be utilized in perceptual models in bit-rate reduction systems. However, comodulation masking release (CMR) phenomena lead to a reduction of the masking effect when a masker and a probe signal are amplitude modulated...... with the same frequency. In bit-rate reduction systems the masker would be the audio signal and the probe signal would represent the quantization noise. Masking curves have been determined for sinusoids and 1-Bark-wide noise maskers in order to investigate the risk of CMR, when quantizing depths are fixed...... in accordance with psycho-acoustical principles. Masker frequencies of 500 Hz, 1 kHz, and 2 kHz have been investigated, and the masking of pure tone probes has been determined in the first four 1/3 octaves above the masker. Modulation frequencies between 6 and 20 Hz were used with a modulation depth of 0...

  8. Masking responses to light in period mutant mice.

    Science.gov (United States)

    Pendergast, Julie S; Yamazaki, Shin

    2011-10-01

    Masking is an acute effect of an external signal on an overt rhythm and is distinct from the process of entrainment. In the current study, we investigated the phase dependence and molecular mechanisms regulating masking effects of light pulses on spontaneous locomotor activity in mice. The circadian genes, Period1 (Per1) and Per2, are necessary components of the timekeeping machinery and entrainment by light appears to involve the induction of the expression of Per1 and Per2 mRNAs in the suprachiasmatic nuclei (SCN). We assessed the roles of the Per genes in regulating masking by assessing the effects of light pulses on nocturnal locomotor activity in C57BL/6J Per mutant mice. We found that Per1(-/-) and Per2(-/-) mice had robust negative masking responses to light. In addition, the locomotor activity of Per1(-/-)/Per2(-/-) mice appeared to be rhythmic in the light-dark (LD) cycle, and the phase of activity onset was advanced (but varied among individual mice) relative to lights off. This rhythm persisted for 1 to 2 days in constant darkness in some Per1(-/-)/Per2(-/-) mice. Furthermore, Per1(-/-)/Per2(-/-) mice exhibited robust negative masking responses to light. Negative masking was phase dependent in wild-type mice such that maximal suppression was induced by light pulses at zeitgeber time 14 (ZT14) and gradually weaker suppression occurred during light pulses at ZT16 and ZT18. By measuring the phase shifts induced by the masking protocol (light pulses were administered to mice maintained in the LD cycle), we found that the phase responsiveness of Per mutant mice was altered compared to wild-types. Together, our data suggest that negative masking responses to light are robust in Per mutant mice and that the Per1(-/-)/Per2(-/-) SCN may be a light-driven, weak/damping oscillator.

  9. Electrostatic mask for active targets

    International Nuclear Information System (INIS)

    Pancin, J; Gangnant, P; Libin, J-F; Raabe, R; Roger, T; Roussel-Chomaz, P; Gibelin, J; Goth, M

    2012-01-01

    Active gas targets have been used in nuclear physics since 30 years. They are promising systems in view of the new exotic beams soon available at facilities like SPIRAL2 or FAIR, but the system can still be improved. One of the main limitation is the dynamic range in energy deposition. The energy deposited per unit length can be 3 decades higher for the beam than for the light reaction products and the risk to saturate the electronics or that the detector spark are not negligible. A simple solution using a wire plane to mask partially the beam is presented here. Some simulation has been realized and some experimental results are shown confirming the feasibility of this wire tunable mask. The mask can be used from full transparency to full opacity without degrading neither the drift electric field of the chamber nor the performances of detection of the beam or the light products.

  10. Filter penetration and breathing resistance evaluation of respirators and dust masks.

    Science.gov (United States)

    Ramirez, Joel; O'Shaughnessy, Patrick

    2017-02-01

    The primary objective of this study was to compare the filter performance of a representative selection of uncertified dust masks relative to the filter performance of a set of NIOSH-approved N95 filtering face-piece respirators (FFRs). Five different models of commercially available dust masks were selected for this study. Filter penetration of new dust masks was evaluated against a sodium chloride aerosol. Breathing resistance (BR) of new dust masks and FFRs was then measured for 120 min while challenging the dust masks and FFRs with Arizona road dust (ARD) at 25°C and 30% relative humidity. Results demonstrated that a wide range of maximum filter penetration was observed among the dust masks tested in this study (3-75% at the most penetrating particle size (p masks did not vary greatly (8-13 mm H 2 O) but were significantly different (p mask. Microscopic analysis of the external layer of each dust mask and FFR suggests that different collection media in the external layer influences the development of the dust layer and therefore affects the increase in BR differently between the tested models. Two of the dust masks had penetration values masks, those with penetration > 15%, had quality factors ranging between 0.04-0.15 primarily because their initial BR remained relatively high. These results indicate that some dust masks analysed during this research did not have an expected very low BR to compensate for their high penetration.

  11. X-ray face mask and bib device

    International Nuclear Information System (INIS)

    Forshee, D.J.

    1982-01-01

    An x-ray protective face mask is made of a relatively transparent lead containing radiation shielding plastics material, and is removably attachable to a chest or bib shield for application of the device to and support upon the shoulders of a technician or a patient. Alternatively, the face mask is formed of a lens portion supported in a plastics frame, upon the lower portion of which is removably attached a bib shield that in turn is removably attachable to an apron. The frame of the face mask, bib shield and apron are preferably lined with lead sheets to protect the neck, face, chest and body of the technician from the random secondary or scatter x-ray beams. The face mask and bib shield can be formed of a moulded plastics material as a unitary device, the lens portion being attached to the frame therefor. (author)

  12. The SAGE spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Pakarinen, J.; Papadakis, P. [University of Liverpool, Department of Physics, Oliver Lodge Laboratory, Liverpool (United Kingdom); University of Jyvaeskylae, Department of Physics, Jyvaeskylae (Finland); Sorri, J.; Greenlees, P.T.; Jones, P.; Julin, R.; Konki, J.; Rahkila, P.; Sandzelius, M. [University of Jyvaeskylae, Department of Physics, Jyvaeskylae (Finland); Herzberg, R.D.; Butler, P.A.; Cox, D.M.; Cresswell, J.R.; Mistry, A.; Page, R.D.; Parr, E.; Sampson, J.; Seddon, D.A.; Thornhill, J.; Wells, D. [University of Liverpool, Department of Physics, Oliver Lodge Laboratory, Liverpool (United Kingdom); Coleman-Smith, P.J.; Lazarus, I.H.; Letts, S.C.; Pucknell, V.F.E.; Simpson, J. [STFC Daresbury Laboratory, Warrington (United Kingdom)

    2014-03-15

    The SAGE spectrometer has been constructed for in-beam nuclear structure studies. SAGE combines a Ge-detector array and an electron spectrometer for detection of γ-rays and internal conversion electrons, respectively, and allows simultaneous observation of both electrons and γ-rays emitted from excited nuclei. SAGE is set up in the Accelerator Laboratory of the University of Jyvaeskylae and works in conjunction with the RITU gas-filled recoil separator and the GREAT focal-plane spectrometer allowing the use of the recoil-decay tagging method. (orig.)

  13. The SAGE spectrometer

    International Nuclear Information System (INIS)

    Pakarinen, J.; Papadakis, P.; Sorri, J.; Greenlees, P.T.; Jones, P.; Julin, R.; Konki, J.; Rahkila, P.; Sandzelius, M.; Herzberg, R.D.; Butler, P.A.; Cox, D.M.; Cresswell, J.R.; Mistry, A.; Page, R.D.; Parr, E.; Sampson, J.; Seddon, D.A.; Thornhill, J.; Wells, D.; Coleman-Smith, P.J.; Lazarus, I.H.; Letts, S.C.; Pucknell, V.F.E.; Simpson, J.

    2014-01-01

    The SAGE spectrometer has been constructed for in-beam nuclear structure studies. SAGE combines a Ge-detector array and an electron spectrometer for detection of γ-rays and internal conversion electrons, respectively, and allows simultaneous observation of both electrons and γ-rays emitted from excited nuclei. SAGE is set up in the Accelerator Laboratory of the University of Jyvaeskylae and works in conjunction with the RITU gas-filled recoil separator and the GREAT focal-plane spectrometer allowing the use of the recoil-decay tagging method. (orig.)

  14. Mask manufacturing improvement through capability definition and bottleneck line management

    Science.gov (United States)

    Strott, Al

    1994-02-01

    In 1989, Intel's internal mask operation limited itself to research and development activities and re-inspection and pellicle application of externally manufactured masks. Recognizing the rising capital cost of mask manufacturing at the leading edge, Intel's Mask Operation management decided to offset some of these costs by manufacturing more masks internally. This was the beginning of the challenge they set to manufacture at least 50% of Intel's mask volume internally, at world class performance levels. The first step in responding to this challenge was the completion of a comprehensive operation capability analysis. A series of bottleneck improvements by focus teams resulted in an average cycle time improvement to less than five days on all product and less than two days on critical products.

  15. Joint optimization of source, mask, and pupil in optical lithography

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  16. Thermal design and performance of the REgolith x-ray imaging spectrometer (REXIS) instrument

    Science.gov (United States)

    Stout, Kevin D.; Masterson, Rebecca A.

    2014-08-01

    The REgolith X-ray Imaging Spectrometer (REXIS) instrument is a student collaboration instrument on the OSIRIS-REx asteroid sample return mission scheduled for launch in September 2016. The REXIS science mission is to characterize the elemental abundances of the asteroid Bennu on a global scale and to search for regions of enhanced elemental abundance. The thermal design of the REXIS instrument is challenging due to both the science requirements and the thermal environment in which it will operate. The REXIS instrument consists of two assemblies: the spectrometer and the solar X-ray monitor (SXM). The spectrometer houses a 2x2 array of back illuminated CCDs that are protected from the radiation environment by a one-time deployable cover and a collimator assembly with coded aperture mask. Cooling the CCDs during operation is the driving thermal design challenge on the spectrometer. The CCDs operate in the vicinity of the electronics box, but a 130 °C thermal gradient is required between the two components to cool the CCDs to -60 °C in order to reduce noise and obtain science data. This large thermal gradient is achieved passively through the use of a copper thermal strap, a large radiator facing deep space, and a two-stage thermal isolation layer between the electronics box and the DAM. The SXM is mechanically mounted to the sun-facing side of the spacecraft separately from the spectrometer and characterizes the highly variable solar X-ray spectrum to properly interpret the data from the asteroid. The driving thermal design challenge on the SXM is cooling the silicon drift detector (SDD) to below -30 °C when operating. A two-stage thermoelectric cooler (TEC) is located directly beneath the detector to provide active cooling, and spacecraft MLI blankets cover all of the SXM except the detector aperture to radiatively decouple the SXM from the flight thermal environment. This paper describes the REXIS thermal system requirements, thermal design, and analyses, with

  17. Maximizing noise energy for noise-masking studies.

    Science.gov (United States)

    Jules Étienne, Cédric; Arleo, Angelo; Allard, Rémy

    2017-08-01

    Noise-masking experiments are widely used to investigate visual functions. To be useful, noise generally needs to be strong enough to noticeably impair performance, but under some conditions, noise does not impair performance even when its contrast approaches the maximal displayable limit of 100 %. To extend the usefulness of noise-masking paradigms over a wider range of conditions, the present study developed a noise with great masking strength. There are two typical ways of increasing masking strength without exceeding the limited contrast range: use binary noise instead of Gaussian noise or filter out frequencies that are not relevant to the task (i.e., which can be removed without affecting performance). The present study combined these two approaches to further increase masking strength. We show that binarizing the noise after the filtering process substantially increases the energy at frequencies within the pass-band of the filter given equated total contrast ranges. A validation experiment showed that similar performances were obtained using binarized-filtered noise and filtered noise (given equated noise energy at the frequencies within the pass-band) suggesting that the binarization operation, which substantially reduced the contrast range, had no significant impact on performance. We conclude that binarized-filtered noise (and more generally, truncated-filtered noise) can substantially increase the energy of the noise at frequencies within the pass-band. Thus, given a limited contrast range, binarized-filtered noise can display higher energy levels than Gaussian noise and thereby widen the range of conditions over which noise-masking paradigms can be useful.

  18. Long-range tactile masking occurs in the postural body schema.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2016-02-01

    Long-range tactile masking has been reported between mirror symmetric body locations. This suggests a general principle of contralateral inhibition between corresponding points on each side of the body that may serve to enhance distinguishing touches on the two halves of the body. Do such effects occur before or after posture is added to the body schema? Here, we address this question by exploring the effect of arm position on long-range tactile masking. The influence of arm position was investigated using different positions of both the test and masking arms. Tactile sensitivity was measured on one forearm, while vibrotactile-masking stimulation was applied to the opposite arm or to a control site on the shoulder. No difference was found in sensitivity when test arm position was varied. Physical contact between the arms significantly increased the effectiveness of a masking stimulus applied to the other arm. Long-range masking between the arms was strongest when the arms were held parallel to each other and was abolished if the position of either the test arm or the masking arm was moved from this position. Modulation of the effectiveness of masking by the position of both the test and masking arms suggests that these effects occur after posture information is added to the body's representation in the brain.

  19. Estimation of the Ideal Binary Mask using Directional Systems

    DEFF Research Database (Denmark)

    Boldt, Jesper; Kjems, Ulrik; Pedersen, Michael Syskind

    2008-01-01

    The ideal binary mask is often seen as a goal for time-frequency masking algorithms trying to increase speech intelligibility, but the required availability of the unmixed signals makes it difficult to calculate the ideal binary mask in any real-life applications. In this paper we derive the theory...... and the requirements to enable calculations of the ideal binary mask using a directional system without the availability of the unmixed signals. The proposed method has a low complexity and is verified using computer simulation in both ideal and non-ideal setups showing promising results....

  20. A procedure and program to calculate shuttle mask advantage

    Science.gov (United States)

    Balasinski, A.; Cetin, J.; Kahng, A.; Xu, X.

    2006-10-01

    A well-known recipe for reducing mask cost component in product development is to place non-redundant elements of layout databases related to multiple products on one reticle plate [1,2]. Such reticles are known as multi-product, multi-layer, or, in general, multi-IP masks. The composition of the mask set should minimize not only the layout placement cost, but also the cost of the manufacturing process, design flow setup, and product design and introduction to market. An important factor is the quality check which should be expeditious and enable thorough visual verification to avoid costly modifications once the data is transferred to the mask shop. In this work, in order to enable the layer placement and quality check procedure, we proposed an algorithm where mask layers are first lined up according to the price and field tone [3]. Then, depending on the product die size, expected fab throughput, and scribeline requirements, the subsequent product layers are placed on the masks with different grades. The actual reduction of this concept to practice allowed us to understand the tradeoffs between the automation of layer placement and setup related constraints. For example, the limited options of the numbers of layer per plate dictated by the die size and other design feedback, made us consider layer pairing based not only on the final price of the mask set, but also on the cost of mask design and fab-friendliness. We showed that it may be advantageous to introduce manual layer pairing to ensure that, e.g., all interconnect layers would be placed on the same plate, allowing for easy and simultaneous design fixes. Another enhancement was to allow some flexibility in mixing and matching of the layers such that non-critical ones requiring low mask grade would be placed in a less restrictive way, to reduce the count of orphan layers. In summary, we created a program to automatically propose and visualize shuttle mask architecture for design verification, with

  1. Effects of temporal integration on the shape of visual backward masking functions.

    Science.gov (United States)

    Francis, Gregory; Cho, Yang Seok

    2008-10-01

    Many studies of cognition and perception use a visual mask to explore the dynamics of information processing of a target. Especially important in these applications is the time between the target and mask stimuli. A plot of some measure of target visibility against stimulus onset asynchrony is called a masking function, which can sometimes be monotonic increasing but other times is U-shaped. Theories of backward masking have long hypothesized that temporal integration of the target and mask influences properties of masking but have not connected the influence of integration with the shape of the masking function. With two experiments that vary the spatial properties of the target and mask, the authors provide evidence that temporal integration of the stimuli plays a critical role in determining the shape of the masking function. The resulting data both challenge current theories of backward masking and indicate what changes to the theories are needed to account for the new data. The authors further discuss the implication of the findings for uses of backward masking to explore other aspects of cognition.

  2. REFIR/BB initial observations in the water vapour rotational band: Results from a field campaign

    International Nuclear Information System (INIS)

    Esposito, F.; Grieco, G.; Leone, L.; Restieri, R.; Serio, C.; Bianchini, G.; Palchetti, L.; Pellegrini, M.; Cuomo, V.; Masiello, G.; Pavese, G.

    2007-01-01

    There is a growing interest in the far infrared spectral region 17-50 μm as a remote sensing tool in atmospheric sciences, since this portion of the spectrum contains the characteristic molecular rotational band for water vapour. Much of the Earth energy lost to space is radiated through this spectral region. The Radiation Explorer in the Far InfraRed Breadboard (REFIR/BB) spectrometer was born because of the quest to make observations in the far infrared. REFIR/BB is a Fourier Transform Spectrometer with a sampling resolution of 0.5 cm -1 and it was tested for the first time in the field to check its reliability and radiometric performance. The field campaign was held at Toppo di Castelgrande (40 o 49' N, 15 o 27' E, 1258 m a. s. l.), a mountain site in South Italy. The spectral and radiometric performance of the instrument and initial observations are shown in this paper. Comparisons to both (1) BOMEM MR100 Fourier Transform spectrometer observations and (2) line-by-line radiative transfer calculations for selected clear sky are presented and discussed. These comparisons (1) show a very nice agreement between radiance measured by REFIR/BB and by BOMEM MR100 and (2) demonstrate that REFIR/BB accurately observes the very fine spectral structure in the water vapour rotational band

  3. Software error masking effect on hardware faults

    International Nuclear Information System (INIS)

    Choi, Jong Gyun; Seong, Poong Hyun

    1999-01-01

    Based on the Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (VHDL), in this work, a simulation model for fault injection is developed to estimate the dependability of the digital system in operational phase. We investigated the software masking effect on hardware faults through the single bit-flip and stuck-at-x fault injection into the internal registers of the processor and memory cells. The fault location reaches all registers and memory cells. Fault distribution over locations is randomly chosen based on a uniform probability distribution. Using this model, we have predicted the reliability and masking effect of an application software in a digital system-Interposing Logic System (ILS) in a nuclear power plant. We have considered four the software operational profiles. From the results it was found that the software masking effect on hardware faults should be properly considered for predicting the system dependability accurately in operation phase. It is because the masking effect was formed to have different values according to the operational profile

  4. UNMASKING MASKED HYPERTENSION: PREVALENCE, CLINICAL IMPLICATIONS, DIAGNOSIS, CORRELATES, AND FUTURE DIRECTIONS

    Science.gov (United States)

    Peacock, James; Diaz, Keith M.; Viera, Anthony J.; Schwartz, Joseph E.; Shimbo, Daichi

    2014-01-01

    Masked hypertension’ is defined as having non-elevated clinic blood pressure (BP) with elevated out-of-clinic average BP, typically determined by ambulatory BP monitoring. Approximately 15–30% of adults with non-elevated clinic BP have masked hypertension. Masked hypertension is associated with increased risks of cardiovascular morbidity and mortality compared to sustained normotension (non-elevated clinic and ambulatory BP), which is similar to or approaching the risk associated with sustained hypertension (elevated clinic and ambulatory BP). The confluence of increased cardiovascular risk and a failure to be diagnosed by the conventional approach of clinic BP measurement makes masked hypertension a significant public health concern. However, many important questions remain. First, the definition of masked hypertension varies across studies. Further, the best approach in the clinical setting to exclude masked hypertension also remains unknown. It is unclear whether home BP monitoring is an adequate substitute for ambulatory BP monitoring in identifying masked hypertension. Few studies have examined the mechanistic pathways that may explain masked hypertension. Finally, scarce data are available on the best approach to treating individuals with masked hypertension. Herein, we review the current literature on masked hypertension including definition, prevalence, clinical implications, special patient populations, correlates, issues related to diagnosis, treatment, and areas for future research. PMID:24573133

  5. Design and performance of an ultraviolet resonance Raman spectrometer for proteins and nucleic acids.

    Science.gov (United States)

    Russell, M P; Vohník, S; Thomas, G J

    1995-04-01

    We describe an ultraviolet resonance Raman (UVRR) spectrometer appropriate for structural studies of biological macromolecules and their assemblies. Instrument design includes the following features: a continuous wave, intracavity doubled, ultraviolet laser source for excitation of the Raman spectrum; a rotating cell (or jet source) for presentation of the sample to the laser beam; a Cassegrain optic with f/1.0 aperture for collection of the Raman scattering; a quartz prism dispersing element for rejection of stray light and Rayleigh scattering; a 0.75-m single grating monochromator for dispersion of the Raman scattering; and a liquid-nitrogen-cooled, charge-coupled device for detection of the Raman photons. The performance of this instrument, assessed on the basis of the observed signal-to-noise ratios, the apparent resolution of closely spaced spectral bands, and the wide spectrometer bandpass of 2200 cm-1, is believed superior to previously described UVRR spectrometers of similar design. Performance characteristics of the instrument are demonstrated in UVRR spectra obtained from standard solvents, p-ethylphenol, which serves as a model for the tyrosine side chain, the DNA nucleotide deoxyguanosine-5'-monophosphate, and the human tumor necrosis factor binding protein, which is considered representative of soluble globular proteins.

  6. Selective spatial attention modulates bottom-up informational masking of speech

    OpenAIRE

    Carlile, Simon; Corkhill, Caitlin

    2015-01-01

    To hear out a conversation against other talkers listeners overcome energetic and informational masking. Largely attributed to top-down processes, information masking has also been demonstrated using unintelligible speech and amplitude-modulated maskers suggesting bottom-up processes. We examined the role of speech-like amplitude modulations in information masking using a spatial masking release paradigm. Separating a target talker from two masker talkers produced a 20?dB improvement in speec...

  7. Masked hypertension, a review of the literature.

    NARCIS (Netherlands)

    Verberk, W.J.; Thien, Th.; Leeuw, P.W. de

    2007-01-01

    Masked hypertension (blood pressure that is normal in the physicians' office but elevated elsewhere) is a common phenomenon as prevalence among studies varies from 8 to 45% and is seen at all ages. large discrepancies, however, exist between studies that have dealt with masked hypertension. It is of

  8. Comparison of monaural (CMR) and binaural (BMLD) masking release

    NARCIS (Netherlands)

    Par, van de S.L.J.D.E.; Kohlrausch, A.G.

    1998-01-01

    Release of masking for a sinusoidal signal of 5 kHz masked by a 25-Hz-wide noise band centered around 5 kHz was measured. The masking release was provided by a second noise band that was comodulated with the on-frequency masker band. For CMR configurations the second noise band was centered at 3 kHz

  9. Bubble masks for time-encoded imaging of fast neutrons.

    Energy Technology Data Exchange (ETDEWEB)

    Brubaker, Erik; Brennan, James S.; Marleau, Peter; Nowack, Aaron B.; Steele, John T.; Sweany, Melinda; Throckmorton, Daniel J.

    2013-09-01

    Time-encoded imaging is an approach to directional radiation detection that is being developed at SNL with a focus on fast neutron directional detection. In this technique, a time modulation of a detected neutron signal is inducedtypically, a moving mask that attenuates neutrons with a time structure that depends on the source position. An important challenge in time-encoded imaging is to develop high-resolution two-dimensional imaging capabilities; building a mechanically moving high-resolution mask presents challenges both theoretical and technical. We have investigated an alternative to mechanical masks that replaces the solid mask with a liquid such as mineral oil. Instead of fixed blocks of solid material that move in pre-defined patterns, the oil is contained in tubing structures, and carefully introduced air gapsbubblespropagate through the tubing, generating moving patterns of oil mask elements and air apertures. Compared to current moving-mask techniques, the bubble mask is simple, since mechanical motion is replaced by gravity-driven bubble propagation; it is flexible, since arbitrary bubble patterns can be generated by a software-controlled valve actuator; and it is potentially high performance, since the tubing and bubble size can be tuned for high-resolution imaging requirements. We have built and tested various single-tube mask elements, and will present results on bubble introduction and propagation as a function of tubing size and cross-sectional shape; real-time bubble position tracking; neutron source imaging tests; and reconstruction techniques demonstrated on simple test data as well as a simulated full detector system.

  10. X-ray wavefront characterization using a rotating shearing interferometer technique.

    Science.gov (United States)

    Wang, Hongchang; Sawhney, Kawal; Berujon, Sébastien; Ziegler, Eric; Rutishauser, Simon; David, Christian

    2011-08-15

    A fast and accurate method to characterize the X-ray wavefront by rotating one of the two gratings of an X-ray shearing interferometer is described and investigated step by step. Such a shearing interferometer consists of a phase grating mounted on a rotation stage, and an absorption grating used as a transmission mask. The mathematical relations for X-ray Moiré fringe analysis when using this device are derived and discussed in the context of the previous literature assumptions. X-ray beam wavefronts without and after X-ray reflective optical elements have been characterized at beamline B16 at Diamond Light Source (DLS) using the presented X-ray rotating shearing interferometer (RSI) technique. It has been demonstrated that this improved method allows accurate calculation of the wavefront radius of curvature and the wavefront distortion, even when one has no previous information on the grating projection pattern period, magnification ratio and the initial grating orientation. As the RSI technique does not require any a priori knowledge of the beam features, it is suitable for routine characterization of wavefronts of a wide range of radii of curvature. © 2011 Optical Society of America

  11. Direct coupling of polymer-based microchip electrophoresis to online MALDI-MS using a rotating ball inlet.

    Science.gov (United States)

    Musyimi, Harrison K; Guy, Jason; Narcisse, Damien A; Soper, Steven A; Murray, Kermit K

    2005-12-01

    We report on the coupling of a polymer-based microfluidic chip to a MALDI-TOF MS using a rotating ball interface. The microfluidic chips were fabricated by micromilling a mold insert into a brass plate, which was then used for replicating polymer microparts via hot embossing. Assembly of the chip was accomplished by thermally annealing a cover slip to the embossed substrate to enclose the channels. The linear separation channel was 50 microm wide, 100 microm deep, and possessed an 8 cm effective length separation channel with a double-T injector (V(inj) = 10 nL). The exit of the separation channel was machined to allow direct contact deposition of effluent onto a specially constructed rotating ball inlet to the mass spectrometer. Matrix addition was accomplished in-line on the surface of the ball. The coupling utilized the ball as the cathode transfer electrode to transport sample into the vacuum for desorption with a 355 nm Nd:YAG laser and analyzed on a TOF mass spectrometer. The ball was cleaned online after every rotation. The ability to couple poly(methylmethacrylate) microchip electrophoresis devices for the separation of peptides and peptide fragments produced from a protein digest with subsequent online MALDI MS detection was demonstrated.

  12. Selective spatial attention modulates bottom-up informational masking of speech.

    Science.gov (United States)

    Carlile, Simon; Corkhill, Caitlin

    2015-03-02

    To hear out a conversation against other talkers listeners overcome energetic and informational masking. Largely attributed to top-down processes, information masking has also been demonstrated using unintelligible speech and amplitude-modulated maskers suggesting bottom-up processes. We examined the role of speech-like amplitude modulations in information masking using a spatial masking release paradigm. Separating a target talker from two masker talkers produced a 20 dB improvement in speech reception threshold; 40% of which was attributed to a release from informational masking. When across frequency temporal modulations in the masker talkers are decorrelated the speech is unintelligible, although the within frequency modulation characteristics remains identical. Used as a masker as above, the information masking accounted for 37% of the spatial unmasking seen with this masker. This unintelligible and highly differentiable masker is unlikely to involve top-down processes. These data provides strong evidence of bottom-up masking involving speech-like, within-frequency modulations and that this, presumably low level process, can be modulated by selective spatial attention.

  13. The time-course of visual masking effects on saccadic responses indicates that masking interferes with reentrant processing

    DEFF Research Database (Denmark)

    Crouzet, S.; Pin, Simon Hviid Del; Overgaard, Morten

    2013-01-01

    Object substitution masking (OSM) occurs when a briefly presented target in a search array is surrounded by small dots that remain visible after the target disappears. Here, we tested the widespread assumption that OSM selectively impairs reentrant processing. If OSM interferes selectively...... with reentrant processing, then the first feedforward sweep should be left relatively intact. Using a standard OSM paradigm in combination with a saccadic choice task, giving access to an early phase of visual processing (the fastest saccades occurring only 100 ms after target onset), we compared the masking....... Interestingly, the same result was observed using backward masking. In a follow-up experiment, where we assessed observer’s visual awareness using single-trial visibility ratings, we demonstrated that these ultra-fast responses were actually linked to subsequent reported visibility. Taken together...

  14. The efficacy of three different mask styles on a PAP titration night.

    Science.gov (United States)

    Ebben, Matthew R; Oyegbile, Temitayo; Pollak, Charles P

    2012-06-01

    This study compared the efficacy of three different masks, nasal pillows, nasal masks and full face (oronasal) masks, during a single night of titration with continuous positive airway pressure (CPAP). Fifty five subjects that included men (n=33) and women (n=22) were randomly assigned to one of three masks and underwent a routine titration with incremental CPAP applied through the different masks. CPAP applied through the nasal pillows and nasal mask was equally effective in treating mild, moderate, and severe sleep apnea. However, CPAP applied through the oronasal mask required a significantly higher pressure compared to nasal masks to treat moderately severe (2.8 cm of H(2)O ± 2.1 SD) and severe (6.0 cm of H(2)O ± 3.2 SD) obstructive sleep apnea. CPAP applied with either nasal mask was effective in treating mild, moderate, and severe sleep apnea. The oronasal mask required significantly higher pressures in subjects with moderate to severe disease. Therefore, when changing from a nasal to an oronasal mask, a repeat titration is required to ensure effective treatment of sleep apnea, especially in patients with moderate to severe disease. Copyright © 2012 Elsevier B.V. All rights reserved.

  15. Masking Responses to Light in Period Mutant Mice

    Science.gov (United States)

    Pendergast, Julie S.; Yamazaki, Shin

    2013-01-01

    Masking is an acute effect of an external signal on an overt rhythm and is distinct from the process of entrainment. In the current study, we investigated the phase dependence and molecular mechanisms regulating masking effects of light pulses on spontaneous locomotor activity in mice. The circadian genes, Period1 (Per1) and Per2, are necessary components of the timekeeping machinery and entrainment by light appears to involve the induction of the expression of Per1 and Per2 mRNAs in the suprachiasmatic nuclei (SCN). We assessed the roles of the Per genes in regulating masking by assessing the effects of light pulses on nocturnal locomotor activity in C57BL/6J Per mutant mice. We found that Per1−/− and Per2−/− mice had robust negative masking responses to light. In addition, the locomotor activity of Per1−/−/Per2−/− mice appeared to be rhythmic in the light-dark (LD) cycle, and the phase of activity onset was advanced (but varied among individual mice) relative to lights off. This rhythm persisted for 1 to 2 days in constant darkness in some Per1−/−/Per2−/− mice. Furthermore, Per1−/−/Per2−/− mice exhibited robust negative masking responses to light. Negative masking was phase dependent in wild-type mice such that maximal suppression was induced by light pulses at zeitgeber time 14 (ZT14) and gradually weaker suppression occurred during light pulses at ZT16 and ZT18. By measuring the phase shifts induced by the masking protocol (light pulses were administered to mice maintained in the LD cycle), we found that the phase responsiveness of Per mutant mice was altered compared to wild-types. Together, our data suggest that negative masking responses to light are robust in Per mutant mice and that the Per1−/−/Per2−/− SCN may be a light-driven, weak/damping oscillator. PMID:21793695

  16. Laser micromachined wax-covered plastic paper as both sputter deposition shadow masks and deep-ultraviolet patterning masks for polymethylmethacrylate-based microfluidic systems

    KAUST Repository

    Fan, Yiqiang

    2013-12-16

    We report a technically innovative method of fabricating masks for both deep-ultraviolet (UV) patterning and metal sputtering on polymethylmethacrylate (PMMA) for microfluidic systems. We used a CO2 laser system to cut the required patterns on wax-covered plastic paper; the laser-patterned wax paper will either work as a mask for deep-UV patterning or as a mask for metal sputtering. A microfluidic device was also fabricated to demonstrate the feasibility of this method. The device has two layers: the first layer is a 1-mm thick PMMA substrate that was patterned by deep-UV exposure to create microchannels. The mask used in this process was the laser-cut wax paper. The second layer, also a 1-mm thick PMMA layer, was gold sputtered with patterned wax paper as the shadow mask. These two pieces of PMMA were then bonded to form microchannels with exposed electrodes. This process is a simple and rapid method for creating integrated microfluidic systems that do not require cleanroom facilities.

  17. Testing Tactile Masking between the Forearms.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2016-02-10

    Masking, in which one stimulus affects the detection of another, is a classic technique that has been used in visual, auditory, and tactile research, usually using stimuli that are close together to reveal local interactions. Masking effects have also been demonstrated in which a tactile stimulus alters the perception of a touch at a distant location. Such effects can provide insight into how components of the body's representations in the brain may be linked. Occasional reports have indicated that touches on one hand or forearm can affect tactile sensitivity at corresponding contralateral locations. To explore the matching of corresponding points across the body, we can measure the spatial tuning and effect of posture on contralateral masking. Careful controls are required to rule out direct effects of the remote stimulus, for example by mechanical transmission, and also attention effects in which thresholds may be altered by the participant's attention being drawn away from the stimulus of interest. The use of this technique is beneficial as a behavioural measure for exploring which parts of the body are functionally connected and whether the two sides of the body interact in a somatotopic representation. This manuscript describes a behavioural protocol that can be used for studying contralateral tactile masking.

  18. The Omicron Spectrometer

    CERN Document Server

    Allardyce, B W

    1976-01-01

    It is intended to build a spectrometer with a large solid angle and a large momentum acceptance at the reconstructed synchrocyclotron at CERN. This spectrometer will have an energy resolution of about 1 MeV for particles with momenta up to about 400 MeV/c.

  19. Mask effects on cosmological studies with weak-lensing peak statistics

    International Nuclear Information System (INIS)

    Liu, Xiangkun; Pan, Chuzhong; Fan, Zuhui; Wang, Qiao

    2014-01-01

    With numerical simulations, we analyze in detail how the bad data removal, i.e., the mask effect, can influence the peak statistics of the weak-lensing convergence field reconstructed from the shear measurement of background galaxies. It is found that high peak fractions are systematically enhanced because of the presence of masks; the larger the masked area is, the higher the enhancement is. In the case where the total masked area is about 13% of the survey area, the fraction of peaks with signal-to-noise ratio ν ≥ 3 is ∼11% of the total number of peaks, compared with ∼7% of the mask-free case in our considered cosmological model. This can have significant effects on cosmological studies with weak-lensing convergence peak statistics, inducing a large bias in the parameter constraints if the effects are not taken into account properly. Even for a survey area of 9 deg 2 , the bias in (Ω m , σ 8 ) is already intolerably large and close to 3σ. It is noted that most of the affected peaks are close to the masked regions. Therefore, excluding peaks in those regions in the peak statistics can reduce the bias effect but at the expense of losing usable survey areas. Further investigations find that the enhancement of the number of high peaks around the masked regions can be largely attributed to the smaller number of galaxies usable in the weak-lensing convergence reconstruction, leading to higher noise than that of the areas away from the masks. We thus develop a model in which we exclude only those very large masks with radius larger than 3' but keep all the other masked regions in peak counting statistics. For the remaining part, we treat the areas close to and away from the masked regions separately with different noise levels. It is shown that this two-noise-level model can account for the mask effect on peak statistics very well, and the bias in cosmological parameters is significantly reduced if this model is applied in the parameter fitting.

  20. The Soft Seal disposable laryngeal mask airway in adults: comparison of two insertion techniques without intra-oral manipulation.

    Science.gov (United States)

    Kuvaki, B; Küçükgüçlü, S; Iyilikçi, L; Tuncali, B E; Cinar, O

    2008-10-01

    We investigated whether insertion of the disposable Soft Seal laryngeal mask airway (SSLM) was successful without intra-oral digital manipulation. One hundred patients undergoing anaesthesia using the SSLM were randomly assigned into two groups. Insertion was performed by either a direct or a rotational technique, both without intra-oral digital manipulation. The primary outcome measure was successful insertion at first attempt. Other outcomes included insertion time, fibreoptic assessment of the airway view and airway morbidity. The first attempt success rate was higher (98%) with the direct technique than with the rotational technique (75%; p = 0.002) but insertion time was faster with the latter method (mean [range] 15 [8-50] s) than with the direct method (20 [8-56] s; p = 0.035). Fibreoptic assessment and airway morbidity were similar in both groups. We conclude that the SSLM can be successfully inserted without intra-oral digital manipulation.

  1. An automatic control unit for A neutron diffraction crystal spectrometer

    International Nuclear Information System (INIS)

    Adib, M.; Abbas, Y.; Mostafa, M.; Hamouda, I.

    1982-01-01

    An automatic transistorized unit has been designed and constructed to control the operation of the double axis crystal spectrometer installed in front of one of the horizontal channels of the ET-RR-1 reactor. The function of the automatic unit is to store the diffracted neutrons at a certain angle with respect to the direction of the incident neutron beam in a selected channel of a 1024-multichannel analyzer for a certain preadjusted time period. AT the end of this time period the unit rotates the spectrometer's arm to another angle, selects the next channel of the MCA and provides the measurement of the diffracted neutron for the same time period. Such a sequence is repeated automatically over all angles required for the neutron diffraction pattern of the sample under investigation. As a result, the stored information at the MCA provides the neutron diffraction pattern as a function of channel number, where each channel corresponds to a certain scattering angle. The stored distribution at MCA can be obtained through the analyzer read out unit. The designed automatic unit has the possibility of providing the neutron diffraction pattern using a 6-digit scaler and a printer

  2. Masking with faces in central visual field under a variety of temporal schedules.

    Science.gov (United States)

    Daar, Marwan; Wilson, Hugh R

    2015-11-01

    With a few exceptions, previous studies have explored masking using either a backward mask or a common onset trailing mask, but not both. In a series of experiments, we demonstrate the use of faces in central visual field as a viable method to study the relationship between these two types of mask schedule. We tested observers in a two alternative forced choice face identification task, where both target and mask comprised synthetic faces, and show that a simple model can successfully predict masking across a variety of masking schedules ranging from a backward mask to a common onset trailing mask and a number of intermediate variations. Our data are well accounted for by a window of sensitivity to mask interference that is centered at around 100 ms. Copyright © 2015 Elsevier Ltd. All rights reserved.

  3. Hyper-realistic face masks: a new challenge in person identification.

    Science.gov (United States)

    Sanders, Jet Gabrielle; Ueda, Yoshiyuki; Minemoto, Kazusa; Noyes, Eilidh; Yoshikawa, Sakiko; Jenkins, Rob

    2017-01-01

    We often identify people using face images. This is true in occupational settings such as passport control as well as in everyday social environments. Mapping between images and identities assumes that facial appearance is stable within certain bounds. For example, a person's apparent age, gender and ethnicity change slowly, if at all. It also assumes that deliberate changes beyond these bounds (i.e., disguises) would be easy to spot. Hyper-realistic face masks overturn these assumptions by allowing the wearer to look like an entirely different person. If unnoticed, these masks break the link between facial appearance and personal identity, with clear implications for applied face recognition. However, to date, no one has assessed the realism of these masks, or specified conditions under which they may be accepted as real faces. Herein, we examined incidental detection of unexpected but attended hyper-realistic masks in both photographic and live presentations. Experiment 1 (UK; n = 60) revealed no evidence for overt detection of hyper-realistic masks among real face photos, and little evidence of covert detection. Experiment 2 (Japan; n = 60) extended these findings to different masks, mask-wearers and participant pools. In Experiment 3 (UK and Japan; n = 407), passers-by failed to notice that a live confederate was wearing a hyper-realistic mask and showed limited evidence of covert detection, even at close viewing distance (5 vs. 20 m). Across all of these studies, viewers accepted hyper-realistic masks as real faces. Specific countermeasures will be required if detection rates are to be improved.

  4. TANGOO: A ground-based tilting-filter spectrometer for deriving the temperature in the mesopause region

    Science.gov (United States)

    Wildner, S.; Bittner, M.

    2009-04-01

    TANGOO (Tilting-filter spectrometer for Atmospheric Nocturnal Ground-based Oxygen & hydrOxyl emission measurements) is a passive, ground-based optical instrument for the purpose of a simultanously automatic long-term monitoring of OH(6-2) and O2 atm. Band (0-1) emissions (called "airglow"), yielding rotational temperatures in about 87 and 95 km, respectively. TANGOO, being a transportable and comparatively easy-to-use instrument, is the enhancement of the Argentine Airglow Spectrometer (Scheer, 1987) and shows significant improvements in the temporal resolution and throughput. It will be located on the German Enviromental Research Station "Schneefernerhaus", Zugspitze (47°,4 N, 11° E) and will start measurements in 2009. Objectives of TANGOO cover the analysis of dynamical processes such as gravity waves as well as the identification of climate signals. The observation method will be presented.

  5. A gamma scintillation spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Symbalisty, S

    1952-07-01

    A scintillation type gamma ray spectrometer employing coincidence counting, designed and built at the Physics Department of the University of Western Ontario is described. The spectrometer is composed of two anthracene and photomultiplier radiation detectors, two pulse analyzing channels, a coincidence stage, three scalers and a high voltage stabilized supply. A preliminary experiment to test the operation of the spectrometer was performed and the results of this test are presented. (author)

  6. Barriers to mask wearing for influenza-like illnesses among urban Hispanic households.

    Science.gov (United States)

    Ferng, Yu-hui; Wong-McLoughlin, Jennifer; Barrett, Angela; Currie, Leanne; Larson, Elaine

    2011-01-01

    To identify barriers to mask wearing and to examine the factors associated with the willingness to wear masks among households. We used data sources from a study assessing the impact of 3 nonpharmaceutical interventions on the rates of influenza: exit interviews; home visits with a subset of the mask group; and a focus group. Risk perception score, univariate analysis, and logistic regression were conducted to identify the characteristics and predictors of mask use. Thematic barriers to mask wearing were identified from qualitative data obtained at home visits and focus group. Respondents from the mask group, when compared with the nonmask group, demonstrated higher risk perception scores concerning influenza (maximum score: 60, means: 37.6 and 30.2, pmask wearing (maximum score: 10, means: 7.8 and 7.3, p=.043). There was no significant association between demographic, attitudinal, or knowledge variables and adherence to wearing masks. Thematic barriers were identified such as social acceptability of mask use, comfort and fit, and perception of the risk/need for masks. Face masks may not be an effective intervention for seasonal or pandemic influenza unless the risk perception of influenza is high. Dissemination of culturally appropriate mask use information by health authorities and providers must be emphasized when educating the public. © 2010 Wiley Periodicals, Inc.

  7. Advantages, Disadvantages, Indications, Contraindications and Surgical Technique of Laryngeal Airway Mask.

    Science.gov (United States)

    Jannu, Anubhav; Shekar, Ashim; Balakrishna, Ramdas; Sudarshan, H; Veena, G C; Bhuvaneshwari, S

    2017-12-01

    The beauty of the laryngeal mask is that it forms an air tight seal enclosing the larynx rather than plugging the pharynx, and avoid airway obstruction in the oropharynx. The goal of its development was to create an intermediate form of airway management face mask and endotracheal tube. Indication for its use includes any procedure that would normally involve the use of a face mask. The laryngeal mask airway was designed as a new concept in airway management and has been gaining a firm position in anesthetic practice. Despite wide spread use the definitive role of the laryngeal mask airway is yet to be established. In some situations, such as after failed tracheal intubation or in oral surgery its use is controversial. There are several unresolved issues, for example the effect of the laryngeal mask on regurgitation and whether or not cricoids pressure prevents placement of mask. We review the techniques of insertion, details of misplacement, and complications associated with use of the laryngeal mask. We then attempt to clarify the role of laryngeal mask in air way management during anesthesia, discussing the advantages and disadvantages as well as indications and contraindications of its use in oral and maxillofacial surgery.

  8. Automatic circuit analysis based on mask information

    International Nuclear Information System (INIS)

    Preas, B.T.; Lindsay, B.W.; Gwyn, C.W.

    1976-01-01

    The Circuit Mask Translator (CMAT) code has been developed which converts integrated circuit mask information into a circuit schematic. Logical operations, pattern recognition, and special functions are used to identify and interconnect diodes, transistors, capacitors, and resistances. The circuit topology provided by the translator is compatible with the input required for a circuit analysis program

  9. Mechanically and electrically robust metal-mask design for organic CMOS circuits

    Science.gov (United States)

    Shintani, Michihiro; Qin, Zhaoxing; Kuribara, Kazunori; Ogasahara, Yasuhiro; Hiromoto, Masayuki; Sato, Takashi

    2018-04-01

    The design of metal masks for fabricating organic CMOS circuits requires the consideration of not only the electrical property of the circuits, but also the mechanical strength of the masks. In this paper, we propose a new design flow for metal masks that realizes coanalysis of the mechanical and electrical properties and enables design exploration considering the trade-off between the two properties. As a case study, we apply a “stitching technique” to the mask design of a ring oscillator and explore the best design. With this technique, mask patterns are divided into separate parts using multiple mask layers to improve the mechanical strength at the cost of high resistance of the vias. By a numerical experiment, the design trade-off of the stitching technique is quantitatively analyzed, and it is demonstrated that the proposed flow is useful for the exploration of the designs of metal masks.

  10. Optical frequency comb Faraday rotation spectroscopy

    Science.gov (United States)

    Johansson, Alexandra C.; Westberg, Jonas; Wysocki, Gerard; Foltynowicz, Aleksandra

    2018-05-01

    We demonstrate optical frequency comb Faraday rotation spectroscopy (OFC-FRS) for broadband interference-free detection of paramagnetic species. The system is based on a femtosecond doubly resonant optical parametric oscillator and a fast-scanning Fourier transform spectrometer (FTS). The sample is placed in a DC magnetic field parallel to the light propagation. Efficient background suppression is implemented via switching the direction of the field on consecutive FTS scans and subtracting the consecutive spectra, which enables long-term averaging. In this first demonstration, we measure the entire Q- and R-branches of the fundamental band of nitric oxide in the 5.2-5.4 µm range and achieve good agreement with a theoretical model.

  11. My Other Half Manifested in Mask-Making

    Science.gov (United States)

    Abel, Xanthippi

    2010-01-01

    Every fall season, each grade level of Rowland Hall St. Mark's Lower School in Salt Lake City, Utah, completes a mask-making project to be featured in a schoolwide parade. This sparked an opportunity to incorporate the fourth-grade unit of realistic and observational drawing with mask making. In this article, the author describes how her students…

  12. Acquisition of HPLC-Mass Spectrometer

    Science.gov (United States)

    2015-08-18

    31-Jan-2015 Approved for Public Release; Distribution Unlimited Final Report: Acquisition of HPLC -Mass Spectrometer The views, opinions and/or findings...published in peer-reviewed journals: Final Report: Acquisition of HPLC -Mass Spectrometer Report Title The acquisition of the mass spectrometer has been a

  13. X ray reflection masks: Manufacturing, characterization and first tests

    Science.gov (United States)

    Rahn, Stephen

    1992-09-01

    SXPL (Soft X-ray Projection Lithography) multilayer mirrors are characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors with a 2d in the region of 14 nm were characterized by Cu-k(alpha) grazing incidence as well as soft X-ray normal incidence reflectivity measurements. The multilayer mirrors were patterned by reactive ion etching with CF4 using a photoresist as etch mask, thus producing X-ray reflection masks. The masks were tested at the synchrotron radiation laboratory of the electron accelerator ELSA. A double crystal X-ray monochromator was modified so as to allow about 0.5 sq cm of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto a resist and structure sizes down to 8 micrometers were nicely reproduced. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  14. Masked hypertension: evidence of the need to treat

    NARCIS (Netherlands)

    Ogedegbe, Gbenga; Agyemang, Charles; Ravenell, Joseph E.

    2010-01-01

    The diagnosis of masked hypertension has been made easier with the widespread availability of home blood pressure monitoring devices with levels of accuracy comparable to ambulatory blood pressure monitoring. The negative impact of masked hypertension on cardiovascular morbidity and mortality is

  15. Beyond a Mask and Against the Bottleneck: Retroactive Dual-Task Interference During Working Memory Consolidation of a Masked Visual Target

    NARCIS (Netherlands)

    Nieuwenstein, Mark; Wyble, Brad

    While studies on visual memory commonly assume that the consolidation of a visual stimulus into working memory is interrupted by a trailing mask, studies on dual-task interference suggest that the consolidation of a stimulus can continue for several hundred milliseconds after a mask. As a result,

  16. A Particle-In-Cell approach to particle flux shaping with a surface mask

    Directory of Open Access Journals (Sweden)

    G. Kawamura

    2017-08-01

    Full Text Available The Particle-In-Cell simulation code PICS has been developed to study plasma in front of a surface with two types of masks, step-type and roof-type. Parameter scans with regard to magnetic field angle, electron density, and mask height were carried out to understand their influence on ion particle flux distribution on a surface. A roof-type mask with a small mask height yields short decay length in the flux distribution which is consistent with that estimated experimentally. A roof-type mask with a large height yields very long decay length and the flux value does not depend on a mask height or an electron density, but rather on a mask length and a biasing voltage of the surface. Mask height also changes the flux distribution apart from the mask because of the shading effect of the mask. Electron density changes the distribution near the mask edge according to the Debye length. Dependence of distribution on parameters are complicated especially for a roof-type mask, and simulation study with various parameters are useful to understand the physical reasons of dependence and also is useful as a tool for experiment studies.

  17. Characterizing the monaural and binaural processes underlying reflection masking

    DEFF Research Database (Denmark)

    Buchholz, Jörg

    2007-01-01

    for the two RMTs, it is shown that forward masking effects only have a significant effect on reflection masking for delays above 7–10 ms. Moreover, binaural mechanisms were revealed which deteriorate auditory detection of test reflections for delays below 7–10 ms and enhance detection for larger delays....... The monaural and binaural processes that may underlie reflection masking are discussed in terms of auditory-modelling concepts....

  18. Pressure Ulcer Incidence in Patients Wearing Nasal-Oral Versus Full-Face Noninvasive Ventilation Masks.

    Science.gov (United States)

    Schallom, Marilyn; Cracchiolo, Lisa; Falker, Antoinette; Foster, Jennifer; Hager, JoAnn; Morehouse, Tamara; Watts, Peggy; Weems, Linda; Kollef, Marin

    2015-07-01

    Device-related pressure ulcers from noninvasive ventilation masks alter skin integrity and cause patients discomfort. To examine the incidence, location, and stage of pressure ulcers and patients' comfort with a nasal-oral mask compared with a full-face mask. A before-after study of a convenience sample of patients with noninvasive ventilation orders in 5 intensive care units was conducted. Two groups of 100 patients each received either the nasal-oral mask or the full-face mask. Skin was assessed before the mask was applied and every 12 hours after that or upon mask removal. Comfort levels were assessed every 12 hours on a Likert scale of 1 to 5 (1, most comfortable). A pressure ulcer developed in 20% of patients in the nasal-oral mask group and 2% of patients in the full-face mask group (P face mask (mean [SD], 1.9 [1.1]) than with the nasal-oral mask (mean [SD], 2.7 [1.2], P face mask and 25 (SD, 20.7) and 92% for nasal-oral mask. No patients who had a pressure ulcer develop with the nasal-oral mask had a pressure ulcer develop with the full-face mask. The full-face mask resulted in significantly fewer pressure ulcers and was more comfortable for patients. The full-face mask is a reasonable alternative to traditional nasal-oral masks for patients receiving noninvasive ventilation. ©2015 American Association of Critical-Care Nurses.

  19. Inter- and intra-observer reliability of masking in plantar pressure measurement analysis.

    Science.gov (United States)

    Deschamps, K; Birch, I; Mc Innes, J; Desloovere, K; Matricali, G A

    2009-10-01

    Plantar pressure measurement is an important tool in gait analysis. Manual placement of small masks (masking) is increasingly used to calculate plantar pressure characteristics. Little is known concerning the reliability of manual masking. The aim of this study was to determine the reliability of masking on 2D plantar pressure footprints, in a population with forefoot deformity (i.e. hallux valgus). Using a random repeated-measure design, four observers identified the third metatarsal head on a peak-pressure barefoot footprint, using a small mask. Subsequently, the location of all five metatarsal heads was identified, using the same size of masks and the same protocol. The 2D positional variation of the masks and the peak pressure (PP) and pressure time integral (PTI) values of each mask were calculated. For single-masking the lowest inter-observer reliability was found for the distal-proximal direction, causing a clear, adverse impact on the reliability of the pressure characteristics (PP and PTI). In the medial-lateral direction the inter-observer reliability could be scored as high. Intra-observer reliability was better and could be scored as high or good for both directions, with a correlated improved reliability of the pressure characteristics. Reliability of multi-masking showed a similar pattern, but overall values tended to be lower. Therefore, small sized masking in order to define pressure characteristics in the forefoot should be done with care.

  20. Mask pressure effects on the nasal bridge during short-term noninvasive ventilation

    Science.gov (United States)

    Brill, Anne-Kathrin; Pickersgill, Rachel; Moghal, Mohammad; Morrell, Mary J.; Simonds, Anita K.

    2018-01-01

    The aim of this study was to assess the influence of different masks, ventilator settings and body positions on the pressure exerted on the nasal bridge by the mask and subjective comfort during noninvasive ventilation (NIV). We measured the pressure over the nasal bridge in 20 healthy participants receiving NIV via four different NIV masks (three oronasal masks, one nasal mask) at three different ventilator settings and in the seated or supine position. Objective pressure measurements were obtained with an I-Scan pressure-mapping system. Subjective comfort of the mask fit was assessed with a visual analogue scale. The masks exerted mean pressures between 47.6±29 mmHg and 91.9±42.4 mmHg on the nasal bridge. In the supine position, the pressure was lower in all masks (57.1±31.9 mmHg supine, 63.9±37.3 mmHg seated; pmasks, a change of inspiratory positive airway pressure (IPAP) did not influence the objective pressure over the nasal bridge. Subjective discomfort was associated with higher IPAP and positively correlated with the pressure on the skin. Objective measurement of pressure on the skin during mask fitting might be helpful for mask selection. Mask fitting in the supine position should be considered in the clinical routine. PMID:29637077

  1. Orthopedic treatment of Class III malocclusion with rapid maxillary expansion combined with a face mask: a cephalometric assessment of craniofacial growth patterns

    Directory of Open Access Journals (Sweden)

    Daniella Torres Tagawa

    2012-06-01

    Full Text Available OBJECTIVE: The aim of this prospective study was to assess potential changes in the cephalometric craniofacial growth pattern of 17 children presenting Angle Class III malocclusion treated with a Haas-type expander combined with a face mask. METHODS: Lateral cephalometric radiographs were taken at beginning (T1 and immediately after removal of the appliances (T2, average of 11 months of treatment. Linear and angular measurements were used to evaluate the cranial base, dentoskeletal changes and facial growth pattern. RESULTS: The length of the anterior cranial base experienced a reduction while the posterior cranial base assumed a more vertical position at T1. Some maxillary movement occurred, there was no rotation of the palatal plane, there was a slight clockwise rotation of the mandible, although not significant. The ANB angle increased, thereby improving the relationship between the jaws; dentoalveolar compensation was more evident in the lower incisors. Five out of 12 cases (29.41% showed the following changes: In one case the pattern became more horizontal and in four cases more vertical. CONCLUSIONS: It was concluded after a short-term assessment that treatment with rapid maxillary expansion (RME associated with a face mask was effective in the correction of Class III malocclusion despite the changes in facial growth pattern observed in a few cases.

  2. A mechanical rotator for neutron scattering measurements

    International Nuclear Information System (INIS)

    Thaler, A.; Northen, E.; Aczel, A. A.; MacDougall, G. J.

    2016-01-01

    We have designed and built a mechanical rotation system for use in single crystal neutron scattering experiments at low temperatures. The main motivation for this device is to facilitate the application of magnetic fields transverse to a primary training axis, using only a vertical cryomagnet. Development was done in the context of a triple-axis neutron spectrometer, but the design is such that it can be generalized to a number of different instruments or measurement techniques. Here, we discuss some of the experimental constraints motivating the design, followed by design specifics, preliminary experimental results, and a discussion of potential uses and future extension possibilities.

  3. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  4. A new suction mask to reduce leak during neonatal resuscitation: a manikin study.

    Science.gov (United States)

    Lorenz, Laila; Maxfield, Dominic A; Dawson, Jennifer A; Kamlin, C Omar F; McGrory, Lorraine; Thio, Marta; Donath, Susan M; Davis, Peter G

    2016-09-01

    Leak around the face mask is a common problem during neonatal resuscitation. A newly designed face mask using a suction system to enhance contact between the mask and the infant's face might reduce leak and improve neonatal resuscitation. The aim of the study is to determine whether leak is reduced using the suction mask (Resusi-sure mask) compared with a conventional mask (Laerdal Silicone mask) in a manikin model. Sixty participants from different professional categories (neonatal consultants, fellows, registrars, nurses, midwives and students) used each face mask in a random order to deliver 2 min of positive pressure ventilation to a manikin. Delivered airway pressures were measured using a pressure line. Inspiratory and expiratory flows were measured using a flow sensor, and expiratory tidal volumes and mask leaks were derived from these values. A median (IQR) leak of 12.1 (0.6-39.0)% was found with the conventional mask compared with 0.7 (0.2-4.6)% using the suction mask (p=0.002). 50% of the participants preferred to use the suction mask and 38% preferred to use the conventional mask. There was no correlation between leak and operator experience. A new neonatal face mask based on the suction system reduced leak in a manikin model. Clinical studies to test the safety and effectiveness of this mask are needed. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  5. Investigation and modeling of CPL mask profiles using OCD

    Science.gov (United States)

    Chen, Hsuan-Chen; Lin, Ren-Hao; Chen, Chien-Cheng; Huang, Cheng-Hsuan; Lien, Ta-Cheng; Chen, Chia-Jen; Lee, Gaston; Lee, Hsin-Chang; Yen, Anthony

    2016-05-01

    Mask profile of chromeless phase-shifting lithography (CPL) defined by OCD has been investigated. In CPL masks, unbalanced bombardments caused by different ion accelerations lead to the formation of micro-notch structures. A better understanding of micro-notch structures is essential for quality gating of mask processes to improve of CPL mask profiles. By measuring 12 of 16 elements of Mueller matrix, we are able to set up a model to simulate the depth of micro-notch structure profile which shows good correlation with TEM images. Moreover, values of CD, quartz etching depth and side wall angle acquired by OCD are presented and compared with those obtained by SEM, TEM and AFM, respectively.

  6. Fourier Transform Spectrometer System

    Science.gov (United States)

    Campbell, Joel F. (Inventor)

    2014-01-01

    A Fourier transform spectrometer (FTS) data acquisition system includes an FTS spectrometer that receives a spectral signal and a laser signal. The system further includes a wideband detector, which is in communication with the FTS spectrometer and receives the spectral signal and laser signal from the FTS spectrometer. The wideband detector produces a composite signal comprising the laser signal and the spectral signal. The system further comprises a converter in communication with the wideband detector to receive and digitize the composite signal. The system further includes a signal processing unit that receives the composite signal from the converter. The signal processing unit further filters the laser signal and the spectral signal from the composite signal and demodulates the laser signal, to produce velocity corrected spectral data.

  7. The effect of betel nut chewing on contour and object masking.

    Science.gov (United States)

    Ho, Ming-Chou; Wang, Chin-Kun

    2011-11-01

    The betel nut is a common stimulant in many Asian countries. We employed the masking task developed by Enns and Di Lollo (Trends in Cognitive Sciences, 4, 345-352, 1997) to investigate the effects of betel nuts on sensory and attentional processing. In the masking task, participants needed to identify a target that was masked by either a contour mask or an object mask. Sensory processing was assessed by examining target identification in the contour mask condition when the target was presented only centrally, whereas attentional processing was assessed by examining target identification in the object mask condition when the target was presented randomly in either a central or a parafoveal location. The results showed that chewing betel nut and chewing gum produced significant contour masking with a large effect size, similar to the pure control condition, in which participants chewed nothing, and the placebo control condition, in which what participants chewed was disguised. This suggests that neither betel nut nor gum affects sensory processing. Alternatively, betel nut chewing could produce a reduction in object masking for the habitual chewers and the nonchewers, suggesting an effect of betel nut on attentional processing. This concentrated attention was also observed in the placebo control condition; thus, it cannot be exclusively driven by the expectation effect. Also, chewing per se reduced the attentional distribution foveally.

  8. Failed tracheal intubation using a laryngoscope and intubating laryngeal mask.

    Science.gov (United States)

    Asai, T; Hirose, T; Shingu, K

    2000-04-01

    To report unexpected failed tracheal intubation using a laryngoscope and an intubating laryngeal mask, and difficult ventilation via a facemask, laryngeal mask and intubating laryngeal mask, in a patient with an unrecognized lingual tonsillar hypertrophy. A 63-yr-old woman, who had undergone clipping of an aneurysm seven weeks previously, was scheduled for ventriculo-peritoneal shunt. At the previous surgery, there had been no difficulty in ventilation or in tracheal intubation. Her trachea remained intubated nasally for 11 days after surgery. Preoperatively, her consciousness was impaired. There were no restrictions in head and neck movements or mouth opening. The thyromental distance was 7 cm. After induction of anesthesia, manual ventilation via a facemask with a Guedel airway was suboptimal and the chest expanded insufficiently. At laryngoscopy using a Macintosh or McCoy device, only the tip of the epiglottis, but not the glottis, could be seen, and tracheal intubation failed. There was a partial obstruction during manual ventilation through either the intubating laryngeal mask or conventional laryngeal mask; intubation through each device failed. Digital examination of the pharynx, after removal of the laryngeal mask, indicated a mass occupying the vallecula. Lingual tonsillar hypertrophy (1 x 1 x 2 cm) was found to be the cause of the failure. Awake fibrescope-aided tracheal intubation was accomplished. Unexpected lingual tonsillar hypertrophy can cause both ventilation and tracheal intubation difficult, and neither the laryngeal mask nor intubating laryngeal mask may be helpful in the circumstances.

  9. A μp based automation system for Raman and Rayleigh spectrometers

    International Nuclear Information System (INIS)

    Kesavamoorthy, R.; Arora, A.K.; Vasumathi, D.

    1988-01-01

    μp based data acquisition cum automation system for Raman and Rayleigh Spectrometers is described. The experiments require simultaneous acquisition of different digital data in two separate counters, their storage and rotation of grating through stepper motor in a repetitive cycle. Various modes of operation are selected through a function keyboard. The current status of the experiment is also displayed using 7 segment 12 element display unit. The input parameters are fed through a hexadecimal keyboard before the start of the experiment. The stored data can be send to a printer/terminal or to a PC through a serial port after the completion of the experiment. (author)

  10. Construction of an inexpensive molecular iodine spectrometer using a self-developed Pohl wavemeter around 670 nm wavelength

    International Nuclear Information System (INIS)

    Barthwal, Sachin; Vudayagiri, Ashok

    2015-01-01

    We describe the construction of an inexpensive iodine spectrometer with a homemade iodine vapour cell and a self-developed wavemeter based on the Pohl interferometer, around the 670 nm wavelength. This can be easily realized in an undergraduate teaching laboratory to demonstrate the use of a diode laser interferometer using a Pohl interferometer and measurement of the wavelength using image processing techniques. A visible alternative to the infrared diode lasers, the 670 nm diode laser used here gives undergraduate students a chance to perform comprehensive though illustrative atomic physics experiments including the Zeeman effect, the Hanle effect, and the magneto-optic rotation effect with a little tweaking in the present spectrometer. The advantage of the spectrometer is its ease of construction with readily available optics, electronics, evacuation and glass-blowing facilities, and easy analysis algorithm to evaluate the wavelength. The self-developed algorithm of raster scanning and circular averaging gives the researcher insight into the basics of image processing techniques. Resolution approaching 0.5 nm can be easily achieved using such a simple setup. (paper)

  11. Status of EUVL mask development in Europe (Invited Paper)

    Science.gov (United States)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  12. A 3D-RBS study of irradiation-induced deformation and masking properties of ordered colloidal nanoparticulate masks

    International Nuclear Information System (INIS)

    Zolnai, Z.; Deak, A.; Nagy, N.; Toth, A.L.; Kotai, E.; Battistig, G.

    2010-01-01

    The 500 keV Xe 2+ irradiation-induced anisotropic deformation of ordered colloidal silica nanoparticulate masks is followed using 2 MeV 4 He + Rutherford Backscattering Spectrometry (RBS) with different measurement geometries and the improved data analysis capabilities of the RBS-MAST spectrum simulation code. The three-dimensional (3D) geometrical transformation from spherical to oblate ellipsoidal and polygonal shape and the decrease of the mask's hole size is described. The masking properties of the silica monolayer and the depth distribution of Xe in the underlying Si substrate vs. the irradiated Xe 2+ fluence are discussed. Field Emission Scanning Electron Microscopy (FESEM) is applied as complementary characterization tool. Our results give contribution to clarify the impact of ion-nanoparticle interactions on the potentials and limits of nanosphere lithography. We also show the capability of the conventional RBS technique to characterize laterally ordered submicron-sized three-dimensional structures.

  13. Surgical Face Masks Worn by Patients with Multidrug-Resistant Tuberculosis

    Science.gov (United States)

    Mphahlele, Matsie; Stoltz, Anton; Venter, Kobus; Mathebula, Rirhandzu; Masotla, Thabiso; Lubbe, Willem; Pagano, Marcello; First, Melvin; Jensen, Paul A.; van der Walt, Martie; Nardell, Edward A.

    2012-01-01

    Rationale: Drug-resistant tuberculosis transmission in hospitals threatens staff and patient health. Surgical face masks used by patients with tuberculosis (TB) are believed to reduce transmission but have not been rigorously tested. Objectives: We sought to quantify the efficacy of surgical face masks when worn by patients with multidrug-resistant TB (MDR-TB). Methods: Over 3 months, 17 patients with pulmonary MDR-TB occupied an MDR-TB ward in South Africa and wore face masks on alternate days. Ward air was exhausted to two identical chambers, each housing 90 pathogen-free guinea pigs that breathed ward air either when patients wore surgical face masks (intervention group) or when patients did not wear masks (control group). Efficacy was based on differences in guinea pig infections in each chamber. Measurements and Main Results: Sixty-nine of 90 control guinea pigs (76.6%; 95% confidence interval [CI], 68–85%) became infected, compared with 36 of 90 intervention guinea pigs (40%; 95% CI, 31–51%), representing a 56% (95% CI, 33–70.5%) decreased risk of TB transmission when patients used masks. Conclusions: Surgical face masks on patients with MDR-TB significantly reduced transmission and offer an adjunct measure for reducing TB transmission from infectious patients. PMID:22323300

  14. Simultaneous masking additivity for short Gaussian-shaped tones: spectral effects.

    Science.gov (United States)

    Laback, Bernhard; Necciari, Thibaud; Balazs, Peter; Savel, Sophie; Ystad, Sølvi

    2013-08-01

    Laback et al. [(2011). J. Acoust. Soc. Am. 129, 888-897] investigated the additivity of nonsimultaneous masking using short Gaussian-shaped tones as maskers and target. The present study involved Gaussian stimuli to measure the additivity of simultaneous masking for combinations of up to four spectrally separated maskers. According to most basilar membrane measurements, the maskers should be processed linearly at the characteristic frequency (CF) of the target. Assuming also compression of the target, all masker combinations should produce excess masking (exceeding linear additivity). The results for a pair of maskers flanking the target indeed showed excess masking. The amount of excess masking could be predicted by a model assuming summation of masker-evoked excitations in intensity units at the target CF and compression of the target, using compressive input/output functions derived from the nonsimultaneous masking study. However, the combinations of lower-frequency maskers showed much less excess masking than predicted by the model. This cannot easily be attributed to factors like off-frequency listening, combination tone perception, or between-masker suppression. It was better predicted, however, by assuming weighted intensity summation of masker excitations. The optimum weights for the lower-frequency maskers were smaller than one, consistent with partial masker compression as indicated by recent psychoacoustic data.

  15. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  16. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  17. Demagnifying electron projection with grid masks

    International Nuclear Information System (INIS)

    Politycki, A.; Meyer, A.

    1978-01-01

    Tightly toleranced micro- and submicrostructures with smooth edges were realized by using transmission masks with an improved supporting grid (width of traverses 0.8 μm). Local edge shift due to the proximity effect is kept at a minimum. Supporting grids with stil narrower traverses (0.5 μm) were prepared by generating the grid pattern by electron beam writing. Masks of this kind allow projection at a demagnification ratio of 1:4, resulting in large image fields. (orig.) [de

  18. Correction: Inferior alveolar nerve injury with laryngeal mask airway: a case report.

    LENUS (Irish Health Repository)

    Hanumanthaiah, Deepak

    2011-11-30

    ABSTRACT: Following the publication of our article [Inferior alveolar nerve injury with laryngeal mask airway: a case report. Journal of Medical Case Reports 2011, 5:122] it was brought to our attention that we inadvertently used the registered trademark of the Laryngeal Mask Company Limited (LMA) as the abbreviation for laryngeal mask airway. A Portex(R) Soft Seal(R) Laryngeal Mask was used and not a device manufactured by the Laryngeal Mask Company.

  19. Optimum design of a coded mask X-ray telescope for rocket applications

    International Nuclear Information System (INIS)

    Gunson, J.; Polychronopulos, B.

    1976-01-01

    A review of the principles of current X-ray telescopes is made with particular emphasis on two-step imaging techniques involving coding masks. The merits and limitations of the various types of coding masks in use are examined in detail. The limitations are shown to arise from the finite nature of practical masks. By postulating periodicity, 'optimum masks' can be constructed with ideal imaging qualities. The theory for the design of such masks and the practical considerations involved in the design of a rocket-borne X-ray telescope system are discussed in full, with particular attention paid to resolution, field of view and image noise. The main emphasis throughout the paper is on one-dimensional masks but two-dimensional masks are also studied. It is concluded that optimum masks could prove very valuable in astronomical applications and also in other fields such as radiography, where high imaging quality coupled with high sensitivity and low cost are of utmost importance. (author)

  20. Comparison of Aerosol Delivery by Face Mask and Tracheostomy Collar.

    Science.gov (United States)

    Bugis, Alaa A; Sheard, Meryl M; Fink, James B; Harwood, Robert J; Ari, Arzu

    2015-09-01

    The purpose of this study was to compare the performance of a tracheostomy collar, Wright mask, and aerosol mask attached to a jet nebulizer in facilitating aerosolized medication delivery to the lungs. We also compared albuterol delivery with open versus closed fenestration and determined the effect of inspiratory-expiratory ratio (I:E) on aerosol delivery. Albuterol (2.5 mg/3 mL) was administered to an in vitro model consisting of an adult teaching mannequin extrathoracic and upper airway with stoma intubated with an 8-mm fenestrated tracheostomy tube. The cuff was deflated. A collecting filter at the level of the bronchi was connected to a breathing simulator at a tidal volume of 400 mL, breathing frequency of 20 breaths/min, and I:E of 2:1 and 1:2. A jet nebulizer was operated with O2 at 8 L/min. Each interface was tested in triplicate. The flow was discontinued at the end of nebulization. For each test, the nebulizer was attached to a tracheostomy collar with the fenestration open or closed, a Wright mask, or an aerosol mask. Drug was analyzed by spectrophotometry (276 nm). A paired t test and analysis of variance were performed (P mask (4.1 ± 0.6%) and aerosol mask (3.5 ± 0.04%) were both less than with the tracheostomy collar under either condition (P mask (7.2 ± 0.6%), and aerosol mask (6.1 ± 0.5%). In an adult tracheostomy model, the tracheostomy collar delivered more aerosol to the bronchi than the Wright or aerosol mask. An I:E of 2:1 caused greater aerosol deposition compared with an I:E of 1:2. During aerosol administration via a tracheostomy collar, closing the fenestration improved aerosol delivery. Copyright © 2015 by Daedalus Enterprises.

  1. Digital positron annihilation spectrometer

    International Nuclear Information System (INIS)

    Cheng Bin; Weng Huimin; Han Rongdian; Ye Bangjiao

    2010-01-01

    With the high speed development of digital signal process, the technique of the digitization and processing of signals was applied in the domain of a broad class of nuclear technique. The development of digital positron lifetime spectrometer (DPLS) is more promising than the conventional positron lifetime spectrometer equipped with nuclear instrument modules. And digital lifetime spectrometer has many advantages, such as low noise, long term stability, flexible online or offline digital processing, simple setup, low expense, easy to setting, and more physical information. Digital constant fraction discrimination is for timing. And a new method of optimizing energy windows setting for digital positron lifetime spectrometer is also developed employing the simulated annealing for the convenient use. The time resolution is 220ps and the count rate is 200cps. (authors)

  2. [Thermoplastic mask in radiotherapy: a source of anxiety for the patient?].

    Science.gov (United States)

    Arino, C; Stadelmaier, N; Dupin, C; Kantor, G; Henriques de Figueiredo, B

    2014-12-01

    The thermoplastic mask often used to immobilize patients in radiotherapy can cause varying levels of stress and anxiety. This study aimed at evaluating the anxiety related to the use of radiotherapy masks and the coping strategies adopted by patients. Nineteen patients treated with radiotherapy mask for head and neck cancer, a brain tumour or a lymphoma, were met twice by a psychologist, either after the making of the mask and the first course of radiotherapy, or in the middle and at the end of treatment. Thirty-four semi-structured interviews were treated using a thematic content analysis and 13 patients answered to anxiety (STAI-YB) and coping (WCC) scales. The STAI-YB anxiety scores related to wearing the masks were low during the radiotherapy treatment period, and were confirmed by the remarks of patients recorded during the semi-structured interviews. Most patients had a positive perception of the mask, and considered it as a friend or protection. Twelve out of the 13 patients admitting to anxiety benefited from problem focused coping strategies. Thermoplastic mask-related anxiety is low and possibly lies in the positive representation patients have about the mask. The explanations provided by health professionals on the radiotherapy mask possibly have a very positive effect on this perception. Copyright © 2014 Société française de radiothérapie oncologique (SFRO). Published by Elsevier SAS. All rights reserved.

  3. Performance of an Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    Science.gov (United States)

    Newman, Kevin; Belikov, Ruslan; Pluzhnik, Eugene; Balasubramanian, Kunjithapatham; Wilson, Dan

    2014-01-01

    Coronagraph technology combined with wavefront control is close to achieving the contrast and inner working angle requirements in the lab necessary to observe the faint signal of an Earth-like exoplanet in monochromatic light. An important remaining technological challenge is to achieve high contrast in broadband light. Coronagraph bandwidth is largely limited by chromaticity of the focal plane mask, which is responsible for blocking the stellar PSF. The size of a stellar PSF scales linearly with wavelength; ideally, the size of the focal plane mask would also scale with wavelength. A conventional hard-edge focal plane mask has a fixed size, normally sized for the longest wavelength in the observational band to avoid starlight leakage. The conventional mask is oversized for shorter wavelengths and blocks useful discovery space. Recently we presented a solution to the size chromaticity challenge with a focal plane mask designed to scale its effective size with wavelength. In this paper, we analyze performance of the achromatic size-scaling focal plane mask within a Phase Induced Amplitude Apodization (PIAA) coronagraph. We present results from wavefront control around the achromatic focal plane mask, and demonstrate the size-scaling effect of the mask with wavelength. The edge of the dark zone, and therefore the inner working angle of the coronagraph, scale with wavelength. The achromatic mask enables operation in a wider band of wavelengths compared with a conventional hard-edge occulter.

  4. Reflective Occultation Mask for Evaluation of Occulter Designs for Planet Finding

    Science.gov (United States)

    Hagopian, John; Lyon, Richard; Shiri, Shahram; Roman, Patrick

    2011-01-01

    Advanced formation flying occulter designs utilize a large occulter mask flying in formation with an imaging telescope to block and null starlight to allow imaging of faint planets in exosolar systems. A paper describes the utilization of subscale reflective occultation masks to evaluate formation flying occulter designs. The use of a reflective mask allows mounting of the occulter by conventional means and simplifies the test configuration. The innovation alters the test set-up to allow mounting of the mask using standard techniques to eliminate the problems associated with a standard configuration. The modified configuration uses a reflective set-up whereby the star simulator reflects off of a reflective occulting mask and into an evaluation telescope. Since the mask is sized to capture all rays required for the imaging test, it can be mounted directly to a supporting fixture without interfering with the beam. Functionally, the reflective occultation mask reflects light from the star simulator instead of transmitting it, with a highly absorptive carbon nanotube layer simulating the occulter blocking mask. A subscale telescope images the star source and companion dim source that represents a planet. The primary advantage of this is that the occulter can be mounted conventionally instead of using diffractive wires or magnetic levitation.

  5. High Contrast Internal and External Coronagraph Masks Produced by Various Techniques

    Science.gov (United States)

    Balasubramanian, Kunjithapatha; Wilson, Daniel; White, Victor; Muller, Richard; Dickie, Matthew; Yee, Karl; Ruiz, Ronald; Shaklan, Stuart; Cady, Eric; Kern, Brian; hide

    2013-01-01

    Masks for high contrast internal and external coronagraphic imaging require a variety of masks depending on different architectures to suppress star light. Various fabrication technologies are required to address a wide range of needs including gradient amplitude transmission, tunable phase profiles, ultra-low reflectivity, precise small scale features, and low-chromaticity. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks, and lab-scale external occulter type masks by various techniques including electron beam, ion beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each. Further development is in progress to produce circular masks of various kinds for obscured aperture telescopes.

  6. Backward masking, the suffix effect, and preperceptual storage.

    Science.gov (United States)

    Kallman, H J; Massaro, D W

    1983-04-01

    This article considers the use of auditory backward recognition masking (ABRM) and stimulus suffix experiments as indexes of preperceptual auditory storage. In the first part of the article, two ABRM experiments that failed to demonstrate a mask disinhibition effect found previously in stimulus suffix experiments are reported. The failure to demonstrate mask disinhibition is inconsistent with an explanation of ABRM in terms of lateral inhibition. In the second part of the article, evidence is presented to support the conclusion that the suffix effect involves the contributions of later processing stages and does not provide an uncontaminated index of preperceptual storage. In contrast, it is claimed that ABRM experiments provide the most direct index of the temporal course of perceptual recognition. Partial-report tasks and other paradigms are also evaluated in terms of their contributions to an understanding of preperceptual auditory storage. Differences between interruption and integration masking are discussed along with the role of preperceptual auditory storage in speech perception.

  7. Unmasking the effects of masking on performance: The potential of multiple-voice masking in the office environment.

    Science.gov (United States)

    Keus van de Poll, Marijke; Carlsson, Johannes; Marsh, John E; Ljung, Robert; Odelius, Johan; Schlittmeier, Sabine J; Sundin, Gunilla; Sörqvist, Patrik

    2015-08-01

    Broadband noise is often used as a masking sound to combat the negative consequences of background speech on performance in open-plan offices. As office workers generally dislike broadband noise, it is important to find alternatives that are more appreciated while being at least not less effective. The purpose of experiment 1 was to compare broadband noise with two alternatives-multiple voices and water waves-in the context of a serial short-term memory task. A single voice impaired memory in comparison with silence, but when the single voice was masked with multiple voices, performance was on level with silence. Experiment 2 explored the benefits of multiple-voice masking in more detail (by comparing one voice, three voices, five voices, and seven voices) in the context of word processed writing (arguably a more office-relevant task). Performance (i.e., writing fluency) increased linearly from worst performance in the one-voice condition to best performance in the seven-voice condition. Psychological mechanisms underpinning these effects are discussed.

  8. MODELING SPECTRAL AND TEMPORAL MASKING IN THE HUMAN AUDITORY SYSTEM

    DEFF Research Database (Denmark)

    Dau, Torsten; Jepsen, Morten Løve; Ewert, Stephan D.

    2007-01-01

    An auditory signal processing model is presented that simulates psychoacoustical data from a large variety of experimental conditions related to spectral and temporal masking. The model is based on the modulation filterbank model by Dau et al. [J. Acoust. Soc. Am. 102, 2892-2905 (1997)] but inclu......An auditory signal processing model is presented that simulates psychoacoustical data from a large variety of experimental conditions related to spectral and temporal masking. The model is based on the modulation filterbank model by Dau et al. [J. Acoust. Soc. Am. 102, 2892-2905 (1997...... was tested in conditions of tone-in-noise masking, intensity discrimination, spectral masking with tones and narrowband noises, forward masking with (on- and off-frequency) noise- and pure-tone maskers, and amplitude modulation detection using different noise carrier bandwidths. One of the key properties...

  9. Source Separation via Spectral Masking for Speech Recognition Systems

    Directory of Open Access Journals (Sweden)

    Gustavo Fernandes Rodrigues

    2012-12-01

    Full Text Available In this paper we present an insight into the use of spectral masking techniques in time-frequency domain, as a preprocessing step for the speech signal recognition. Speech recognition systems have their performance negatively affected in noisy environments or in the presence of other speech signals. The limits of these masking techniques for different levels of the signal-to-noise ratio are discussed. We show the robustness of the spectral masking techniques against four types of noise: white, pink, brown and human speech noise (bubble noise. The main contribution of this work is to analyze the performance limits of recognition systems  using spectral masking. We obtain an increase of 18% on the speech hit rate, when the speech signals were corrupted by other speech signals or bubble noise, with different signal-to-noise ratio of approximately 1, 10 and 20 dB. On the other hand, applying the ideal binary masks to mixtures corrupted by white, pink and brown noise, results an average growth of 9% on the speech hit rate, with the same different signal-to-noise ratio. The experimental results suggest that the masking spectral techniques are more suitable for the case when it is applied a bubble noise, which is produced by human speech, than for the case of applying white, pink and brown noise.

  10. Evaluation of protection provided by air purifying half and full-face masks as worn

    International Nuclear Information System (INIS)

    Murata, Mikio; Ikezawa, Yoshio; Yoshida, Yoshikazu

    1979-01-01

    For selecting good-performing masks and estimating the protection provided by the masks, the leakage has been measured for six types of half mask and three types of full-face mask as worn. The cloud of submicron sodium chloride particles was generated within a test hood in which the subject wore his mask. The air sampled from inside the mask with a miniature pump was assessed by a flame photometer. The leakage was measured under four simulated working conditions such as normal breathing, smiling, moving head, and talking. The measured protection factors (defined as the ratio of the concentration of the test cloud outside the mask to that inside the mask) widely distributed from 10 to 3,300 for the half masks and from 100 to 3,300 for the full-face masks, depending on the persons and the working conditions. The values characterising the distribution of the protection factor for each mask are summarized. Based on these values, the performance of each mask and the effects of working conditions on the protection are discussed. (author)

  11. Development for 2D pattern quantification method on mask and wafer

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Toyoda, Yasutaka; Wang, Zhigang

    2010-03-01

    We have developed the effective method of mask and silicon 2-dimensional metrology. The aim of this method is evaluating the performance of the silicon corresponding to Hotspot on a mask. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and mask manufacture, and this has a big impact on the semiconductor market that centers on the mask business. 2-dimensional Shape quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. On the other hand, there is roughness in the silicon shape made from a mass-production line. Moreover, there is variation in the silicon shape. For this reason, quantification of silicon shape is important, in order to estimate the performance of a pattern. In order to quantify, the same shape is equalized in two dimensions. And the method of evaluating based on the shape is popular. In this study, we conducted experiments for averaging method of the pattern (Measurement Based Contouring) as two-dimensional mask and silicon evaluation technique. That is, observation of the identical position of a mask and a silicon was considered. It is possible to analyze variability of the edge of the same position with high precision. The result proved its detection accuracy and reliability of variability on two-dimensional pattern (mask and

  12. MASKED AREAS IN SHEAR PEAK STATISTICS: A FORWARD MODELING APPROACH

    International Nuclear Information System (INIS)

    Bard, D.; Kratochvil, J. M.; Dawson, W.

    2016-01-01

    The statistics of shear peaks have been shown to provide valuable cosmological information beyond the power spectrum, and will be an important constraint of models of cosmology in forthcoming astronomical surveys. Surveys include masked areas due to bright stars, bad pixels etc., which must be accounted for in producing constraints on cosmology from shear maps. We advocate a forward-modeling approach, where the impacts of masking and other survey artifacts are accounted for in the theoretical prediction of cosmological parameters, rather than correcting survey data to remove them. We use masks based on the Deep Lens Survey, and explore the impact of up to 37% of the survey area being masked on LSST and DES-scale surveys. By reconstructing maps of aperture mass the masking effect is smoothed out, resulting in up to 14% smaller statistical uncertainties compared to simply reducing the survey area by the masked area. We show that, even in the presence of large survey masks, the bias in cosmological parameter estimation produced in the forward-modeling process is ≈1%, dominated by bias caused by limited simulation volume. We also explore how this potential bias scales with survey area and evaluate how much small survey areas are impacted by the differences in cosmological structure in the data and simulated volumes, due to cosmic variance

  13. Rotational Spectroscopy of the NH{sub 3}–H{sub 2} Molecular Complex

    Energy Technology Data Exchange (ETDEWEB)

    Surin, L. A.; Schlemmer, S. [I. Physikalisches Institut, University of Cologne, Zülpicher Str. 77, D-50937 Cologne (Germany); Tarabukin, I. V. [Institute of Spectroscopy of Russian Academy of Sciences, Fizicheskaya Str. 5, 108840 Troitsk, Moscow, Russia (Russian Federation); Breier, A. A.; Giesen, T. F. [Institute of Physics, University of Kassel, Heinrich-Plett-Str. 40, D-34132 Kassel (Germany); McCarthy, M. C. [Harvard-Smithsonian Center for Astrophysics, Cambridge, MA 02138 (United States); Avoird, A. van der, E-mail: surin@ph1.uni-koeln.de, E-mail: A.vanderAvoird@theochem.ru.nl [Theoretical Chemistry, Institute for Molecules and Materials, Radboud University, Heyendaalseweg 135, 6525 AJ Nijmegen (Netherlands)

    2017-03-20

    We report the first high resolution spectroscopic study of the NH{sub 3}–H{sub 2} van der Waals molecular complex. Three different experimental techniques, a molecular beam Fourier transform microwave spectrometer, a millimeter-wave intracavity jet OROTRON spectrometer, and a submillimeter-wave jet spectrometer with multipass cell, were used to detect pure rotational transitions of NH{sub 3}–H{sub 2} in the wide frequency range from 39 to 230 GHz. Two nuclear spin species, ( o )-NH{sub 3}–( o )-H{sub 2} and ( p )-NH{sub 3}–( o )-H{sub 2}, have been assigned as carriers of the observed lines on the basis of accompanying rovibrational calculations performed using the ab initio intermolecular potential energy surface (PES) of Maret et al. The experimental spectra were compared with the theoretical bound state results, thus providing a critical test of the quality of the NH{sub 3}–H{sub 2} PES, which is a key issue for reliable computations of the collisional excitation and de-excitation of ammonia in the dense interstellar medium.

  14. Multiple beam mask writers: an industry solution to the write time crisis

    Science.gov (United States)

    Litt, Lloyd C.

    2010-09-01

    The semiconductor industry is under constant pressure to reduce production costs even as technology complexity increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which has added to the complexity of making masks through the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept mask write times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that $50M+ in non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development is a high risk for an individual supplier. The problem is compounded by a disconnect between the tool customer (the mask supplier) and the final mask customer that will bear the increased costs if a high speed writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed. Because SEMATECH's member companies strongly support a multiple beam technology for mask writers to reduce the write time and cost of 193 nm and EUV masks, SEMATECH plans to pursue an advanced mask writer program in 2011 and 2012. In 2010, efforts will focus on identifying a funding model to address the investment to develop such a technology.

  15. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  16. The bright-star masks for the HSC-SSP survey

    Science.gov (United States)

    Coupon, Jean; Czakon, Nicole; Bosch, James; Komiyama, Yutaka; Medezinski, Elinor; Miyazaki, Satoshi; Oguri, Masamune

    2018-01-01

    We present the procedure to build and validate the bright-star masks for the Hyper-Suprime-Cam Strategic Subaru Proposal (HSC-SSP) survey. To identify and mask the saturated stars in the full HSC-SSP footprint, we rely on the Gaia and Tycho-2 star catalogues. We first assemble a pure star catalogue down to GGaia SSP, finding that our star catalogue is 99.2% pure down to GGaia SSP observations at "ftp://obsftp.unige.ch/pub/coupon/brightStarMasks/HSC-SSP/".

  17. A mask quality control tool for the OSIRIS multi-object spectrograph

    Science.gov (United States)

    López-Ruiz, J. C.; Vaz Cedillo, Jacinto Javier; Ederoclite, Alessandro; Bongiovanni, Ángel; González Escalera, Víctor

    2012-09-01

    OSIRIS multi object spectrograph uses a set of user-customised-masks, which are manufactured on-demand. The manufacturing process consists of drilling the specified slits on the mask with the required accuracy. Ensuring that slits are on the right place when observing is of vital importance. We present a tool for checking the quality of the process of manufacturing the masks which is based on analyzing the instrument images obtained with the manufactured masks on place. The tool extracts the slit information from these images, relates specifications with the extracted slit information, and finally communicates to the operator if the manufactured mask fulfills the expectations of the mask designer. The proposed tool has been built using scripting languages and using standard libraries such as opencv, pyraf and scipy. The software architecture, advantages and limits of this tool in the lifecycle of a multiobject acquisition are presented.

  18. Auditory Time-Frequency Masking for Spectrally and Temporally Maximally-Compact Stimuli.

    Science.gov (United States)

    Necciari, Thibaud; Laback, Bernhard; Savel, Sophie; Ystad, Sølvi; Balazs, Peter; Meunier, Sabine; Kronland-Martinet, Richard

    2016-01-01

    Many audio applications perform perception-based time-frequency (TF) analysis by decomposing sounds into a set of functions with good TF localization (i.e. with a small essential support in the TF domain) using TF transforms and applying psychoacoustic models of auditory masking to the transform coefficients. To accurately predict masking interactions between coefficients, the TF properties of the model should match those of the transform. This involves having masking data for stimuli with good TF localization. However, little is known about TF masking for mathematically well-localized signals. Most existing masking studies used stimuli that are broad in time and/or frequency and few studies involved TF conditions. Consequently, the present study had two goals. The first was to collect TF masking data for well-localized stimuli in humans. Masker and target were 10-ms Gaussian-shaped sinusoids with a bandwidth of approximately one critical band. The overall pattern of results is qualitatively similar to existing data for long maskers. To facilitate implementation in audio processing algorithms, a dataset provides the measured TF masking function. The second goal was to assess the potential effect of auditory efferents on TF masking using a modeling approach. The temporal window model of masking was used to predict present and existing data in two configurations: (1) with standard model parameters (i.e. without efferents), (2) with cochlear gain reduction to simulate the activation of efferents. The ability of the model to predict the present data was quite good with the standard configuration but highly degraded with gain reduction. Conversely, the ability of the model to predict existing data for long maskers was better with than without gain reduction. Overall, the model predictions suggest that TF masking can be affected by efferent (or other) effects that reduce cochlear gain. Such effects were avoided in the experiment of this study by using maximally

  19. Temperature rise of the mask-resist assembly during LIGA exposure

    International Nuclear Information System (INIS)

    Ting, Aili

    2004-01-01

    Deep X-ray lithography on PMMA resist is used in the LIGA process. The resist is exposed to synchrotron X-rays through a patterned mask and then is developed in a liquid developer to make high aspect ratio microstructures. The limitations in dimensional accuracies of the LIGA generated microstructure originate from many sources, including synchrotron and X-ray physics, thermal and mechanical properties of mask and resist, and from the kinetics of the developer. This work addresses the thermal analysis and temperature rise of the mask-resist assembly during exposure in air at the Advanced Light Source (ALS) synchrotron. The concern is that dimensional errors generated at the mask and the resist due to thermal expansion will lower the accuracy of the lithography. We have developed a three-dimensional finite-element model of the mask and resist assembly that includes a mask with absorber, a resist with substrate, three metal holders, and a water-cooling block. We employed the LIGA exposure-development software LEX-D to calculate volumetric heat sources generated in the assembly by X-ray absorption and the commercial software ABAQUS to calculate heat transfer including thermal conduction inside the assembly, natural and forced convection, and thermal radiation. at assembly outer and/or inner surfaces. The calculations of assembly maximum temperature. have been compared with temperature measurements conducted at ALS. In some of these experiments, additional cooling of the assembly was produced by forced nitrogen flow ('nitrogen jets') directed at the mask surface. The temperature rise in the silicon mask and the mask holder comes directly from the X-ray absorption, but nitrogen jets carry away a significant portion of heat energy from the mask surface, while natural convection carries away negligibly small amounts energy from the holder. The temperature rise in PMMA resist is mainly from heat conducted from the silicon substrate backward to the resist and from the inner

  20. Simulation of the SAGE spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Cox, D.M.; Herzberg, R.D. [University of Liverpool, Department of Physics, Oliver Lodge Laboratory, Liverpool (United Kingdom); Konki, J.; Greenlees, P.T.; Pakarinen, J.; Papadakis, P.; Rahkila, P.; Sandzelius, M.; Sorri, J. [University of Jyvaeskylae, Department of Physics, Jyvaeskylae (Finland); Hauschild, K. [Universite Paris-Sud, CSNSM-IN2P3-CNRS, Orsay (France)

    2015-06-15

    The SAGE spectrometer combines a Ge-detector array with a Si detector to allow simultaneous detection of γ-rays and electrons. A comprehensive GEANT4 simulation package of the SAGE spectrometer has been developed with the ability to simulate the expected datasets based on user input files. The measured performance of the spectrometer is compared to the results obtained from the simulations. (orig.)

  1. Simulation of the SAGE spectrometer

    International Nuclear Information System (INIS)

    Cox, D.M.; Herzberg, R.D.; Konki, J.; Greenlees, P.T.; Pakarinen, J.; Papadakis, P.; Rahkila, P.; Sandzelius, M.; Sorri, J.; Hauschild, K.

    2015-01-01

    The SAGE spectrometer combines a Ge-detector array with a Si detector to allow simultaneous detection of γ-rays and electrons. A comprehensive GEANT4 simulation package of the SAGE spectrometer has been developed with the ability to simulate the expected datasets based on user input files. The measured performance of the spectrometer is compared to the results obtained from the simulations. (orig.)

  2. New Y2K problem for mask making (or, Surviving mask data problems after 2000)

    Science.gov (United States)

    Sturgeon, Roger

    1999-08-01

    The Y2K problem has analogies in the mask-making world. With the Y2K problem where a date field has just two bytes for the year, there are some cases of mask-making data in which the file size cannot exceed 2 gigabytes. Where a two-digit date field can only unambiguously use a limited range of values (00 to 99), design coordinates can only cover a range of about 4 billion values, which is getting a little uncomfortable for all of the new applications. In retrospect, with a degree of foresight and planning the Y2K date problem could have been easily solved if new encodings had been allowed in the two- digit field. Likewise, in the mask-making industry we currently have the opportunity to achieve far superior data compression if we allow some new forms of data encoding in our data. But this will require universal agreement. The correct way to look at the Y2K problem is that some information was left out of the data stream due to common understandings that made the additional information superfluous. But as the year 2000 approaches, it has become widely recognized that missing data needs to be stated explicitly, and any ambiguities in the representation of the data will need to be eliminated with precise specifications. In a similar way, old mask data generation methods have had numerous flaws that we have been able to ignore for a long time. But now is the time to fix theses flaws and provide extended capabilities. What is not yet clear is if the old data generation methods can be modified to meet these developing needs. Unilateral action is not likely to lead to much progress, so some united effort is required by all interested parties if success is to be achieved in the brief time that remains.

  3. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  4. Model-based MPC enables curvilinear ILT using either VSB or multi-beam mask writers

    Science.gov (United States)

    Pang, Linyong; Takatsukasa, Yutetsu; Hara, Daisuke; Pomerantsev, Michael; Su, Bo; Fujimura, Aki

    2017-07-01

    Inverse Lithography Technology (ILT) is becoming the choice for Optical Proximity Correction (OPC) of advanced technology nodes in IC design and production. Multi-beam mask writers promise significant mask writing time reduction for complex ILT style masks. Before multi-beam mask writers become the main stream working tools in mask production, VSB writers will continue to be the tool of choice to write both curvilinear ILT and Manhattanized ILT masks. To enable VSB mask writers for complex ILT style masks, model-based mask process correction (MB-MPC) is required to do the following: 1). Make reasonable corrections for complex edges for those features that exhibit relatively large deviations from both curvilinear ILT and Manhattanized ILT designs. 2). Control and manage both Edge Placement Errors (EPE) and shot count. 3. Assist in easing the migration to future multi-beam mask writer and serve as an effective backup solution during the transition. In this paper, a solution meeting all those requirements, MB-MPC with GPU acceleration, will be presented. One model calibration per process allows accurate correction regardless of the target mask writer.

  5. Voice-associated static face image releases speech from informational masking.

    Science.gov (United States)

    Gao, Yayue; Cao, Shuyang; Qu, Tianshu; Wu, Xihong; Li, Haifeng; Zhang, Jinsheng; Li, Liang

    2014-06-01

    In noisy, multipeople talking environments such as a cocktail party, listeners can use various perceptual and/or cognitive cues to improve recognition of target speech against masking, particularly informational masking. Previous studies have shown that temporally prepresented voice cues (voice primes) improve recognition of target speech against speech masking but not noise masking. This study investigated whether static face image primes that have become target-voice associated (i.e., facial images linked through associative learning with voices reciting the target speech) can be used by listeners to unmask speech. The results showed that in 32 normal-hearing younger adults, temporally prepresenting a voice-priming sentence with the same voice reciting the target sentence significantly improved the recognition of target speech that was masked by irrelevant two-talker speech. When a person's face photograph image became associated with the voice reciting the target speech by learning, temporally prepresenting the target-voice-associated face image significantly improved recognition of target speech against speech masking, particularly for the last two keywords in the target sentence. Moreover, speech-recognition performance under the voice-priming condition was significantly correlated to that under the face-priming condition. The results suggest that learned facial information on talker identity plays an important role in identifying the target-talker's voice and facilitating selective attention to the target-speech stream against the masking-speech stream. © 2014 The Institute of Psychology, Chinese Academy of Sciences and Wiley Publishing Asia Pty Ltd.

  6. A further test of the linearity of temporal summation in forward masking.

    Science.gov (United States)

    Plack, Christopher J; Carcagno, Samuele; Oxenham, Andrew J

    2007-10-01

    An experiment tested the hypothesis that the masking effects of two nonoverlapping forward maskers are summed linearly over time. First, the levels of individual noise maskers required to mask a brief 4-kHz signal presented at 10-, 20-, 30-, or 40-dB sensation level (SL) were found. The hypothesis predicts that a combination of the first masker presented at the level required to mask the 10-dB SL signal and the second masker presented at the level required to mask the 20-dB SL signal, should produce the same amount of masking as the converse situation (i.e., the first masker presented at the level required to mask the 20-dB SL signal and the second masker presented at the level required to mask the 10-dB SL signal), and similarly for the 30- and 40-dB SL signals. The results were consistent with the predictions.

  7. Measurements from preterm infants to guide face mask size.

    Science.gov (United States)

    O'Shea, Joyce E; Thio, Marta; Owen, Louise S; Wong, Connie; Dawson, Jennifer A; Davis, Peter G

    2016-07-01

    International guidelines recommend that an appropriately sized face mask for providing positive pressure ventilation should cover the mouth and nose but not the eyes and should not overlap the chin. This study aimed to measure the dimensions of preterm infants' faces and compare these with the size of the most commonly available face masks (external diameter 50 mm) and the smallest masks available (external diameters 35 and 42 mm). Infants 24-33 weeks' postmenstrual age (PMA) were photographed in a standardised manner. Images were analysed using ImageJ software (National Institute of Health, USA) to calculate the distance from the nasofrontal groove to the mental protuberance. This facial measurement corresponds to the external diameter of an optimally fitting mask. A cohort of 107 infants between 24 and 33 weeks' gestational age, including at least 10 infants per week of gestation, was photographed within 72 h after birth and weekly until 33 weeks' PMA. 347 photographs were analysed. Infants of 24, 26, 28, 30 and 32 weeks' PMA had mean (SD) facial measurements of 32 (2), 36 (3), 38 (4), 41 (2) and 43 (4) mm, respectively. There were no significant differences when examined by gender or when small for gestational age infants were excluded. The smallest size of some brands of mask is too large for many preterm infants. Masks of 35 mm diameter are suitable for infants Masks of 42 mm diameter are suitable for infants 27-33 weeks' PMA or 750-2500 g. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  8. Improved Mask Protected DES using RSA Algorithm

    Directory of Open Access Journals (Sweden)

    Asha Latha S.

    2016-01-01

    Full Text Available The data encryption standard is a pioneering and farsighted standard which helped to set a new paradigm for encryption standards. But now DES is considered to be insecure for some application. Asymmetric mask protected DES is an advanced encryption method for effectively protecting the advanced DES. There are still probabilities to improve its security. This paper propose a method, which introduce a RSA key generation scheme in mask protected DES instead of plain key, which result in enhancement in the security of present asymmetric mask protected DES. We further propose a Vedic mathematical method of RSA implementation which reduce the complexity of computation in RSA block thereby resulting in reduced delay (four timesthat improves the performance of overall system. The software implementation was performed using Xilinx 13.2 and Model-Sim was used for the simulation environment.

  9. A pattern-based method to automate mask inspection files

    Science.gov (United States)

    Kamal Baharin, Ezni Aznida Binti; Muhsain, Mohamad Fahmi Bin; Ahmad Ibrahim, Muhamad Asraf Bin; Ahmad Noorhani, Ahmad Nurul Ihsan Bin; Sweis, Jason; Lai, Ya-Chieh; Hurat, Philippe

    2017-03-01

    Mask inspection is a critical step in the mask manufacturing process in order to ensure all dimensions printed are within the needed tolerances. This becomes even more challenging as the device nodes shrink and the complexity of the tapeout increases. Thus, the amount of measurement points and their critical dimension (CD) types are increasing to ensure the quality of the mask. In addition to the mask quality, there is a significant amount of manpower needed when the preparation and debugging of this process are not automated. By utilizing a novel pattern search technology with the ability to measure and report match region scan-line (edge) measurements, we can create a flow to find, measure and mark all metrology locations of interest and provide this automated report to the mask shop for inspection. A digital library is created based on the technology product and node which contains the test patterns to be measured. This paper will discuss how these digital libraries will be generated and then utilized. As a time-critical part of the manufacturing process, this can also reduce the data preparation cycle time, minimize the amount of manual/human error in naming and measuring the various locations, reduce the risk of wrong/missing CD locations, and reduce the amount of manpower needed overall. We will also review an example pattern and how the reporting structure to the mask shop can be processed. This entire process can now be fully automated.

  10. Mask industry quality assessment

    Science.gov (United States)

    Strott, Al; Bassist, Larry

    1994-12-01

    Product quality and timely delivery are two of the most important parameters in determining the success of a mask manufacturing facility. Because of the sensitivity of this data, very little was known about industry performance in these areas until an assessment was authored and presented at the 1993 BACUS Symposium by Larry Regis of Intel Corporation, Neil Paulsen of Intel Corporation, and James A. Reynolds of Reynolds Consulting. This data has been updated and will be published and presented at this year's BACUS Symposium. Contributor identities will again remain protected by utilizing Arthur Andersen & Company to compile the submittals. Participation was consistent with last year's representation of over 75% of the total merchant and captive mask volume in the United States. The data compiled includes shipments, customer return rate, customer return reasons from 1988 through Q2, 1994, performance to schedule, plate survival yield, and throughput time (TPT).

  11. Vibrational motions in rotating nuclei studied by Coulomb excitations

    Energy Technology Data Exchange (ETDEWEB)

    Shimizu, Yoshifumi R [Kyushu Univ., Fukuoka (Japan). Dept. of Physics

    1998-03-01

    As is well-known Coulomb excitation is an excellent tool to study the nuclear collective motions. Especially the vibrational excitations in rotating nuclei, which are rather difficult to access by usual heavy-ion fusion reactions, can be investigated in detail. Combined with the famous 8{pi}-Spectrometer, which was one of the best {gamma}-ray detector and had discovered some of superdeformed bands, such Coulomb excitation experiments had been carried out at Chalk River laboratory just before it`s shutdown of physics division. In this meeting some of the experimental data are presented and compared with the results of theoretical investigations. (author)

  12. 37 CFR 211.2 - Recordation of documents pertaining to mask works.

    Science.gov (United States)

    2010-07-01

    ... pertaining to mask works. 211.2 Section 211.2 Patents, Trademarks, and Copyrights COPYRIGHT OFFICE, LIBRARY OF CONGRESS COPYRIGHT OFFICE AND PROCEDURES MASK WORK PROTECTION § 211.2 Recordation of documents pertaining to mask works. The conditions prescribed in § 201.4 of this chapter for recordation of transfers...

  13. A novel micromachined shadow mask system with self-alignment and gap control capability

    International Nuclear Information System (INIS)

    Hong, Jung Moo; Zou Jun

    2008-01-01

    We present a novel micromachined shadow mask system, which is capable of accurate self-alignment and mask-substrate gap control. The shadow mask system consists of a silicon shadow mask and a silicon carrier wafer with pyramidal cavities fabricated with bulk micromachining. Self-alignment and gap control of the shadow mask and the fabrication substrate can readily be achieved by using matching pairs of pyramidal cavities and steel spheres placed between. The layer-to-layer alignment accuracy of the new shadow mask system has been experimentally characterized and verified using both optical and atomic force microscopic measurements. As an application of this new shadow mask system, an organic thin-film transistor (OTFT) using pentacene as the semiconductor layer has been successfully fabricated and tested

  14. Serotonin dependent masking of hippocampal sharp wave ripples.

    Science.gov (United States)

    ul Haq, Rizwan; Anderson, Marlene L; Hollnagel, Jan-Oliver; Worschech, Franziska; Sherkheli, Muhammad Azahr; Behrens, Christoph J; Heinemann, Uwe

    2016-02-01

    Sharp wave ripples (SPW-Rs) are thought to play an important role in memory consolidation. By rapid replay of previously stored information during slow wave sleep and consummatory behavior, they result from the formation of neural ensembles during a learning period. Serotonin (5-HT), suggested to be able to modify SPW-Rs, can affect many neurons simultaneously by volume transmission and alter network functions in an orchestrated fashion. In acute slices from dorsal hippocampus, SPW-Rs can be induced by repeated high frequency stimulation that induces long-lasting LTP. We used this model to study SPW-R appearance and modulation by 5-HT. Although stimulation in presence of 5-HT permitted LTP induction, SPW-Rs were "masked"--but appeared after 5-HT wash-out. This SPW-R masking was dose dependent with 100 nM 5-HT being sufficient--if the 5-HT re-uptake inhibitor citalopram was present. Fenfluramine, a serotonin releaser, could also mask SPW-Rs. Masking was due to 5-HT1A and 5-HT2A/C receptor activation. Neither membrane potential nor membrane conductance changes in pyramidal cells caused SPW-R blockade since both remained unaffected by combining 5-HT and citalopram. Moreover, 10 and 30 μM 5-HT mediated SPW-R masking preceded neuronal hyperpolarization and involved reduced presynaptic transmitter release. 5-HT, as well as a 5-HT1A agonist, augmented paired pulse facilitation and affected the coefficient of variance. Spontaneous SPW-Rs in mice hippocampal slices were also masked by 5-HT and fenfluramine. While neuronal ensembles can acquire long lasting LTP during higher 5-HT levels, lower 5-HT levels enable neural ensembles to replay previously stored information and thereby permit memory consolidation memory. Copyright © 2015 Elsevier Ltd. All rights reserved.

  15. Respiratory source control using a surgical mask: An in vitro study.

    Science.gov (United States)

    Patel, Rajeev B; Skaria, Shaji D; Mansour, Mohamed M; Smaldone, Gerald C

    2016-07-01

    Cough etiquette and respiratory hygiene are forms of source control encouraged to prevent the spread of respiratory infection. The use of surgical masks as a means of source control has not been quantified in terms of reducing exposure to others. We designed an in vitro model using various facepieces to assess their contribution to exposure reduction when worn at the infectious source (Source) relative to facepieces worn for primary (Receiver) protection, and the factors that contribute to each. In a chamber with various airflows, radiolabeled aerosols were exhaled via a ventilated soft-face manikin head using tidal breathing and cough (Source). Another manikin, containing a filter, quantified recipient exposure (Receiver). The natural fit surgical mask, fitted (SecureFit) surgical mask and an N95-class filtering facepiece respirator (commonly known as an "N95 respirator") with and without a Vaseline-seal were tested. With cough, source control (mask or respirator on Source) was statistically superior to mask or unsealed respirator protection on the Receiver (Receiver protection) in all environments. To equal source control during coughing, the N95 respirator must be Vaseline-sealed. During tidal breathing, source control was comparable or superior to mask or respirator protection on the Receiver. Source control via surgical masks may be an important adjunct defense against the spread of respiratory infections. The fit of the mask or respirator, in combination with the airflow patterns in a given setting, are significant contributors to source control efficacy. Future clinical trials should include a surgical mask source control arm to assess the contribution of source control in overall protection against airborne infection.

  16. Searching for Dark Photons with the SeaQuest Spectrometer

    Science.gov (United States)

    Uemura, Sho; SeaQuest Collaboration

    2017-09-01

    The existence of a dark sector, containing families of particles that do not couple directly to the Standard Model, is motivated as a possible model for dark matter. A ``dark photon'' - a massive vector boson that couples weakly to electric charge - is a common component of dark sector models. The SeaQuest spectrometer at Fermilab is designed to detect dimuon pairs produced by the interaction of a 120 GeV proton beam with a rotating set of thin fixed targets. An iron-filled magnet downstream of the target, 5 meters in length, serves as a beam dump. The SeaQuest spectrometer is sensitive to dark photons that are mostly produced in the beam dump and decay to dimuons, and a SeaQuest search for dark sector particles was approved as Fermilab experiment E1067. As part of E1067, a displaced-vertex trigger was built, installed and commissioned this year. This trigger uses two planes of extruded scintillators to identify dimuons originating far downstream of the target, and is sensitive to dark photons that travel deep inside the beam dump before decaying to dimuons. This trigger will be used to take data parasitically with the primary SeaQuest physics program. In this talk I will present the displaced-vertex trigger and its performance, and projected sensitivity from future running.

  17. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  18. The MEG positron spectrometer

    International Nuclear Information System (INIS)

    Nishiguchi, Hajime

    2007-01-01

    We have been developing an innovative spectrometer for the MEG experiment at the Paul Scherrer Institute (PSI) in Switzerland. This experiment searches for a lepton flavour violating decay μ + →e + γ with a sensitivity of 10 -13 in order to explore the region predicted by supersymmetric extensions of the standard model. The MEG positron spectrometer consists of a specially designed superconducting solenoidal magnet with a highly graded field, an ultimate low-mass drift chamber system, and a precise time measuring counter system. This innovative positron spectrometer is described here focusing on the drift chamber system

  19. VEGAS: VErsatile GBT Astronomical Spectrometer

    Science.gov (United States)

    Bussa, Srikanth; VEGAS Development Team

    2012-01-01

    The National Science Foundation Advanced Technologies and Instrumentation (NSF-ATI) program is funding a new spectrometer backend for the Green Bank Telescope (GBT). This spectrometer is being built by the CICADA collaboration - collaboration between the National Radio Astronomy Observatory (NRAO) and the Center for Astronomy Signal Processing and Electronics Research (CASPER) at the University of California Berkeley.The backend is named as VErsatile GBT Astronomical Spectrometer (VEGAS) and will replace the capabilities of the existing spectrometers. This backend supports data processing from focal plane array systems. The spectrometer will be capable of processing up to 1.25 GHz bandwidth from 8 dual polarized beams or a bandwidth up to 10 GHz from a dual polarized beam.The spectrometer will be using 8-bit analog to digital converters (ADC), which gives a better dynamic range than existing GBT spectrometers. There will be 8 tunable digital sub-bands within the 1.25 GHz bandwidth, which will enhance the capability of simultaneous observation of multiple spectral transitions. The maximum spectral dump rate to disk will be about 0.5 msec. The vastly enhanced backend capabilities will support several science projects with the GBT. The projects include mapping temperature and density structure of molecular clouds; searches for organic molecules in the interstellar medium; determination of the fundamental constants of our evolving Universe; red-shifted spectral features from galaxies across cosmic time and survey for pulsars in the extreme gravitational environment of the Galactic Center.

  20. Estimating individual listeners’ auditory-filter bandwidth in simultaneous and non-simultaneous masking

    DEFF Research Database (Denmark)

    Buchholz, Jörg; Caminade, Sabine; Strelcyk, Olaf

    2010-01-01

    Frequency selectivity in the human auditory system is often measured using simultaneous masking of tones presented in notched noise. Based on such masking data, the equivalent rectangular bandwidth (ERB) of the auditory filters can be derived by applying the power spectrum model of masking....... Considering bandwidth estimates from previous studies based on forward masking, only average data across a number of subjects have been considered. The present study is concerned with bandwidth estimates in simultaneous and forward masking in individual normal-hearing subjects. In order to investigate...... the reliability of the individual estimates, a statistical resampling method is applied. It is demonstrated that a rather large set of experimental data is required to reliably estimate auditory filter bandwidth, particularly in the case of simultaneous masking. The poor overall reliability of the filter...

  1. Thermal stress analysis of the SLAC moveable mask. Addendum 2

    International Nuclear Information System (INIS)

    Johnson, G.L.

    1985-01-01

    X-ray beams emerging from the new SLAC electron-positron storage ring (PEP) can impinge on the walls of tangential divertor channels. A moveable mask made of 6061-T6 aluminum is installed in the channel to limit wall heating. The mask is cooled with water flowing axially at 30 0 C. Beam strikes on the mask cause highly localized heating in the channel structure. Analyses were completed to determine the temperatures and thermally-induced stresses due to this heating. The current design and operating conditions should result in the entrance to the moveable mask operating at a peak temperature of 88 0 C with a peak thermal stress at 19% of the yield of 6061-T6 aluminum

  2. Energy enhancer for mask based laser materials processing

    DEFF Research Database (Denmark)

    Bastue, Jens; Olsen, Flemmming Ove

    1996-01-01

    A device capable of drastically improving the energy efficiency of present mask based laser materials processing systems is presented. Good accordance between experiments and simulations for a TEA-CO2 laser system designed for laser marking has been demonstrated. The energy efficiency may...... be improved with a factor of 2 - 4 for typical mask transmittances between 10 - 40%....

  3. Magnetic spectrometer Grand Raiden

    International Nuclear Information System (INIS)

    Fujiwara, M.; Akimune, H.; Daito, I.; Fujimura, H.; Fujita, Y.; Hatanaka, K.; Ikegami, H.; Katayama, I.; Nagayama, K.; Matsuoka, N.; Morinobu, S.; Noro, T.; Yoshimura, M.; Sakaguchi, H.; Sakemi, Y.; Tamii, A.; Yosoi, M.

    1999-01-01

    A high-resolution magnetic spectrometer called 'Grand Raiden' is operated at the RCNP ring cyclotron facility in Osaka for nuclear physics studies at intermediate energies. This magnetic spectrometer has excellent ion-optical properties. In the design of the spectrometer, the second-order dispersion matching condition has been taken into account, and almost all the aberration terms such as (x vertical bar θ 3 ), (x vertical bar θφ 2 ), (x vertical bar θ 2 δ) and (x vertical bar θδ 2 ) in a third-order matrix calculation are optimized. A large magnetic rigidity of the spectrometer (K = 1400 MeV) gives a great advantage to measure the charge-exchange ( 3 He, t) reactions at 450 MeV. The ability of the high-resolution measurement has been demonstrated. Various coincidence measurements are performed to study the nuclear structures of highly excited states through decay properties of nuclear levels following nuclear reactions at intermediate energies

  4. Auditory Time-Frequency Masking for Spectrally and Temporally Maximally-Compact Stimuli.

    Directory of Open Access Journals (Sweden)

    Thibaud Necciari

    Full Text Available Many audio applications perform perception-based time-frequency (TF analysis by decomposing sounds into a set of functions with good TF localization (i.e. with a small essential support in the TF domain using TF transforms and applying psychoacoustic models of auditory masking to the transform coefficients. To accurately predict masking interactions between coefficients, the TF properties of the model should match those of the transform. This involves having masking data for stimuli with good TF localization. However, little is known about TF masking for mathematically well-localized signals. Most existing masking studies used stimuli that are broad in time and/or frequency and few studies involved TF conditions. Consequently, the present study had two goals. The first was to collect TF masking data for well-localized stimuli in humans. Masker and target were 10-ms Gaussian-shaped sinusoids with a bandwidth of approximately one critical band. The overall pattern of results is qualitatively similar to existing data for long maskers. To facilitate implementation in audio processing algorithms, a dataset provides the measured TF masking function. The second goal was to assess the potential effect of auditory efferents on TF masking using a modeling approach. The temporal window model of masking was used to predict present and existing data in two configurations: (1 with standard model parameters (i.e. without efferents, (2 with cochlear gain reduction to simulate the activation of efferents. The ability of the model to predict the present data was quite good with the standard configuration but highly degraded with gain reduction. Conversely, the ability of the model to predict existing data for long maskers was better with than without gain reduction. Overall, the model predictions suggest that TF masking can be affected by efferent (or other effects that reduce cochlear gain. Such effects were avoided in the experiment of this study by using

  5. RITA-type triple axis spectrometers

    International Nuclear Information System (INIS)

    Roennow, H.M.

    2001-01-01

    The RITA spectrometer at Risoe National Laboratory was the first to incorporate a complete re-thinking of the neutron-path from source, through detector to analysis. Since then, other RITA-type spectrometers such as SPINS at NIST, RITA-II at PSI have been built, and several new spectrometers around the world are adapting the same philosophy. The main novelty of RITA was the introduction of a single back-end tank featuring both an analyser block with multiple individually turnable analyser blades and a 2D position sensitive detector. Several new triple-axis spectrometers are presently being built at existing and future sources, and almost all of them have learnt from the experience with RITA. (R.P.)

  6. Adapting Mask-RCNN for Automatic Nucleus Segmentation

    OpenAIRE

    Johnson, Jeremiah W.

    2018-01-01

    Automatic segmentation of microscopy images is an important task in medical image processing and analysis. Nucleus detection is an important example of this task. Mask-RCNN is a recently proposed state-of-the-art algorithm for object detection, object localization, and object instance segmentation of natural images. In this paper we demonstrate that Mask-RCNN can be used to perform highly effective and efficient automatic segmentations of a wide range of microscopy images of cell nuclei, for ...

  7. Active Mask Segmentation of Fluorescence Microscope Images

    OpenAIRE

    Srinivasa, Gowri; Fickus, Matthew C.; Guo, Yusong; Linstedt, Adam D.; Kovačević, Jelena

    2009-01-01

    We propose a new active mask algorithm for the segmentation of fluorescence microscope images of punctate patterns. It combines the (a) flexibility offered by active-contour methods, (b) speed offered by multiresolution methods, (c) smoothing offered by multiscale methods, and (d) statistical modeling offered by region-growing methods into a fast and accurate segmentation tool. The framework moves from the idea of the “contour” to that of “inside and outside”, or, masks, allowing for easy mul...

  8. Beyond a mask and against the bottleneck: retroactive dual-task interference during working memory consolidation of a masked visual target.

    Science.gov (United States)

    Nieuwenstein, Mark; Wyble, Brad

    2014-06-01

    While studies on visual memory commonly assume that the consolidation of a visual stimulus into working memory is interrupted by a trailing mask, studies on dual-task interference suggest that the consolidation of a stimulus can continue for several hundred milliseconds after a mask. As a result, estimates of the time course of working memory consolidation differ more than an order of magnitude. Here, we contrasted these opposing views by examining if and for how long the processing of a masked display of visual stimuli can be disturbed by a trailing 2-alternative forced choice task (2-AFC; a color discrimination task or a visual or auditory parity judgment task). The results showed that the presence of the 2-AFC task produced a pronounced retroactive interference effect that dissipated across stimulus onset asynchronies of 250-1,000 ms, indicating that the processing elicited by the 2-AFC task interfered with the gradual consolidation of the earlier shown stimuli. Furthermore, this interference effect occurred regardless of whether the to-be-remembered stimuli comprised a string of letters or an unfamiliar complex visual shape, and it occurred regardless of whether these stimuli were masked. Conversely, the interference effect was reduced when the memory load for the 1st task was reduced, or when the 2nd task was a color detection task that did not require decision making. Taken together, these findings show that the formation of a durable and consciously accessible working memory trace for a briefly shown visual stimulus can be disturbed by a trailing 2-AFC task for up to several hundred milliseconds after the stimulus has been masked. By implication, the current findings challenge the common view that working memory consolidation involves an immutable central processing bottleneck, and they also make clear that consolidation does not stop when a stimulus is masked. PsycINFO Database Record (c) 2014 APA, all rights reserved.

  9. MC1R studies in dogs with melanistic mask or brindle patterns.

    Science.gov (United States)

    Schmutz, S M; Berryere, T G; Ellinwood, N M; Kerns, J A; Barsh, G S

    2003-01-01

    Black mask is a characteristic pattern in which red, yellow, tan, fawn, or brindle dogs exhibit a melanistic muzzle which may extend up onto the ears. Melanistic mask is inherited in several breeds as an autosomal dominant trait, and appears to be a fixed trait in a few breeds of dogs. A MC1R nonsense mutation, R306ter, has been shown to cause a completely red or yellow coat color in certain breeds such as Irish setters, yellow Labrador retrievers, and golden retrievers. The amino acid sequence for the melanocortin receptor 1 gene (MC1R) was examined in 17 dogs with melanistic masks from seven breeds, 19 dogs without melanistic masks, and 7 dogs in which their coat color made the mask difficult to distinguish. We also examined nine brindle dogs of four breeds, including three dogs who also had a black mask. No consistent amino acid change was observed in the brindle dogs. All dogs with a melanistic mask had at least one copy of a valine substitution for methionine at amino acid 264 (M264V) and none were homozygous for the premature stop codon (R306ter). These results suggest that black mask, but not brindle, is caused by a specific MC1R allele.

  10. Perceptual effects of noise reduction by time-frequency masking of noisy speech.

    Science.gov (United States)

    Brons, Inge; Houben, Rolph; Dreschler, Wouter A

    2012-10-01

    Time-frequency masking is a method for noise reduction that is based on the time-frequency representation of a speech in noise signal. Depending on the estimated signal-to-noise ratio (SNR), each time-frequency unit is either attenuated or not. A special type of a time-frequency mask is the ideal binary mask (IBM), which has access to the real SNR (ideal). The IBM either retains or removes each time-frequency unit (binary mask). The IBM provides large improvements in speech intelligibility and is a valuable tool for investigating how different factors influence intelligibility. This study extends the standard outcome measure (speech intelligibility) with additional perceptual measures relevant for noise reduction: listening effort, noise annoyance, speech naturalness, and overall preference. Four types of time-frequency masking were evaluated: the original IBM, a tempered version of the IBM (called ITM) which applies limited and non-binary attenuation, and non-ideal masking (also tempered) with two different types of noise-estimation algorithms. The results from ideal masking imply that there is a trade-off between intelligibility and sound quality, which depends on the attenuation strength. Additionally, the results for non-ideal masking suggest that subjective measures can show effects of noise reduction even if noise reduction does not lead to differences in intelligibility.

  11. Study of shape evaluation for mask and silicon using large field of view

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2010-09-01

    We have developed a highly integrated method of mask and silicon metrology. The aim of this integration is evaluating the performance of the silicon corresponding to Hotspot on a mask. It can use the mask shape of a large field, besides. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and mask manufacture, and this has a big impact on the semiconductor market that centers on the mask business. As an optimal solution to these issues, we provide a DFM solution that extracts 2-dimensional data for a more realistic and error-free simulation by reproducing accurately the contour of the actual mask, in addition to the simulation results from the mask data. On the other hand, there is roughness in the silicon form made from a mass-production line. Moreover, there is variation in the silicon form. For this reason, quantification of silicon form is important, in order to estimate the performance of a pattern. In order to quantify, the same form is equalized in two dimensions. And the method of evaluating based on the form is popular. In this study, we conducted experiments for averaging method of the pattern (Measurement Based Contouring) as two-dimensional mask and silicon evaluation technique. That is, observation of the identical position of a mask and a silicon was considered. The result proved its detection accuracy and reliability of variability on two-dimensional pattern (mask and silicon) and is adaptable to following fields of mask quality management. •Discrimination of nuisance defects for fine pattern. •Determination of two-dimensional variability of

  12. Accuracy and performance of 3D mask models in optical projection lithography

    Science.gov (United States)

    Agudelo, Viviana; Evanschitzky, Peter; Erdmann, Andreas; Fühner, Tim; Shao, Feng; Limmer, Steffen; Fey, Dietmar

    2011-04-01

    Different mask models have been compared: rigorous electromagnetic field (EMF) modeling, rigorous EMF modeling with decomposition techniques and the thin mask approach (Kirchhoff approach) to simulate optical diffraction from different mask patterns in projection systems for lithography. In addition, each rigorous model was tested for two different formulations for partially coherent imaging: The Hopkins assumption and rigorous simulation of mask diffraction orders for multiple illumination angles. The aim of this work is to closely approximate results of the rigorous EMF method by the thin mask model enhanced with pupil filtering techniques. The validity of this approach for different feature sizes, shapes and illumination conditions is investigated.

  13. Evaluation of the protection factor of half-masks with respirator fitting test apparatus

    International Nuclear Information System (INIS)

    Murata, M.; Ikezawa, Y.; Yoshida, Y.; Matsui, H.; Kokubu, M.

    1980-01-01

    A respirator fitting test apparatus has been developed to select half-masks of high performance and to estimate their protective ability. With the apparatus, the facepiece leakage was measured for six different half-masks worn by 40 volunteer subjects, under simulated working conditions of normal breathing, smiling, moving head, and talking. A cloud of submicron sodium chloride particles was fed into a test hood in which the subject wore a mask. The air sampled from inside the mask with a miniature pump was assessed by a flame photometer. It is hown that the protection factor (ratio of the concentration of test cloud outside the mask to that inside) for each mask varies widely with the subject and the working conditions, and that the protection factors roughly fit a lognormal distribution. The values characterizing the distributions of respective masks are given. (H.K.)

  14. "Slit Mask Design for the Giant Magellan Telescope Multi-object Astronomical and Cosmological Spectrograph"

    Science.gov (United States)

    Williams, Darius; Marshall, Jennifer L.; Schmidt, Luke M.; Prochaska, Travis; DePoy, Darren L.

    2018-01-01

    The Giant Magellan Telescope Multi-object Astronomical and Cosmological Spectrograph (GMACS) is currently in development for the Giant Magellan Telescope (GMT). GMACS will employ slit masks with a usable diameter of approximately 0.450 m for the purpose of multi-slit spectroscopy. Of significant importance are the design constraints and parameters of the multi-object slit masks themselves as well as the means for mapping astronomical targets to physical mask locations. Analytical methods are utilized to quantify deformation effects on a potential slit mask due to thermal expansion and vignetting of target light cones. Finite element analysis (FEA) is utilized to simulate mask flexure in changing gravity vectors. The alpha version of the mask creation program for GMACS, GMACS Mask Simulator (GMS), a derivative of the OSMOS Mask Simulator (OMS), is introduced.

  15. GABAA agonist reduces visual awareness : a masking-EEG experiment

    NARCIS (Netherlands)

    van Loon, Anouk M; Scholte, H Steven; van Gaal, Simon; van der Hoort, Björn J J; Lamme, Victor A F

    Consciousness can be manipulated in many ways. Here, we seek to understand whether two such ways, visual masking and pharmacological intervention, share a common pathway in manipulating visual consciousness. We recorded EEG from human participants who performed a backward-masking task in which they

  16. Prediction and outcomes of impossible mask ventilation: a review of 50,000 anesthetics.

    Science.gov (United States)

    Kheterpal, Sachin; Martin, Lizabeth; Shanks, Amy M; Tremper, Kevin K

    2009-04-01

    There are no existing data regarding risk factors for impossible mask ventilation and limited data regarding its incidence. The authors sought to determine the incidence, predictors, and outcomes associated with impossible mask ventilation. The authors performed an observational study over a 4-yr period. For each adult patient undergoing a general anesthetic, preoperative patient characteristics, detailed airway physical exam, and airway outcome data were collected. The primary outcome was impossible mask ventilation defined as the inability to exchange air during bag-mask ventilation attempts, despite multiple providers, airway adjuvants, or neuromuscular blockade. Secondary outcomes included the final, definitive airway management technique and direct laryngoscopy view. The incidence of impossible mask ventilation was calculated. Independent (P impossible mask ventilation were identified by performing a logistic regression full model fit. Over a 4-yr period from 2004 to 2008, 53,041 attempts at mask ventilation were recorded. A total of 77 cases of impossible mask ventilation (0.15%) were observed. Neck radiation changes, male sex, sleep apnea, Mallampati III or IV, and presence of beard were identified as independent predictors. The receiver-operating-characteristic area under the curve for this model was 0.80 +/- 0.03. Nineteen impossible mask ventilation patients (25%) also demonstrated difficult intubation, with 15 being intubated successfully. Twelve patients required an alternative intubation technique, including two surgical airways and two patients who were awakened and underwent successful fiberoptic intubation. Impossible mask ventilation is an infrequent airway event that is associated with difficult intubation. Neck radiation changes represent the most significant clinical predictor of impossible mask ventilation in the patient dataset.

  17. Central auditory masking by an illusory tone.

    Directory of Open Access Journals (Sweden)

    Christopher J Plack

    Full Text Available Many natural sounds fluctuate over time. The detectability of sounds in a sequence can be reduced by prior stimulation in a process known as forward masking. Forward masking is thought to reflect neural adaptation or neural persistence in the auditory nervous system, but it has been unclear where in the auditory pathway this processing occurs. To address this issue, the present study used a "Huggins pitch" stimulus, the perceptual effects of which depend on central auditory processing. Huggins pitch is an illusory tonal sensation produced when the same noise is presented to the two ears except for a narrow frequency band that is different (decorrelated between the ears. The pitch sensation depends on the combination of the inputs to the two ears, a process that first occurs at the level of the superior olivary complex in the brainstem. Here it is shown that a Huggins pitch stimulus produces more forward masking in the frequency region of the decorrelation than a noise stimulus identical to the Huggins-pitch stimulus except with perfect correlation between the ears. This stimulus has a peripheral neural representation that is identical to that of the Huggins-pitch stimulus. The results show that processing in, or central to, the superior olivary complex can contribute to forward masking in human listeners.

  18. Masked priming effect reflects evidence accumulated by the prime.

    Science.gov (United States)

    Kinoshita, Sachiko; Norris, Dennis

    2010-01-01

    In the same-different match task, masked priming is observed with the same responses but not different responses. Norris and Kinoshita's (2008) Bayesian reader account of masked priming explains this pattern based on the same principle as that explaining the absence of priming for nonwords in the lexical decision task. The pattern of priming follows from the way the model makes optimal decisions in the two tasks; priming does not depend on first activating the prime and then the target. An alternative explanation is in terms of a bias towards responding "same" that exactly counters the facilitatory effect of lexical access. The present study tested these two views by varying both the degree to which the prime predicts the response and the visibility of the prime. Unmasked primes produced effects expected from the view that priming is influenced by the degree to which the prime predicts the response. In contrast, with masked primes, the size of priming for the same response was completely unaffected by predictability. These results rule out response bias as an explanation of the absence of masked priming for different responses and, in turn, indicate that masked priming is not a consequence of automatic lexical access of the prime.

  19. Lithographic qualification of high-transmission mask blank for 10nm node and beyond

    Science.gov (United States)

    Xu, Yongan; Faure, Tom; Viswanathan, Ramya; Lobb, Granger; Wistrom, Richard; Burns, Sean; Hu, Lin; Graur, Ioana; Bleiman, Ben; Fischer, Dan; Mignot, Yann; Sakamoto, Yoshifumi; Toda, Yusuke; Bolton, John; Bailey, Todd; Felix, Nelson; Arnold, John; Colburn, Matthew

    2016-04-01

    In this paper, we discuss the lithographic qualification of high transmission (High T) mask for Via and contact hole applications in 10nm node and beyond. First, the simulated MEEF and depth of focus (DoF) data are compared between the 6% and High T attnPSM masks with the transmission of High T mask blank varying from 12% to 20%. The 12% High T blank shows significantly better MEEF and larger DoF than those of 6% attnPSM mask blank, which are consistent with our wafer data. However, the simulations show no obvious advantage in MEEF and DoF when the blank transmittance is larger than 12%. From our wafer data, it has been seen that the common process window from High T mask is 40nm bigger than that from the 6% attnPSM mask. In the elongated bar structure with smaller aspect ratio, 1.26, the 12% High T mask shows significantly less develop CD pull back in the major direction. Compared to the High T mask, the optimized new illumination condition for 6% attnPSM shows limited improvement in MEEF and the DoF through pitch. In addition, by using the High T mask blank, we have also investigated the SRAF printing, side lobe printing and the resist profile through cross sections, and no patterning risk has been found for manufacturing. As part of this work new 12% High T mask blank materials and processes were developed, and a brief overview of key mask technology development results have been shared. Overall, it is concluded that the High T mask, 12% transmission, provides the most robust and extendable lithographic solution for 10nm node and beyond.

  20. Software for mass spectrometer control

    International Nuclear Information System (INIS)

    Curuia, Marian; Culcer, Mihai; Anghel, Mihai; Iliescu, Mariana; Trancota, Dan; Kaucsar, Martin; Oprea, Cristiana

    2004-01-01

    The paper describes a software application for the MAT 250 mass spectrometer control, which was refurbished. The spectrometer was bring-up-to-date using a hardware structure on its support where the software application for mass spectrometer control was developed . The software application is composed of dedicated modules that perform given operations. The instructions that these modules have to perform are generated by a principal module. This module makes possible the change of information between the modules that compose the software application. The use of a modal structure is useful for adding new functions in the future. The developed application in our institute made possible the transformation of the mass spectrometer MAT 250 into a device endowed with other new generation tools. (authors)

  1. Vibration-rotation spectrum of BH X1Σ+ by Fourier transform emission spectroscopy

    Science.gov (United States)

    Pianalto, F. S.; O'Brien, L. C.; Keller, P. C.; Bernath, P. F.

    1988-06-01

    The vibration-rotation emission spectrum of the BH X1Σ+ state was observed with the McMath Fourier transform spectrometer at Kitt Peak. The 1-0, 2-1, and 3-2 bands were observed in a microwave discharge of B2H6 in He. Spectroscopic constants of the individual vibrational levels and equilibrium molecular constants were determined. An RKR potential curve was calculated from the equilibrium constants. Alfred P. Sloan Fellow; Camille and Henry Dreyfus Teacher-Scholar.

  2. Rotational excitation of linear triatomic molecules: Ar, Kr + N2O, CO2

    International Nuclear Information System (INIS)

    Farrar, J.M.; Parson, J.M.; Lee, Y.T.

    1974-01-01

    Rotational excitation of N 2 O and CO 2 in collisions with Ar and Kr has been studied by crossing two supersonic molecular beams and detecting scattered products with a mass spectrometer. Measurement of the time of flight spectrum of the products as a function of laboratory scattering angle theta indicates that the inelasticity is concentrated in the forward direction in the center of mass system. Difference between CO 2 and N 2 O are discussed briefly

  3. Refinement of the CALIOP cloud mask algorithm

    Science.gov (United States)

    Katagiri, Shuichiro; Sato, Kaori; Ohta, Kohei; Okamoto, Hajime

    2018-04-01

    A modified cloud mask algorithm was applied to the CALIOP data to have more ability to detect the clouds in the lower atmosphere. In this algorithm, we also adopt the fully attenuation discrimination and the remain noise estimation using the data obtained at an altitude of 40 km to avoid contamination of stratospheric aerosols. The new cloud mask shows an increase in the lower cloud fraction. Comparison of the results to the data observed with a PML ground observation was also made.

  4. Mass spectrometers in medicine

    International Nuclear Information System (INIS)

    Bushman, J.A.

    1975-01-01

    This paper describes how the mass spectrometer enables true lung function, namely the exchange of gases between the environment and the organism, to be measured. This has greatly improved the understanding of respiratory disease and the latest generation of respiratory mass spectrometers will do much to increase the application of the technique. (author)

  5. Impact of thermoplastic mask on dosimetry of different radiotherapeutic beams

    International Nuclear Information System (INIS)

    Chen Lixin; Zhang Li; Qian Jianyang; Huang Xiaoyan; Lu Jie; Huang Shaomin

    2003-01-01

    Objective: To determine the influence of auxiliary thermoplastic mask on dose distribution of photon or electron beams. Methods: Using the PTW Marcus 23343 type fixed-separation parallel-plate ionization chamber in a special phantom(PMMA), the change of photon dose buildup region was measured with rectification of Bruce empirical formula. Using 3-D water phantom, the central axis percentage depth doses (PDD) of electron beams were measured with verification of the parallel-plate ionization chamber at several given depths. Results: When 8 MV X-ray was delivered through the added facial mask, the buildup region doses were increased obviously with a 25% relative increment beneath near the surface. When 8, 12, 15 MeV electron beams and mask were used, all PDD curves moved to the surface. Conclusions: The impact of thermoplastic mask on the dose increase in the X-ray buildup region, and on the PDD decrease in the electron beam target region should be paid much more attention. And the dose distribution, with an added mask, will have to be re-evaluated in 3-D conformal radiotherapy

  6. Inter- and Intrafraction Patient Positioning Uncertainties for Intracranial Radiotherapy: A Study of Four Frameless, Thermoplastic Mask-Based Immobilization Strategies Using Daily Cone-Beam CT

    International Nuclear Information System (INIS)

    Tryggestad, Erik; Christian, Matthew; Ford, Eric; Kut, Carmen; Le Yi; Sanguineti, Giuseppe; Song, Danny Y.; Kleinberg, Lawrence

    2011-01-01

    Purpose: To determine whether frameless thermoplastic mask-based immobilization is adequate for image-guided cranial radiosurgery. Methods and Materials: Cone-beam CT localization data from patients with intracranial tumors were studied using daily pre- and posttreatment scans. The systems studied were (1) Type-S IMRT (head only) mask (Civco) with head cushion; (2) Uni-Frame mask (Civco) with head cushion, coupled with a BlueBag body immobilizer (Medical Intelligence); (3) Type-S head and shoulder mask with head and shoulder cushion (Civco); (4) same as previous, coupled with a mouthpiece. The comparative metrics were translational shift magnitude and average rotation angle; systematic inter-, random inter-, and random intrafraction positioning error was computed. For strategies 1-4, respectively, the analysis for interfraction variability included data from 20, 9, 81, and 11 patients, whereas that for intrafraction variability included a subset of 7, 9, 16, and 8 patients. The results were compared for statistical significance using an analysis of variance test. Results: Immobilization system 4 provided the best overall accuracy and stability. The mean interfraction translational shifts (± SD) were 2.3 (± 1.4), 2.2 (± 1.1), 2.7 (± 1.5), and 2.1 (± 1.0) mm whereas intrafraction motion was 1.1 (± 1.2), 1.1 (± 1.1), 0.7 (± 0.9), and 0.7 (± 0.8) mm for devices 1-4, respectively. No significant correlation between intrafraction motion and treatment time was evident, although intrafraction motion was not purely random. Conclusions: We find that all frameless thermoplastic mask systems studied are viable solutions for image-guided intracranial radiosurgery. With daily pretreatment corrections, symmetric PTV margins of 1 mm would likely be adequate if ideal radiation planning and targeting systems were available.

  7. Inter- and intrafraction patient positioning uncertainties for intracranial radiotherapy: a study of four frameless, thermoplastic mask-based immobilization strategies using daily cone-beam CT.

    Science.gov (United States)

    Tryggestad, Erik; Christian, Matthew; Ford, Eric; Kut, Carmen; Le, Yi; Sanguineti, Giuseppe; Song, Danny Y; Kleinberg, Lawrence

    2011-05-01

    To determine whether frameless thermoplastic mask-based immobilization is adequate for image-guided cranial radiosurgery. Cone-beam CT localization data from patients with intracranial tumors were studied using daily pre- and posttreatment scans. The systems studied were (1) Type-S IMRT (head only) mask (Civco) with head cushion; (2) Uni-Frame mask (Civco) with head cushion, coupled with a BlueBag body immobilizer (Medical Intelligence); (3) Type-S head and shoulder mask with head and shoulder cushion (Civco); (4) same as previous, coupled with a mouthpiece. The comparative metrics were translational shift magnitude and average rotation angle; systematic inter-, random inter-, and random intrafraction positioning error was computed. For strategies 1-4, respectively, the analysis for interfraction variability included data from 20, 9, 81, and 11 patients, whereas that for intrafraction variability included a subset of 7, 9, 16, and 8 patients. The results were compared for statistical significance using an analysis of variance test. Immobilization system 4 provided the best overall accuracy and stability. The mean interfraction translational shifts (± SD) were 2.3 (± 1.4), 2.2 (± 1.1), 2.7 (± 1.5), and 2.1 (± 1.0) mm whereas intrafraction motion was 1.1 (± 1.2), 1.1 (± 1.1), 0.7 (± 0.9), and 0.7 (± 0.8) mm for devices 1-4, respectively. No significant correlation between intrafraction motion and treatment time was evident, although intrafraction motion was not purely random. We find that all frameless thermoplastic mask systems studied are viable solutions for image-guided intracranial radiosurgery. With daily pretreatment corrections, symmetric PTV margins of 1 mm would likely be adequate if ideal radiation planning and targeting systems were available. Copyright © 2011 Elsevier Inc. All rights reserved.

  8. spectrometer

    Directory of Open Access Journals (Sweden)

    J. K. Hedelius

    2016-08-01

    Full Text Available Bruker™ EM27/SUN instruments are commercial mobile solar-viewing near-IR spectrometers. They show promise for expanding the global density of atmospheric column measurements of greenhouse gases and are being marketed for such applications. They have been shown to measure the same variations of atmospheric gases within a day as the high-resolution spectrometers of the Total Carbon Column Observing Network (TCCON. However, there is little known about the long-term precision and uncertainty budgets of EM27/SUN measurements. In this study, which includes a comparison of 186 measurement days spanning 11 months, we note that atmospheric variations of Xgas within a single day are well captured by these low-resolution instruments, but over several months, the measurements drift noticeably. We present comparisons between EM27/SUN instruments and the TCCON using GGG as the retrieval algorithm. In addition, we perform several tests to evaluate the robustness of the performance and determine the largest sources of errors from these spectrometers. We include comparisons of XCO2, XCH4, XCO, and XN2O. Specifically we note EM27/SUN biases for January 2015 of 0.03, 0.75, –0.12, and 2.43 % for XCO2, XCH4, XCO, and XN2O respectively, with 1σ running precisions of 0.08 and 0.06 % for XCO2 and XCH4 from measurements in Pasadena. We also identify significant error caused by nonlinear sensitivity when using an extended spectral range detector used to measure CO and N2O.

  9. Extracting messages masked by chaos

    International Nuclear Information System (INIS)

    Perez, G.; Cerdeira, H.A.

    1995-01-01

    We show how to extract messages that are masked by a chaotic signal in a system of two Lorenz oscillators. This mask removal is done for two different modes of transmission, a digital one where a parameter of the sender is switched between two values, and an analog mode, where a small amplitude message is added to the carrier signal. We achieve this without using a second Lorenz oscillator as receiver, and without doing a full reconstruction of the dynamics. This method is robust with respect to transformations that impede the unmasking using a Lorenz receiver, and is not affected by the broad-band noise that is inherent to the synchronization process. We also discuss the limitations of this way of extraction for messages in high frequency bands. (author). 12 refs, 4 figs

  10. The Q Continuum: Encounter with the Cloud Mask

    Science.gov (United States)

    Ackerman, S. A.; Frey, R.; Holz, R.; Philips, C.; Dutcher, S.

    2017-12-01

    We are developing a common cloud mask for MODIS and VIIRS observations, referred to as the MODIS VIIRS Continuity Mask (MVCM). Our focus is on extending the MODIS-heritage cloud detection approach in order to generate appropriate climate data records for clouds and climate studies. The MVCM is based on heritage from the MODIS cloud mask (MOD35 and MYD35) and employs a series of tests on MODIS reflectances and brightness temperatures. Cloud detection is based on contrasts (i.e., cloud versus background surface) at pixel resolution. The MVCM follows the same approach. These cloud masks use multiple cloud detection tests to indicate the confidence level that the observation is of a clear-sky scene. The outcome of a test ranges from 0 (cloudy) to 1 (clear-sky scene). Because of overlap in the sensitivities of the various spectral tests to the type of cloud, each test is considered in one of several groups. The final cloud mask is determined from the product of the minimum confidence of each group and is referred to as the Q value as defined in Ackerman et al (1998). In MOD35 and MYD35 processing, the Q value is not output, rather predetermined Q values determine the result: If Q ≥ .99 the scene is clear; .95 ≤ Q laws of physics are followed, at least according to normal human notions. Using CALIOP as representing truth, a receiver operating characteristic curve (ROC) will be analyzed to determine the optimum Q for various scenes and seasons, thus providing a continuum of discriminating thresholds.

  11. Method for making a single-step etch mask for 3D monolithic nanostructures

    International Nuclear Information System (INIS)

    Grishina, D A; Harteveld, C A M; Vos, W L; Woldering, L A

    2015-01-01

    Current nanostructure fabrication by etching is usually limited to planar structures as they are defined by a planar mask. The realization of three-dimensional (3D) nanostructures by etching requires technologies beyond planar masks. We present a method for fabricating a 3D mask that allows one to etch three-dimensional monolithic nanostructures using only CMOS-compatible processes. The mask is written in a hard-mask layer that is deposited on two adjacent inclined surfaces of a Si wafer. By projecting in a single step two different 2D patterns within one 3D mask on the two inclined surfaces, the mutual alignment between the patterns is ensured. Thereby after the mask pattern is defined, the etching of deep pores in two oblique directions yields a three-dimensional structure in Si. As a proof of concept we demonstrate 3D mask fabrication for three-dimensional diamond-like photonic band gap crystals in silicon. The fabricated crystals reveal a broad stop gap in optical reflectivity measurements. We propose how 3D nanostructures with five different Bravais lattices can be realized, namely cubic, tetragonal, orthorhombic, monoclinic and hexagonal, and demonstrate a mask for a 3D hexagonal crystal. We also demonstrate the mask for a diamond-structure crystal with a 3D array of cavities. In general, the 2D patterns on the different surfaces can be completely independently structured and still be in perfect mutual alignment. Indeed, we observe an alignment accuracy of better than 3.0 nm between the 2D mask patterns on the inclined surfaces, which permits one to etch well-defined monolithic 3D nanostructures. (paper)

  12. Ion distribution near a mask edge with arbitrary shape for VLSI IC applications

    International Nuclear Information System (INIS)

    Lutsch, A.G.K.; Oosthuizen, D.R.

    1985-01-01

    The profile of the mask edge during ion implantation determines the electrical field in the critical drain region of a MOS-transistor. Equal ion density lines are computed for various mask edges for the example of boron implanted into silicon at 70 keV. Four moments of the impurity depth distribution (without mask material are taken into consideration. Homogenisation and, therefore a higher noise immunity, can be obtained by the proper choice of the mask etching process. The influence of a too-thin mask material is also shown. (author)

  13. Development of a hemispherical rotational modulation collimator system for imaging spatial distribution of radiation sources

    Science.gov (United States)

    Na, M.; Lee, S.; Kim, G.; Kim, H. S.; Rho, J.; Ok, J. G.

    2017-12-01

    Detecting and mapping the spatial distribution of radioactive materials is of great importance for environmental and security issues. We design and present a novel hemispherical rotational modulation collimator (H-RMC) system which can visualize the location of the radiation source by collecting signals from incident rays that go through collimator masks. The H-RMC system comprises a servo motor-controlled rotating module and a hollow heavy-metallic hemisphere with slits/slats equally spaced with the same angle subtended from the main axis. In addition, we also designed an auxiliary instrument to test the imaging performance of the H-RMC system, comprising a high-precision x- and y-axis staging station on which one can mount radiation sources of various shapes. We fabricated the H-RMC system which can be operated in a fully-automated fashion through the computer-based controller, and verify the accuracy and reproducibility of the system by measuring the rotational and linear positions with respect to the programmed values. Our H-RMC system may provide a pivotal tool for spatial radiation imaging with high reliability and accuracy.

  14. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-01-01

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during

  15. Selective phase masking to reduce material saturation in holographic data storage systems

    Science.gov (United States)

    Phillips, Seth; Fair, Ivan

    2014-09-01

    Emerging networks and applications require enormous data storage. Holographic techniques promise high-capacity storage, given resolution of a few remaining technical issues. In this paper, we propose a technique to overcome one such issue: mitigation of large magnitude peaks in the stored image that cause material saturation resulting in readout errors. We consider the use of ternary data symbols, with modulation in amplitude and phase, and use a phase mask during the encoding stage to reduce the probability of large peaks arising in the stored Fourier domain image. An appropriate mask is selected from a predefined set of pseudo-random masks by computing the Fourier transform of the raw data array as well as the data array multiplied by each mask. The data array or masked array with the lowest Fourier domain peak values is recorded. On readout, the recorded array is multiplied by the mask used during recording to recover the original data array. Simulations are presented that demonstrate the benefit of this approach, and provide insight into the appropriate number of phase masks to use in high capacity holographic data storage systems.

  16. 3D-Printed masks as a new approach for immobilization in radiotherapy - a study of positioning accuracy.

    Science.gov (United States)

    Haefner, Matthias Felix; Giesel, Frederik Lars; Mattke, Matthias; Rath, Daniel; Wade, Moritz; Kuypers, Jacob; Preuss, Alan; Kauczor, Hans-Ulrich; Schenk, Jens-Peter; Debus, Juergen; Sterzing, Florian; Unterhinninghofen, Roland

    2018-01-19

    We developed a new approach to produce individual immobilization devices for the head based on MRI data and 3D printing technologies. The purpose of this study was to determine positioning accuracy with healthy volunteers. 3D MRI data of the head were acquired for 8 volunteers. In-house developed software processed the image data to generate a surface mesh model of the immobilization mask. After adding an interface for the couch, the fixation setup was materialized using a 3D printer with acrylonitrile butadiene styrene (ABS). Repeated MRI datasets (n=10) were acquired for all volunteers wearing their masks thus simulating a setup for multiple fractions. Using automatic image-to-image registration, displacements of the head were calculated relative to the first dataset (6 degrees of freedom). The production process has been described in detail. The absolute lateral (x), vertical (y) and longitudinal (z) translations ranged between -0.7 and 0.5 mm, -1.8 and 1.4 mm, and -1.6 and 2.4 mm, respectively. The absolute rotations for pitch (x), yaw (y) and roll (z) ranged between -0.9 and 0.8°, -0.5 and 1.1°, and -0.6 and 0.8°, respectively. The mean 3D displacement was 0.9 mm with a standard deviation (SD) of the systematic and random error of 0.2 mm and 0.5 mm, respectively. In conclusion, an almost entirely automated production process of 3D printed immobilization masks for the head derived from MRI data was established. A high level of setup accuracy was demonstrated in a volunteer cohort. Future research will have to focus on workflow optimization and clinical evaluation.

  17. Low-defect reflective mask blanks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Burkhart, S C; Cerjarn, C; Kearney, P; Mirkarimi, P; Ray-Chaudhuri, A; Walton, C.

    1999-01-01

    Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA road map well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm ampersand sup2; at sign 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm ampersand sup2; for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm ampersand sup2; in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10 -2 /cm ampersand sup2; level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling

  18. Error response test system and method using test mask variable

    Science.gov (United States)

    Gender, Thomas K. (Inventor)

    2006-01-01

    An error response test system and method with increased functionality and improved performance is provided. The error response test system provides the ability to inject errors into the application under test to test the error response of the application under test in an automated and efficient manner. The error response system injects errors into the application through a test mask variable. The test mask variable is added to the application under test. During normal operation, the test mask variable is set to allow the application under test to operate normally. During testing, the error response test system can change the test mask variable to introduce an error into the application under test. The error response system can then monitor the application under test to determine whether the application has the correct response to the error.

  19. Effectiveness of three just-in-time training modalities for N-95 mask fit testing.

    Science.gov (United States)

    Jones, David; Stoler, Genevieve; Suyama, Joe

    2013-01-01

    To compare and contrast three different training modalities for fit testing N-95 respirator face masks. Block randomized interventional study. Urban university. Two hundred eighty-nine medical students. Students were randomly assigned to video, lecture, or slide show to evaluate the effectiveness of the methods for fit testing large groups of people. Ease of fit and success of fit for each instructional technique. Mask 1 was a Kimberly-Clark duckbill N-95 respirator mask, and mask 2 was a 3M™ carpenters N-95 respirator mask. "Ease of fit" was defined as the ability to successfully don a mask in less than 30 seconds. "Success of fit" was defined as the ability to correctly don a mask in one try. There were no statistical differences by training modality for either mask regarding ease of fit or success of fit. There were no differences among video presentation, small group demonstration, and self-directed slide show just-in-time training modalities for ease of fit or success of fit N-95 respirator mask fitting. Further study is needed to explore more effective fit training modalities.

  20. Compact imaging Bragg spectrometer for fusion devices

    International Nuclear Information System (INIS)

    Bertschinger, G.; Biel, W.; Jaegers, H.; Marchuk, O.

    2004-01-01

    A compact imaging x-ray spectrometer has been designed for tokamaks and stellarators to measure the plasma parameters at different spatial chords. It has been optimized for high spectral resolution and high sensitivity. High spectral resolution is obtained by using solid state detectors and minimizing the imaging errors of the spherical crystals. It is shown, that using spherical crystals the solid angle and hence the throughput can be increased significantly, without compromising the spectral resolution. The design is useful for the measurement of the spectra of He- and H-like ions from Si to Kr. The spectral resolution is sufficient for the measurement of plasma parameters. The temporal resolution is high enough for transport studies by gas puff and laser ablation experiments. The design is based on a modified Johann spectrometer mount, utilizing a spherically bent crystal instead of the cylindrically bent crystal in the traditional Johann mount. The astigmatism of the wavelength selective reflection on the spherical crystal is applied to obtain imaging of an extended plasma source on a two-dimensional detector. For each element, a separate crystal is required, only in few cases, a crystal can be used for the spectra of two elements. For the spectra of most of the He-like ions from Si up to Kr, suitable crystal cuts have been found on quartz, silicon and germanium crystals with Bragg angles in a small interval around the design value of 53.5 deg. All of the crystals have the same radius. They are fixed on a rotational table. The distance to the detector is adjusted by an x-y table to fit to the Rowland circle

  1. The design and performance of a velocity map imaging spectrometer for the study of molecular photoionisation dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Holland, D.M.P., E-mail: david.holland@stfc.ac.uk [Daresbury Laboratory, Daresbury, Warrington, Cheshire WA4 4AD (United Kingdom); Shaw, D.A. [Daresbury Laboratory, Daresbury, Warrington, Cheshire WA4 4AD (United Kingdom)

    2012-12-10

    Highlights: Black-Right-Pointing-Pointer Velocity map imaging spectrometer optimised for molecular photoionisation dynamics. Black-Right-Pointing-Pointer Kinetic energy distribution of O{sup +} fragments measured. Black-Right-Pointing-Pointer Effect of autoionisation on photoelectron vibrational populations studied. -- Abstract: The design, construction and performance of a velocity map imaging spectrometer for the study of molecular photoionisation dynamics is described. The spectrometer has been optimised for the efficient collection and detection of particles (electrons or positively charged ions) generated through the interaction of gas phase molecules with synchrotron radiation. A double Einzel lens, incorporated into the flight tube, enhances the collection efficiency of energetic particles. Computer modelling has been used to trace the trajectories of charged particles through the spectrometer and to assess the image quality. A time and position sensitive delay-line detector is used to record the images. Results from two experimental studies are presented to illustrate the capabilities of the spectrometer. In the first, the effect of electronic autoionisation on the vibrationally resolved photoelectron branching ratios of the N{sub 2}{sup +} X {sup 2}{Sigma}{sub g}{sup +} state has been investigated in an excitation range where prominent structure due to Rydberg states occurs in the ion yield curve. The results show that autoionisation leads to rotational branch populations that differ from those observed in direct, non-resonant, photoionisation. In the second, the kinetic energy distribution and the angular distribution of O{sup +} fragments formed in the dissociative photoionisation of molecular oxygen have been measured. The timing properties of the detector have allowed O{sup +} fragments to be separated from O{sub 2}{sup +} parent ions using time-of-flight techniques.

  2. Electron spectrometer for gas-phase spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Bozek, J.D.; Schlachter, A.S. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States)

    1997-04-01

    An electron spectrometer for high-resolution spectroscopy of gaseous samples using synchrotron radiation has been designed and constructed. The spectrometer consists of a gas cell, cylindrical electrostatic lens, spherical-sector electron energy analyzer, position-sensitive detector and associated power supplies, electronics and vacuum pumps. Details of the spectrometer design are presented together with some representative spectra.

  3. AutoMOPS- B2B and B2C in mask making: Mask manufacturing performance and customer satisfaction improvement through better information flow management using generic models and standardized languages

    Science.gov (United States)

    Filies, Olaf; de Ridder, Luc; Rodriguez, Ben; Kujiken, Aart

    2002-03-01

    Semiconductor manufacturing has become a global business, in which companies of different size unite in virtual enterprises to meet new opportunities. Therefore Mask manufacturing is a key business, but mask ordering is a complex process and is always critical regarding design to market time, even though mask complexity and customer base are increasing using a wide variety of different mask order forms which are frequently faulty and very seldom complete. This is effectively blocking agile manufacturing and can tie wafer fabs to a single mask The goal of the project is elimination of the order verification through paperless, electronically linked information sharing/exchange between chip design, mask production and production stages, which will allow automation of the mask preparation. To cover these new techniques and their specifications as well as the common ones with automated tools a special generic Meta-model will be generated, based on the current standards for mask specifications, including the requirements from the involved partners (Alcatel Microelectronics, Altis, Compugraphics, Infineon, Nimble, Sigma-C), the project works out a pre-normative standard. The paper presents the current status of work. This work is partly funded by the Commission of the European Union under the Fifth Framework project IST-1999-10332 AutoMOPS.

  4. 37 CFR 211.4 - Registration of claims of protection in mask works.

    Science.gov (United States)

    2010-07-01

    ... protection in mask works. 211.4 Section 211.4 Patents, Trademarks, and Copyrights COPYRIGHT OFFICE, LIBRARY OF CONGRESS COPYRIGHT OFFICE AND PROCEDURES MASK WORK PROTECTION § 211.4 Registration of claims of protection in mask works. (a) General. This section prescribes conditions for the registration of claims of...

  5. Silicon microneedle formation using modified mask designs based on convex corner undercut

    Science.gov (United States)

    Wilke, N.; Morrissey, A.

    2007-02-01

    In this work, we present microneedle fabrication using the mechanism of silicon convex corner undercutting for modified etch masks in aqueous KOH solution (29% KOH, 79 °C). The presented modified mask designs include three different shapes, as well as different compensation structures applied to a square mask shape. We have found that square mask shapes present an optimum needle structure in contrast to circular or diamond shapes. The use of compensation structures facilitates an increase in needle density of 33-50% over that otherwise achieved.

  6. Derivation of gravity wave intrinsic parameters and vertical wavelength using a single scanning OH(3-1) airglow spectrometer

    Science.gov (United States)

    Wüst, Sabine; Offenwanger, Thomas; Schmidt, Carsten; Bittner, Michael; Jacobi, Christoph; Stober, Gunter; Yee, Jeng-Hwa; Mlynczak, Martin G.; Russell, James M., III

    2018-05-01

    For the first time, we present an approach to derive zonal, meridional, and vertical wavelengths as well as periods of gravity waves based on only one OH* spectrometer, addressing one vibrational-rotational transition. Knowledge of these parameters is a precondition for the calculation of further information, such as the wave group velocity vector.OH(3-1) spectrometer measurements allow the analysis of gravity wave ground-based periods but spatial information cannot necessarily be deduced. We use a scanning spectrometer and harmonic analysis to derive horizontal wavelengths at the mesopause altitude above Oberpfaffenhofen (48.09° N, 11.28° E), Germany for 22 nights in 2015. Based on the approximation of the dispersion relation for gravity waves of low and medium frequencies and additional horizontal wind information, we calculate vertical wavelengths. The mesopause wind measurements nearest to Oberpfaffenhofen are conducted at Collm (51.30° N, 13.02° E), Germany, ca. 380 km northeast of Oberpfaffenhofen, by a meteor radar.In order to compare our results, vertical temperature profiles of TIMED-SABER (thermosphere ionosphere mesosphere energetics dynamics, sounding of the atmosphere using broadband emission radiometry) overpasses are analysed with respect to the dominating vertical wavelength.

  7. Schizophrenia and visual backward masking: a general deficit of target enhancement

    Directory of Open Access Journals (Sweden)

    Michael H Herzog

    2013-05-01

    Full Text Available The obvious symptoms of schizophrenia are of cognitive and psychopathological nature. However, schizophrenia affects also visual processing which becomes particularly evident when stimuli are presented for short durations and are followed by a masking stimulus. Visual deficits are of great interest because they might be related to the genetic variations underlying the disease (endophenotype concept. Visual masking deficits are usually attributed to specific dysfunctions of the visual system such as a hypo- or hyper-active magnocellular system. Here, we propose that visual deficits are a manifestation of a general deficit related to the enhancement of weak neural signals as occurring in all other sorts of information processing. We summarize previous findings with the shine-through masking paradigm where a shortly presented vernier target is followed by a masking grating. The mask deteriorates visual processing of schizophrenic patients by almost an order of magnitude compared to healthy controls. We propose that these deficits are caused by dysfunctions of attention and the cholinergic system leading to weak neural activity corresponding to the vernier. High density electrophysiological recordings (EEG show that indeed neural activity is strongly reduced in schizophrenic patients which we attribute to the lack of vernier enhancement. When only the masking grating is presented, EEG responses are roughly comparable between patients and control. Our hypothesis is supported by findings relating visual masking to genetic deviants of the nicotinic 7 receptor (CHRNA7.

  8. Upgrades of imaging x-ray crystal spectrometers for high-resolution and high-temperature plasma diagnostics on EAST

    Energy Technology Data Exchange (ETDEWEB)

    Lyu, B., E-mail: blu@ipp.ac.cn; Wang, F. D.; Fu, J.; Li, Y. Y. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, Anhui 230031 (China); Pan, X. Y.; Chen, J.; Wan, B. N. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, Anhui 230031 (China); School of Nuclear Science and Technology, University of Science and Technology of China, Hefei, Anhui 230026 (China); Bitter, M.; Hill, K. W.; Delgado-Aparicio, L. F.; Pablant, N. [Princeton Plasma Physics Laboratory, P.O. Box 451, Princeton, New Jersey 08543-0451 (United States); Lee, S. G. [National Fusion Research Institute, 52 Eoeun-Dong, Yusung-Gu, Daejeon 305-333 (Korea, Republic of); Shi, Y. J. [School of Nuclear Science and Technology, University of Science and Technology of China, Hefei, Anhui 230026 (China); WCI for Fusion Theory, National Fusion Research Institute, 52 Eoeun-Dong, Yusung-Gu, Daejeon 305-333 (Korea, Republic of); Ye, M. Y. [School of Nuclear Science and Technology, University of Science and Technology of China, Hefei, Anhui 230026 (China)

    2014-11-15

    Upgrade of the imaging X-ray crystal spectrometers continues in order to fulfill the high-performance diagnostics requirements on EAST. For the tangential spectrometer, a new large pixelated two-dimensional detector was deployed on tokamaks for time-resolved X-ray imaging. This vacuum-compatible detector has an area of 83.8 × 325.3 mm{sup 2}, a framing rate over 150 Hz, and water-cooling capability for long-pulse discharges. To effectively extend the temperature limit, a double-crystal assembly was designed to replace the previous single crystals for He-like argon line measurement. The tangential spectrometer employed two crystal slices attached to a common substrate and part of He- and H-like Ar spectra could be recorded on the same detector when crystals were chosen to have similar Bragg angles. This setup cannot only extend the measurable Te up to 10 keV in the core region, but also extend the spatial coverage since He-like argon ions will be present in the outer plasma region. Similarly, crystal slices for He-like iron and argon spectra were adopted on the poloidal spectrometer. Wavelength calibration for absolute rotation velocity measurement will be studied using cadmium characteristic L-shell X-ray lines excited by plasma radiation. A Cd foil is placed before the crystal and can be inserted and retracted for in situ wavelength calibration. The Geant4 code was used to estimate X-ray fluorescence yield and optimize the thickness of the foil.

  9. Professional and home-made face masks reduce exposure to respiratory infections among the general population.

    Science.gov (United States)

    van der Sande, Marianne; Teunis, Peter; Sabel, Rob

    2008-07-09

    Governments are preparing for a potential influenza pandemic. Therefore they need data to assess the possible impact of interventions. Face-masks worn by the general population could be an accessible and affordable intervention, if effective when worn under routine circumstances. We assessed transmission reduction potential provided by personal respirators, surgical masks and home-made masks when worn during a variety of activities by healthy volunteers and a simulated patient. All types of masks reduced aerosol exposure, relatively stable over time, unaffected by duration of wear or type of activity, but with a high degree of individual variation. Personal respirators were more efficient than surgical masks, which were more efficient than home-made masks. Regardless of mask type, children were less well protected. Outward protection (mask wearing by a mechanical head) was less effective than inward protection (mask wearing by healthy volunteers). Any type of general mask use is likely to decrease viral exposure and infection risk on a population level, in spite of imperfect fit and imperfect adherence, personal respirators providing most protection. Masks worn by patients may not offer as great a degree of protection against aerosol transmission.

  10. 42 CFR 84.118 - Half-mask facepieces, full facepieces, and mouthpieces; fit; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Half-mask facepieces, full facepieces, and... OF RESPIRATORY PROTECTIVE DEVICES Gas Masks § 84.118 Half-mask facepieces, full facepieces, and mouthpieces; fit; minimum requirements. (a) Half-mask facepieces and full facepieces shall be designed and...

  11. Spectrometers for compact neutron sources

    Science.gov (United States)

    Voigt, J.; Böhm, S.; Dabruck, J. P.; Rücker, U.; Gutberlet, T.; Brückel, T.

    2018-03-01

    We discuss the potential for neutron spectrometers at novel accelerator driven compact neutron sources. Such a High Brilliance Source (HBS) relies on low energy nuclear reactions, which enable cryogenic moderators in very close proximity to the target and neutron optics at comparably short distances from the moderator compared to existing sources. While the first effect aims at increasing the phase space density of a moderator, the second allows the extraction of a large phase space volume, which is typically requested for spectrometer applications. We find that competitive spectrometers can be realized if (a) the neutron production rate can be synchronized with the experiment repetition rate and (b) the emission characteristics of the moderator can be matched to the phase space requirements of the experiment. MCNP simulations for protons or deuterons on a Beryllium target with a suitable target/moderator design yield a source brightness, from which we calculate the sample fluxes by phase space considerations for different types of spectrometers. These match closely the figures of todays spectrometers at medium flux sources. Hence we conclude that compact neutron sources might be a viable option for next generation neutron sources.

  12. Nablus mask-like facial syndrome

    DEFF Research Database (Denmark)

    Allanson, Judith; Smith, Amanda; Hare, Heather

    2012-01-01

    Nablus mask-like facial syndrome (NMLFS) has many distinctive phenotypic features, particularly tight glistening skin with reduced facial expression, blepharophimosis, telecanthus, bulky nasal tip, abnormal external ear architecture, upswept frontal hairline, and sparse eyebrows. Over the last few...

  13. Lessons learned with the SAGE spectrometer

    International Nuclear Information System (INIS)

    Sorri, J; Greenlees, P T; Jones, P; Julin, R; Konki, J; Pakarinen, J; Rahkila, P; Sandzelius, M; Uusitalo, J; Papadakis, P; Cox, D M; Herzberg, R D

    2012-01-01

    The SAGE spectrometer combines a high-efficiency γ-ray detection system with an electron spectrometer. Some of the design features have been known to be problematic and surprises have come up during the early implementation of the spectrometer. Tests related to bismuth germanate Compton-suppression shields, electron detection efficiency and an improved cooling system are discussed in the paper. (paper)

  14. A novel OPC method to reduce mask volume with yield-aware dissection

    International Nuclear Information System (INIS)

    Xie Chunlei; Chen Ye; Shi Zheng

    2013-01-01

    Growing data volume of masks tremendously increases manufacture cost. The cost increase is partially due to the complicated optical proximity corrections applied on mask design. In this paper, a yield-aware dissection method is presented. Based on the recognition of yield related mask context, the dissection result provides sufficient degrees of freedom to keep fidelity on critical sites while still retaining the frugality of modified designs. Experiments show that the final mask volume using the new method is reduced to about 50% of the conventional method. (semiconductor technology)

  15. Artifact Reduction of Susceptibility-Weighted Imaging Using a Short-Echo Phase Mask

    International Nuclear Information System (INIS)

    Ishimori, Y.; Monma, M.; Kohno, Y.

    2009-01-01

    Background: Susceptibility-weighted imaging (SWI) is utilized in magnetic resonance (MR) venography and other applications, but can include artifacts caused by the phase-masking process. Purpose: To demonstrate risks of filter processes used in making phase masks for SWI, and to propose a simple method for reducing artifacts. Material and Methods: Phase linearity related to echo time (TE) was evaluated for the original phase and high-pass-filtered phase using a CuSO 4 -doped water phantom. Effect of filter size of the Hanning window and background homogeneity were also evaluated in a phantom study. Use of a phase mask generated by data with differing magnitudes of TE was attempted in a human study. Shorter TE was used for making the phase mask, and the number of multiplications was increased. As short and long TEs were necessary simultaneously for phase mask and T2* contrast, a dual-echo technique was used. Results: Linearity of TE and phase value collapsed, and an unexpected negative phase appeared in the high-pass-filtered phase. Using a short-TE phase mask, phase-aliasing artifacts were reduced and visibility of deep veins was equivalent to that under conventional methods with an increased number of multiplications. Conclusion: Use of a short-echo phase mask in SWI is useful for reducing artifacts

  16. Bitter taste masking of enzyme-treated soy protein in water and bread.

    Science.gov (United States)

    Bertelsen, Anne S; Laursen, Anne; Knudsen, Tine A; Møller, Stine; Kidmose, Ulla

    2018-08-01

    Bioactive protein hydrolysates are often very bitter. To overcome this challenge, xylitol, sucrose, α-cyclodextrin, maltodextrin and combinations of these were tested systematically as bitter-masking agents of an enzyme-treated soy protein in an aqueous model and in a bread model. Sensory descriptive analysis was used to reveal the bitter-masking effect of the taste-masking blends on the enzyme-treated soy protein. In water, xylitol, sucrose and maltodextrin reduced bitterness significantly, whereas α-cyclodextrin did not. No significant difference was observed in bitterness reduction between xylitol and sucrose. Both reduced bitterness significantly more than maltodextrin. No interactions between the taste-masking agents affecting bitterness reduction were found. Clearer bitter-masking effects were seen in the aqueous model compared with the bread model. The bitter-masking effects of α-cyclodextrin and maltodextrin were similar between water and bread. The effect of xylitol and sucrose on bitterness suppression varied between the systems. In water, bitterness was negatively correlated with sweetness. In bread, bitterness was negatively correlated with freshness, and maltodextrin significantly reduced bitterness of the enzyme-treated soy protein and increased freshness. Bitter-masking effects were generally more discernible in the aqueous model compared with the bread model. © 2018 Society of Chemical Industry. © 2018 Society of Chemical Industry.

  17. High-emulation mask recognition with high-resolution hyperspectral video capture system

    Science.gov (United States)

    Feng, Jiao; Fang, Xiaojing; Li, Shoufeng; Wang, Yongjin

    2014-11-01

    We present a method for distinguishing human face from high-emulation mask, which is increasingly used by criminals for activities such as stealing card numbers and passwords on ATM. Traditional facial recognition technique is difficult to detect such camouflaged criminals. In this paper, we use the high-resolution hyperspectral video capture system to detect high-emulation mask. A RGB camera is used for traditional facial recognition. A prism and a gray scale camera are used to capture spectral information of the observed face. Experiments show that mask made of silica gel has different spectral reflectance compared with the human skin. As multispectral image offers additional spectral information about physical characteristics, high-emulation mask can be easily recognized.

  18. Mask Ventilation during Induction of General Anesthesia: Influences of Obstructive Sleep Apnea.

    Science.gov (United States)

    Sato, Shin; Hasegawa, Makoto; Okuyama, Megumi; Okazaki, Junko; Kitamura, Yuji; Sato, Yumi; Ishikawa, Teruhiko; Sato, Yasunori; Isono, Shiroh

    2017-01-01

    Depending on upper airway patency during anesthesia induction, tidal volume achieved by mask ventilation may vary. In 80 adult patients undergoing general anesthesia, the authors tested a hypothesis that tidal volume during mask ventilation is smaller in patients with sleep-disordered breathing priorly defined as apnea hypopnea index greater than 5 per hour. One-hand mask ventilation with a constant ventilator setting (pressure-controlled ventilation) was started 20 s after injection of rocuronium and maintained for 1 min during anesthesia induction. Mask ventilation efficiency was assessed by the breath number needed to initially exceed 5 ml/kg ideal body weight of expiratory tidal volume (primary outcome) and tidal volumes (secondary outcomes) during initial 15 breaths (UMIN000012494). Tidal volume progressively increased by more than 70% in 1 min and did not differ between sleep-disordered breathing (n = 42) and non-sleep-disordered breathing (n = 38) patients. In post hoc subgroup analyses, the primary outcome breath number (mean [95% CI], 5.7 [4.1 to 7.3] vs. 1.7 [0.2 to 3.2] breath; P = 0.001) and mean tidal volume (6.5 [4.6 to 8.3] vs. 9.6 [7.7 to 11.4] ml/kg ideal body weight; P = 0.032) were significantly smaller in 20 sleep-disordered breathing patients with higher apnea hypopnea index (median [25th to 75th percentile]: 21.7 [17.6 to 31] per hour) than in 20 non-sleep disordered breathing subjects with lower apnea hypopnea index (1.0 [0.3 to 1.5] per hour). Obesity and occurrence of expiratory flow limitation during one-hand mask ventilation independently explained the reduction of efficiency of mask ventilation, while the use of two hands effectively normalized inefficient mask ventilation during one-hand mask ventilation. One-hand mask ventilation is difficult in patients with obesity and severe sleep-disordered breathing particularly when expiratory flow limitation occurs during mask ventilation.

  19. Akathisia masked by hypokinesia.

    Science.gov (United States)

    Tuisku, K; Lauerma, H; Holi, M M; Honkonen, T; Rimon, R

    2000-07-01

    Here, we will discuss the concept of subjective akathisia and present a patient case. Our patient was suffering from neuroleptic-induced hypokinesia and akathisia at the same time. The typical motor manifestations of akathisia were masked by hypokinesia, which made the diagnosis difficult. However, the subjective symptoms of akathisia were evident and distressing. Although not observable to bare eye, the pathognomonic pattern of motor activity detected in akathisia was demonstrated by actometric recording. Changing the conventional neuroleptic to an atypical one brought relief to the subjective symptoms of akathisia and hypokinesia, while the motor activity was clearly diminished in actometric recording. Actometric recording may be useful in diagnosing akathisia masked by hypokinesia, but the typical subjective symptoms of akathisia should not be ignored, even when actometry is not available to demonstrate the missing motor component of akathisia. Not only akathisia defined by DSM-IV but also subjective akathisia should be adequately treated to relieve the subjective distress, and to diminish the unfavorable effects on psychotic symptoms, behavior, and drug compliance.

  20. Hot-melt extrusion microencapsulation of quercetin for taste-masking.

    Science.gov (United States)

    Khor, Chia Miang; Ng, Wai Kiong; Kanaujia, Parijat; Chan, Kok Ping; Dong, Yuancai

    2017-02-01

    Besides its poor dissolution rate, the bitterness of quercetin also poses a challenge for further development. Using carnauba wax, shellac or zein as the shell-forming excipient, this work aimed to microencapsulate quercetin by hot-melt extrusion for taste-masking. In comparison with non-encapsulated quercetin, the microencapsulated powders exhibited significantly reduced dissolution in the simulated salivary pH 6.8 medium indicative of their potentially good taste-masking efficiency in the order of zein > carnauba wax > shellac. In vitro bitterness analysis by electronic tongue confirmed the good taste-masking efficiency of the microencapsulated powders. In vitro digestion results showed that carnauba wax and shellac-microencapsulated powders presented comparable dissolution rate with the pure quercetin in pH 1.0 (gastric) and 6.8 (intestine) medium; while zein-microencapsulated powders exhibited a remarkably slower dissolution rate. Crystallinity of quercetin was slightly reduced after microencapsulation while its chemical structure remained unchanged. Hot-melt extrusion microencapsulation could thus be an attractive technique to produce taste-masked bioactive powders.

  1. A survey of practice patterns in the use of laryngeal mask by pediatric anesthesiologists.

    Science.gov (United States)

    Patel, Anuradha; Clark, Scott R; Schiffmiller, Moshe; Schoenberg, Catherine; Tewfik, George

    2015-11-01

    Laryngeal mask is frequently the airway device of choice in routine general anesthesia for many procedures in children. Several studies have described the use of laryngeal masks in unconventional situations. This survey was undertaken to assess how laryngeal masks are being used by pediatric anesthesiologists. The 40-question electronic survey using SurveyMonkey™ was sent to 2740 members of the Society for Pediatric Anesthesia (SPA). This survey assessed the age, work environment, types of practice, and training levels, as well as clinical situations in which the practitioners use laryngeal masks across different pediatric age groups. Seven hundred and forty-three (27.1%) responses were obtained. The use of laryngeal mask increased as the patient age increased in nearly every queried situation. The practitioners routinely utilize laryngeal masks in a variety of challenging scenarios, such as in patients with a recent upper respiratory infection, in the difficult airway, remote locations, and long-duration surgeries. A small percentage of pediatric anesthesiologists use laryngeal masks in laparoscopic surgery and prone position procedures. Pediatric anesthesiologists are using laryngeal masks in both routine and challenging/unconventional situations. Although many of the uses for laryngeal masks are not explicitly stated in the manufacturer guidelines, literature and current practice support the use of laryngeal masks in several of these scenarios. © 2015 John Wiley & Sons Ltd.

  2. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  3. Preliminary study of lateral cerebral angiography with reverse rotation in the digital image registration and subtraction

    International Nuclear Information System (INIS)

    Shen Zhenglin; Liu Dongyang; Shen Zhenghai; Li Shuping; Zhang Ziyan; Wu Yongjuan; Liu Peijun

    2012-01-01

    Objective: Investigate the value and feasibility of image registration with reverse rotation in lateral cerebral DSA. Methods: (1) Experimental study: the target images were subtracted directly, and subtracted again after reverse rotation. Software of registration and subtraction with reverse rotation edited by the author utilizing Visual Basic. The function of the automatic angle detection by the software were evaluated to see whether it detected the angle of line. The subtraction function of DSA by the software was evaluated. (2) Clinical retrospective study: the untreated mask and target images of 15 patients with motion along vertical axis during lateral cerebral DSA were uploaded to the software. The target images were processed with and without the software to get two sets of images. (3) Evaluation: four experienced radiologists read and compared the two sets of the images,and graded their findings. Results: (1) The automatic detection by the software suggested that the target images should be rotated counterclockwise 1.3°. The subtraction result of the software was satisfactory. (2) In the 15 sets of images, there were only three sets of images deemed optimal after traditional subtraction. After reverse rotation, artifacts were significantly reduced and the image sharper. There were ten cases with significant artifacts after traditional subtraction, and those images were sharper and showed more peripheral vessels after reverse rotation. The traditional subtraction images of two sets could not be interpreted,the reverse rotation registration images reached the diagnostic quality. (3) Subjective evaluation: there were more information and less noise and distortion in the registration images with reverse rotation than in the traditional subtraction. But the image resolution decreased slightly after reverse rotation registration. Conclusion: The registration of digital angiography with reverse rotation can improve the image quality in lateral cerebral DSA

  4. High resolution solar soft X-ray spectrometer

    International Nuclear Information System (INIS)

    Zhang Fei; Wang Huanyu; Peng Wenxi; Liang Xiaohua; Zhang Chunlei; Cao Xuelei; Jiang Weichun; Zhang Jiayu; Cui Xingzhu

    2012-01-01

    A high resolution solar soft X-ray spectrometer (SOX) payload onboard a satellite is developed. A silicon drift detector (SDD) is adopted as the detector of the SOX spectrometer. The spectrometer consists of the detectors and their readout electronics, a data acquisition unit and a payload data handling unit. A ground test system is also developed to test SOX. The test results show that the design goals of the spectrometer system have been achieved. (authors)

  5. Protection provided by masks sinkers in interventional techniques

    International Nuclear Information System (INIS)

    Pera Cegarra, O.; Alejo Luque, L.; Pifarre Martinez, J.

    2011-01-01

    The high doses that are taught in laboratories worked indispensable the use of shields and armor. In this context, the use of sinkers glasses is widespread, but not the sinkers of the masks. Qur goal is to study the effectiveness of such masks for later comparison with that provided by leaded glasses with side shields. Specifically, compare the reduction in lens dose rate for different positions and orientations of the head of specialist intervention.

  6. Masked hypertension in diabetes mellitus

    DEFF Research Database (Denmark)

    Franklin, Stanley S; Thijs, Lutgarde; Li, Yan

    2013-01-01

    Although distinguishing features of masked hypertension in diabetics are well known, the significance of antihypertensive treatment on clinical practice decisions has not been fully explored. We analyzed 9691 subjects from the population-based 11-country International Database on Ambulatory Blood...

  7. Method for validating cloud mask obtained from satellite measurements using ground-based sky camera.

    Science.gov (United States)

    Letu, Husi; Nagao, Takashi M; Nakajima, Takashi Y; Matsumae, Yoshiaki

    2014-11-01

    Error propagation in Earth's atmospheric, oceanic, and land surface parameters of the satellite products caused by misclassification of the cloud mask is a critical issue for improving the accuracy of satellite products. Thus, characterizing the accuracy of the cloud mask is important for investigating the influence of the cloud mask on satellite products. In this study, we proposed a method for validating multiwavelength satellite data derived cloud masks using ground-based sky camera (GSC) data. First, a cloud cover algorithm for GSC data has been developed using sky index and bright index. Then, Moderate Resolution Imaging Spectroradiometer (MODIS) satellite data derived cloud masks by two cloud-screening algorithms (i.e., MOD35 and CLAUDIA) were validated using the GSC cloud mask. The results indicate that MOD35 is likely to classify ambiguous pixels as "cloudy," whereas CLAUDIA is likely to classify them as "clear." Furthermore, the influence of error propagations caused by misclassification of the MOD35 and CLAUDIA cloud masks on MODIS derived reflectance, brightness temperature, and normalized difference vegetation index (NDVI) in clear and cloudy pixels was investigated using sky camera data. It shows that the influence of the error propagation by the MOD35 cloud mask on the MODIS derived monthly mean reflectance, brightness temperature, and NDVI for clear pixels is significantly smaller than for the CLAUDIA cloud mask; the influence of the error propagation by the CLAUDIA cloud mask on MODIS derived monthly mean cloud products for cloudy pixels is significantly smaller than that by the MOD35 cloud mask.

  8. Crystal-diffraction spectrometer of increased efficiency

    International Nuclear Information System (INIS)

    Saukov, A.I.; Gornitsyn, G.A.; Morozov, N.A.

    1985-01-01

    The geometry of the spectrometer is illustrated in this paper. An attempt is made to achieve optimal design of the spectrometer by finding the coefficient of reflection of the gamma radiation from the various Ge planes. In these experiments, the Du Mond design was used in the spectrometer. Illustrations are provided to explain dependence of the relative efficiency upon the energy of the gamma quanta

  9. UNMASKING MASKED HYPERTENSION: PREVALENCE, CLINICAL IMPLICATIONS, DIAGNOSIS, CORRELATES, AND FUTURE DIRECTIONS

    OpenAIRE

    Peacock, James; Diaz, Keith M.; Viera, Anthony J.; Schwartz, Joseph E.; Shimbo, Daichi

    2014-01-01

    Masked hypertension’ is defined as having non-elevated clinic blood pressure (BP) with elevated out-of-clinic average BP, typically determined by ambulatory BP monitoring. Approximately 15–30% of adults with non-elevated clinic BP have masked hypertension. Masked hypertension is associated with increased risks of cardiovascular morbidity and mortality compared to sustained normotension (non-elevated clinic and ambulatory BP), which is similar to or approaching the risk associated with sustai...

  10. Unravelling the Conformational Landscape of Nicotinoids: the Structure of Cotinine by Broadband Rotational Spectroscopy

    Science.gov (United States)

    Uriarte, Iciar; Ecija, Patricia; Cocinero, Emilio J.; Perez, Cristobal; Caballero-Mancebo, Elena; Lesarri, Alberto

    2015-06-01

    Alkaloids such as nicotine, cotinine or anabasine share a common floppy structural motif consisting of a two-ring assembly with a 3-pyridil methylamine skeleton. In order to investigate the structure-activity relationship of these biomolecules, structural studies with rotational resolution have been carried out for nicotine and anabasine in the gas phase, where these molecules can be probed in an "interaction-free" environment (no solvent or crystal-packing interactions). We hereby present a structural investigation of cotinine in a jet expansion using the chirped-pulse Fourier-transform microwave (CP-FTMW) spectrometer recently built at the University of the Basque Country (UPV-EHU). The rotational spectrum (6-18 GHz) reveals the presence of two different conformations. The conformational preferences of cotinine originate from the internal rotation of the two ring moieties, the detected species differing in a near 180° rotation of pyridine. The final structure is modulated by steric effects. J.-U. Grabow, S. Mata, J. L. Alonso, I. Peña, S. Blanco, J. C. López, C. Cabezas, Phys. Chem. Chem. Phys. 2011, 13, 21063. A. Lesarri, E. J. Cocinero, L. Evangelisti, R. D. Suenram, W. Caminati, J.-U. Grabow, Chem. Eur. J. 2010, 16, 10214.

  11. Time course of dichoptic masking in normals and suppression in amblyopes.

    Science.gov (United States)

    Zhou, Jiawei; McNeal, Suzanne; Babu, Raiju J; Baker, Daniel H; Bobier, William R; Hess, Robert F

    2014-04-17

    To better understand the relationship between dichoptic masking in normal vision and suppression in amblyopia we address three questions: First, what is the time course of dichoptic masking in normals and amblyopes? Second, is interocular suppression low-pass or band-pass in its spatial dependence? And third, in the above two regards, is dichoptic masking in normals different from amblyopic suppression? We measured the dependence of dichoptic masking in normal controls and amblyopes on the temporal duration of presentation under three conditions; monocular (the nontested eye-i.e., dominant eye of normals or nonamblyopic eye of amblyopes, being patched), dichoptic-luminance (the nontested eye seeing a mean luminance-i.e., a DC component) and dichoptic-contrast (the nontested eye seeing high-contrast visual noise). The subject had to detect a letter in the other eye, the contrast of which was varied. We found that threshold elevation relative to the patched condition occurred in both normals and amblyopes when the nontested eye saw either 1/f or band-pass filtered noise, but not just mean luminance (i.e., there was no masking from the DC component that corresponds to a channel responsive to a spatial frequency of 0 cyc/deg); longer presentation of the target (corresponding to lower temporal frequencies) produced greater threshold elevation. Dichoptic masking exhibits similar properties in both subject groups, being low-pass temporally and band-pass spatially, so that masking was greatest at the longest presentation durations and was not greatly affected by mean luminance in the nontested eye. Copyright 2014 The Association for Research in Vision and Ophthalmology, Inc.

  12. MEMS based digital transform spectrometers

    Science.gov (United States)

    Geller, Yariv; Ramani, Mouli

    2005-09-01

    Earlier this year, a new breed of Spectrometers based on Micro-Electro-Mechanical-System (MEMS) engines has been introduced to the commercial market. The use of these engines combined with transform mathematics, produces powerful spectrometers at unprecedented low cost in various spectral regions.

  13. Frequency-specific masking effect by vibrotactile stimulation to the forearm

    NARCIS (Netherlands)

    Tanaka, Y.; Matsuoka, S.; Bergmann Tiest, W.M.; Kappers, A.M.L.; Minamizawa, K.; Sano, A.; Bello, F.; Kajimoto, H.; Visell, Y.

    2016-01-01

    This paper demonstrates frequency-specific masking of tactile sensations on the index finger by remote vibrotactile stimulation. A vibration of 50 Hz was presented to the index finger. In three experimental conditions, the detection threshold for this vibration was determined with a masking

  14. Disposable surgical face masks for preventing surgical wound infection in clean surgery

    Directory of Open Access Journals (Sweden)

    Allyson Lipp

    Full Text Available BACKGROUND: Surgical face masks were originally developed to contain and filter droplets containing microorganisms expelled from the mouth and nasopharynx of healthcare workers during surgery, thereby providing protection for the patient. However, there are several ways in which surgical face masks could potentially contribute to contamination of the surgical wound, e.g. by incorrect wear or by leaking air from the side of the mask due to poor string tension. OBJECTIVES: To determine whether disposable surgical face masks worn by the surgical team during clean surgery prevent postoperative surgical wound infection. SEARCH METHODS: We searched The Cochrane Wounds Group Specialised Register (searched 14 September 2011; The Cochrane Central Register of Controlled Trials (CENTRAL (The Cochrane Library 2011, Issue 3; Ovid MEDLINE (2008 to August Week 5 2011; Ovid MEDLINE (In-Process &Other Non-Indexed Citations September 13, 2011; Ovid EMBASE (2008 to 2011 Week 35; and EBSCO CINAHL (2008 to 9 September 2011. SELECTION CRITERIA: Randomized controlled trials (RCTs and quasi-randomized controlled trials comparing the use of disposable surgical masks with the use of no mask. DATA COLLECTION AND ANALYSIS: Two review authors extracted data independently. MAIN RESULTS: Three trials were included, involving a total of 2113 participants. There was no statistically significant difference in infection rates between the masked and unmasked group in any of the trials. AUTHORS' CONCLUSIONS: From the limited results it is unclear whether the wearing of surgical face masks by members of the surgical team has any impact on surgical wound infection rates for patients undergoing clean surgery.

  15. Face mask sampling for the detection of Mycobacterium tuberculosis in expelled aerosols.

    Science.gov (United States)

    Williams, Caroline M L; Cheah, Eddy S G; Malkin, Joanne; Patel, Hemu; Otu, Jacob; Mlaga, Kodjovi; Sutherland, Jayne S; Antonio, Martin; Perera, Nelun; Woltmann, Gerrit; Haldar, Pranabashis; Garton, Natalie J; Barer, Michael R

    2014-01-01

    Although tuberculosis is transmitted by the airborne route, direct information on the natural output of bacilli into air by source cases is very limited. We sought to address this through sampling of expelled aerosols in face masks that were subsequently analyzed for mycobacterial contamination. In series 1, 17 smear microscopy positive patients wore standard surgical face masks once or twice for periods between 10 minutes and 5 hours; mycobacterial contamination was detected using a bacteriophage assay. In series 2, 19 patients with suspected tuberculosis were studied in Leicester UK and 10 patients with at least one positive smear were studied in The Gambia. These subjects wore one FFP30 mask modified to contain a gelatin filter for one hour; this was subsequently analyzed by the Xpert MTB/RIF system. In series 1, the bacteriophage assay detected live mycobacteria in 11/17 patients with wearing times between 10 and 120 minutes. Variation was seen in mask positivity and the level of contamination detected in multiple samples from the same patient. Two patients had non-tuberculous mycobacterial infections. In series 2, 13/20 patients with pulmonary tuberculosis produced positive masks and 0/9 patients with extrapulmonary or non-tuberculous diagnoses were mask positive. Overall, 65% of patients with confirmed pulmonary mycobacterial infection gave positive masks and this included 3/6 patients who received diagnostic bronchoalveolar lavages. Mask sampling provides a simple means of assessing mycobacterial output in non-sputum expectorant. The approach shows potential for application to the study of airborne transmission and to diagnosis.

  16. Expanding the printable design space for lithography processes utilizing a cut mask

    Science.gov (United States)

    Wandell, Jerome; Salama, Mohamed; Wilkinson, William; Curtice, Mark; Feng, Jui-Hsuan; Gao, Shao Wen; Asthana, Abhishek

    2016-03-01

    The utilization of a cut-mask in semiconductor patterning processes has been in practice for logic devices since the inception of 32nm-node devices, notably with unidirectional gate level printing. However, the microprocessor applications where cut-mask patterning methods are used are expanding as Self-Aligned Double Patterning (SADP) processes become mainstream for 22/14nm fin diffusion, and sub-14nm metal levels. One common weakness for these types of lithography processes is that the initial pattern requiring the follow-up cut-mask typically uses an extreme off-axis imaging source such as dipole to enhance the resolution and line-width roughness (LWR) for critical dense patterns. This source condition suffers from poor process margin in the semi-dense (forbidden pitch) realm and wrong-way directional design spaces. Common pattern failures in these limited design regions include bridging and extra-printing defects that are difficult to resolve with traditional mask improvement means. This forces the device maker to limit the allowable geometries that a designer may use on a device layer. This paper will demonstrate methods to expand the usable design space on dipole-like processes such as unidirectional gate and SADP processes by utilizing the follow-up cut mask to improve the process window. Traditional mask enhancement means for improving the process window in this design realm will be compared to this new cut-mask approach. The unique advantages and disadvantages of the cut-mask solution will be discussed in contrast to those customary methods.

  17. Automatic pattern localization across layout database and photolithography mask

    Science.gov (United States)

    Morey, Philippe; Brault, Frederic; Beisser, Eric; Ache, Oliver; Röth, Klaus-Dieter

    2016-03-01

    Advanced process photolithography masks require more and more controls for registration versus design and critical dimension uniformity (CDU). The distribution of the measurement points should be distributed all over the whole mask and may be denser in areas critical to wafer overlay requirements. This means that some, if not many, of theses controls should be made inside the customer die and may use non-dedicated patterns. It is then mandatory to access the original layout database to select patterns for the metrology process. Finding hundreds of relevant patterns in a database containing billions of polygons may be possible, but in addition, it is mandatory to create the complete metrology job fast and reliable. Combining, on one hand, a software expertise in mask databases processing and, on the other hand, advanced skills in control and registration equipment, we have developed a Mask Dataprep Station able to select an appropriate number of measurement targets and their positions in a huge database and automatically create measurement jobs on the corresponding area on the mask for the registration metrology system. In addition, the required design clips are generated from the database in order to perform the rendering procedure on the metrology system. This new methodology has been validated on real production line for the most advanced process. This paper presents the main challenges that we have faced, as well as some results on the global performances.

  18. Large Molecule Structures by Broadband Fourier Transform Molecular Rotational Spectroscopy

    Science.gov (United States)

    Evangelisti, Luca; Seifert, Nathan A.; Spada, Lorenzo; Pate, Brooks

    2016-06-01

    Fourier transform molecular rotational resonance spectroscopy (FT-MRR) using pulsed jet molecular beam sources is a high-resolution spectroscopy technique that can be used for chiral analysis of molecules with multiple chiral centers. The sensitivity of the molecular rotational spectrum pattern to small changes in the three dimensional structure makes it possible to identify diastereomers without prior chemical separation. For larger molecules, there is the additional challenge that different conformations of each diastereomer may be present and these need to be differentiated from the diastereomers in the spectral analysis. Broadband rotational spectra of several larger molecules have been measured using a chirped-pulse FT-MRR spectrometer. Measurements of nootkatone (C15H22O), cedrol (C15H26O), ambroxide (C16H28O) and sclareolide (C16H26O2) are presented. These spectra are measured with high sensitivity (signal-to-noise ratio near 1,000:1) and permit structure determination of the most populated isomers using isotopic analysis of the 13C and 18O isotopologues in natural abundance. The accuracy of quantum chemistry calculations to identify diastereomers and conformers and to predict the dipole moment properties needed for three wave mixing measurements is examined.

  19. Electro-Optical Imaging Fourier-Transform Spectrometer

    Science.gov (United States)

    Chao, Tien-Hsin; Zhou, Hanying

    2006-01-01

    An electro-optical (E-O) imaging Fourier-transform spectrometer (IFTS), now under development, is a prototype of improved imaging spectrometers to be used for hyperspectral imaging, especially in the infrared spectral region. Unlike both imaging and non-imaging traditional Fourier-transform spectrometers, the E-O IFTS does not contain any moving parts. Elimination of the moving parts and the associated actuator mechanisms and supporting structures would increase reliability while enabling reductions in size and mass, relative to traditional Fourier-transform spectrometers that offer equivalent capabilities. Elimination of moving parts would also eliminate the vibrations caused by the motions of those parts. Figure 1 schematically depicts a traditional Fourier-transform spectrometer, wherein a critical time delay is varied by translating one the mirrors of a Michelson interferometer. The time-dependent optical output is a periodic representation of the input spectrum. Data characterizing the input spectrum are generated through fast-Fourier-transform (FFT) post-processing of the output in conjunction with the varying time delay.

  20. The Effect of a Diving Mask on Intraocular Pressure in a Healthy Population

    Directory of Open Access Journals (Sweden)

    Catherina Josephine Goenadi

    2016-06-01

    Full Text Available Purpose: Swimming goggles increase the intraocular pressure (IOP via the periorbital frame pressure and suction effect. In comparison, diving masks have a larger frame rim and incorporate the nose. The exact effect(s of diving masks on IOP is unknown. This study evaluates the influence of diving masks on IOP in normal, healthy subjects. Methods: Tonometry was performed in both eyes of all subjects with an AVIA®Tono-Pen by a single investigator. Measurements were taken at baseline without the diving mask and with the subjects wearing a small-volume, double-window diving mask, but with the mask lenses removed. Two IOP readings in each eye were measured, and an additional reading was measured if the difference between the initial 2 was ≥2 mm Hg. Central corneal thickness (CCT was also measured in each eye, using a contact pachymeter (OcuScan®Alcon. Results: Forty eyes of 20 healthy volunteers (age 29.7 ± 9.3 years; range 21–52 were included. The mean CCT was 544.4 ± 43.5 µm. The mean IOP before the diving mask was worn had been 17.23 ± 2.18 mm Hg (n = 40. The IOP decreased by 0.43 mm Hg (p < 0.05 to 16.80 ± 2.57 mm Hg after the diving mask had been put on. There was no correlation between IOP change and age (r = 0.143, p = 0.337, gender (r = –0.174, p = 0.283 or CCT (r = –0.123, p = 0.445. Conclusion: There was no increase in IOP after the diving mask had been worn. A small but statistically significant decrease in IOP was observed. This study demonstrates that unlike swimming goggles, the strap tension and frame pressure on the periorbital tissue from a diving mask does not increase IOP. Diving masks may be a suitable alternative to swimming goggles for patients with advanced glaucoma or glaucoma filtration surgery.

  1. Analysis and Improvement of the Generic Higher-Order Masking Scheme of FSE 2012

    OpenAIRE

    Roy, Arnab; Venkatesh, Srinivas Vivek

    2013-01-01

    Masking is a well-known technique used to prevent block cipher implementations from side-channel attacks. Higher-order side channel attacks (e.g. higher-order DPA attack) on widely used block cipher like AES have motivated the design of efficient higher-order masking schemes. Indeed, it is known that as the masking order increases, the difficulty of side-channel attack increases exponentially. However, the main problem in higher-order masking is to design an efficient and secure technique for...

  2. Artifact Reduction of Susceptibility-Weighted Imaging Using a Short-Echo Phase Mask

    Energy Technology Data Exchange (ETDEWEB)

    Ishimori, Y.; Monma, M. (Dept. of Radiological Sciences, Ibaraki Prefectural Univ. of Health Sciences, Inashiki-gun, Ibaraki (Japan)); Kohno, Y. (Dept. of Neurology, Ibaraki Prefectural Univ. of Health Sciences, Inashiki-gun, Ibaraki (Japan))

    2009-11-15

    Background: Susceptibility-weighted imaging (SWI) is utilized in magnetic resonance (MR) venography and other applications, but can include artifacts caused by the phase-masking process. Purpose: To demonstrate risks of filter processes used in making phase masks for SWI, and to propose a simple method for reducing artifacts. Material and Methods: Phase linearity related to echo time (TE) was evaluated for the original phase and high-pass-filtered phase using a CuSO{sub 4}-doped water phantom. Effect of filter size of the Hanning window and background homogeneity were also evaluated in a phantom study. Use of a phase mask generated by data with differing magnitudes of TE was attempted in a human study. Shorter TE was used for making the phase mask, and the number of multiplications was increased. As short and long TEs were necessary simultaneously for phase mask and T2 contrast, a dual-echo technique was used. Results: Linearity of TE and phase value collapsed, and an unexpected negative phase appeared in the high-pass-filtered phase. Using a short-TE phase mask, phase-aliasing artifacts were reduced and visibility of deep veins was equivalent to that under conventional methods with an increased number of multiplications. Conclusion: Use of a short-echo phase mask in SWI is useful for reducing artifacts

  3. Metal oxide multilayer hard mask system for 3D nanofabrication

    Science.gov (United States)

    Han, Zhongmei; Salmi, Emma; Vehkamäki, Marko; Leskelä, Markku; Ritala, Mikko

    2018-02-01

    We demonstrate the preparation and exploitation of multilayer metal oxide hard masks for lithography and 3D nanofabrication. Atomic layer deposition (ALD) and focused ion beam (FIB) technologies are applied for mask deposition and mask patterning, respectively. A combination of ALD and FIB was used and a patterning procedure was developed to avoid the ion beam defects commonly met when using FIB alone for microfabrication. ALD grown Al2O3/Ta2O5/Al2O3 thin film stacks were FIB milled with 30 keV gallium ions and chemically etched in 5% tetramethylammonium hydroxide at 50 °C. With metal evaporation, multilayers consisting of amorphous oxides Al2O3 and Ta2O5 can be tailored for use in 2D lift-off processing, in preparation of embedded sub-100 nm metal lines and for multilevel electrical contacts. Good pattern transfer was achieved by lift-off process from the 2D hard mask for micro- and nano-scaled fabrication. As a demonstration of the applicability of this method to 3D structures, self-supporting 3D Ta2O5 masks were made from a film stack on gold particles. Finally, thin film resistors were fabricated by utilizing controlled stiction of suspended Ta2O5 structures.

  4. Vitreous carbon mask substrate for X-ray lithography

    Science.gov (United States)

    Aigeldinger, Georg [Livermore, CA; Skala, Dawn M [Fremont, CA; Griffiths, Stewart K [Livermore, CA; Talin, Albert Alec [Livermore, CA; Losey, Matthew W [Livermore, CA; Yang, Chu-Yeu Peter [Dublin, CA

    2009-10-27

    The present invention is directed to the use of vitreous carbon as a substrate material for providing masks for X-ray lithography. The new substrate also enables a small thickness of the mask absorber used to pattern the resist, and this enables improved mask accuracy. An alternative embodiment comprised the use of vitreous carbon as a LIGA substrate wherein the VC wafer blank is etched in a reactive ion plasma after which an X-ray resist is bonded. This surface treatment provides a surface enabling good adhesion of the X-ray photoresist and subsequent nucleation and adhesion of the electrodeposited metal for LIGA mold-making while the VC substrate practically eliminates secondary radiation effects that lead to delamination of the X-ray resist form the substrate, the loss of isolated resist features, and the formation of a resist layer adjacent to the substrate that is insoluble in the developer.

  5. Experiments on the evaluation of protection provided by full-face masks as worn

    International Nuclear Information System (INIS)

    Kinouchi, Nobuyuki; Ikezawa, Yoshio; Izumi, Yukio.

    1991-01-01

    The performance of a dust respirator is affected mostly by the leakage through the interstice between mask and face. Mask man-test using NaCl aerosols has been developed to evaluate protection provided by worn masks. However, there were some problems in application of man-test to field test. The sampling method of the NaCl aerosols inside the mask was discussed. The tool for sampling operated easily was selected, and the best sampling position at the mask was decided. The correction of the measured values was also discussed. Because of NaCl aerosols deposition in the respiratory tract and sampling lines, the leakage might be underestimated. The correction coefficient was experimentally measured and discussed. (author)

  6. Increased masked hypertension prevalence in patients with obesity.

    Science.gov (United States)

    Özkan, Selçuk; Ata, Naim; Yavuz, Bunyamin

    2018-02-08

    Masked hypertension is associated with an increased risk for cardiovascular conditions. The aim of the study was to evaluate the relationship obesity parameters, including body weight, waist circumference, and body mass index. The study group consisted of 251 consecutive outpatient subjects without overt hypertension. Subjects were classified according to BMI. After a complete medical history and laboratory examination, patients' height, weight, waist circumference heart rate, and office blood pressure were recorded. All subjects underwent ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of Masked hypertension was significantly higher in patients with obesity than controls (30.9% vs 5.7%, p < 0.001). Body mass index (33.2 ± 4.3 vs 25.1 ± 2.7 p < 0.001), waist circumference (98.5 ± 11.7 vs 86.8 ± 8.8, p < 0.001), and weight (86.5 ± 11.8 vs. 69 ± 9.1, p < 0.001) in patients with obesity were significantly higher than in patients with normal weight. Office Systolic BP (121.8 ± 4.4 vs 120.5 ± 4.78, p = 0.035), ambulatory daytime systolic BP (128.8 ± 8.9 vs 124.5 ± 7.4, p < 0.001), ambulatory daytime diastolic BP (73.9 ± 9.5 vs 71.5 ± 7.0, p = 0.019), ambulatory night-time systolic BP in patients with obesity was significantly higher than in patients with normal weight. This study demonstrated that masked hypertension prevalence is higher in patients with obesity than control patients. It can be suggested that predefining obesity might be helpful in early detection of masked hypertension.

  7. Categorical scaling of partial loudness in a condition of masking release.

    Science.gov (United States)

    Verhey, Jesko L; Heeren, Wiebke

    2015-08-01

    Categorical loudness scaling was used to measure suprathreshold release from masking. The signal was a 986-Hz sinusoid that was embedded in a bandpass-filtered masking noise. This noise was either unmodulated or was amplitude modulated with a square-wave modulator. The unmodulated noise had either the same level as the modulated noise or had a level that was reduced by the difference in thresholds for the 986-Hz signal obtained with the modulated and unmodulated noise masker presented at the same level (i.e., the masking release). A comparison with loudness matching data of the same set of subjects showed that the data obtained with loudness scaling capture main aspects of the change in suprathreshold perception of the sinusoid when the masker was modulated. The scaling data for the signal masked by the unmodulated noise with the reduced masker level were similar to that for the signal embedded in the modulated noise. This similarity supports the hypothesis that the mechanism eliciting the masking release is effectively reducing the masker level.

  8. The pros and cons of masked priming.

    Science.gov (United States)

    Forster, K I

    1998-03-01

    Masked priming paradigms offer the promise of tapping automatic, strategy-free lexical processing, as evidenced by the lack of expectancy disconfirmation effects, and proportionality effects in semantic priming experiments. But several recent findings suggest the effects may be prelexical. These findings concern nonword priming effects in lexical decision and naming, the effects of mixed-case presentation on nonword priming, and the dependence of priming on the nature of the distractors in lexical decision, suggesting possible strategy effects. The theory underlying each of these effects is discussed, and alternative explanations are developed that do not preclude a lexical basis for masked priming effects.

  9. 42 CFR 84.75 - Half-mask facepieces, full facepieces, mouthpieces; fit; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Half-mask facepieces, full facepieces, mouthpieces... RESPIRATORY PROTECTIVE DEVICES Self-Contained Breathing Apparatus § 84.75 Half-mask facepieces, full facepieces, mouthpieces; fit; minimum requirements. (a) Half-mask facepieces and full facepieces shall be...

  10. Design of aerosol face masks for children using computerized 3D face analysis.

    Science.gov (United States)

    Amirav, Israel; Luder, Anthony S; Halamish, Asaf; Raviv, Dan; Kimmel, Ron; Waisman, Dan; Newhouse, Michael T

    2014-08-01

    Aerosol masks were originally developed for adults and downsized for children. Overall fit to minimize dead space and a tight seal are problematic, because children's faces undergo rapid and marked topographic and internal anthropometric changes in their first few months/years of life. Facial three-dimensional (3D) anthropometric data were used to design an optimized pediatric mask. Children's faces (n=271, aged 1 month to 4 years) were scanned with 3D technology. Data for the distance from the bridge of the nose to the tip of the chin (H) and the width of the mouth opening (W) were used to categorize the scans into "small," "medium," and "large" "clusters." "Average" masks were developed from each cluster to provide an optimal seal with minimal dead space. The resulting computerized contour, W and H, were used to develop the SootherMask® that enables children, "suckling" on their own pacifier, to keep the mask on their face, mainly by means of subatmospheric pressure. The relatively wide and flexible rim of the mask accommodates variations in facial size within and between clusters. Unique pediatric face masks were developed based on anthropometric data obtained through computerized 3D face analysis. These masks follow facial contours and gently seal to the child's face, and thus may minimize aerosol leakage and dead space.

  11. An optimized OPC and MDP flow for reducing mask write time and mask cost

    Science.gov (United States)

    Yang, Ellyn; Li, Cheng He; Park, Se Jin; Zhu, Yu; Guo, Eric

    2010-09-01

    In the process of optical proximity correction, layout edge or fragment is migrating to proper position in order to minimize edge placement error (EPE). During this fragment migration, several factors other than EPE can be also taken into account as a part of cost function for optimal fragment displacement. Several factors are devised in favor of OPC stability, which can accommodate room for high mask error enhancement factor (MEEF), lack of process window, catastrophic pattern failure such as pinch/bridge and improper fragmentation. As technology node becomes finer, there happens conflict between OPC accuracy and stability. Especially for metal layers, OPC has focused on the stability by loss of accurate OPC results. On this purpose, several techniques have been introduced, which are target smoothing, process window aware OPC, model-based retargeting and adaptive OPC. By utilizing those techniques, OPC enables more stabilized patterning, instead of realizing design target exactly on wafer. Inevitably, post-OPC layouts become more complicated because those techniques invoke additional edge, or fragments prior to correction or during OPC iteration. As a result, jogs of post OPC layer can be dramatically increased, which results in huge number of shot count after data fracturing. In other words, there is trade-off relationship between data complexity and various methods for OPC stability. In this paper, those relationships have been investigated with respect to several technology nodes. The mask shot count reduction is achieved by reducing the number of jogs with which EPE difference are within pre-specified value. The effect of jog smoothing on OPC output - in view of OPC performance and mask data preparation - was studied quantitatively for respective technology nodes.

  12. Charged particle scintillation mass spectrometer

    International Nuclear Information System (INIS)

    Baranov, P.S.; Zhuravlev, E.E.; Nafikov, A.A.; Osadchi , A.I.; Raevskij, V.G.; Smirnov, P.A.; Cherepnya, S.N.; Yanulis, Yu.P.

    1982-01-01

    A scintillation mass-spectrometer for charged particle identification by the measured values of time-of-flight and energy operating on line with the D-116 computer is described. Original time detectors with 100x100x2 mm 3 and 200x2 mm 2 scintillators located on the 1- or 2 m path length are used in the spectrometer. The 200x200x200 mm 3 scintillation unit is used as a E-counter. Time-of-flight spectra of the detected particles on the 2 m path length obtained in spectrometer test in the beam of charged particles escaping from the carbon target at the angle of 130 deg under 1.2 GeV bremsstrahlung beam of the ''Pakhra'' PIAS synchrotron are presented. Proton and deuteron energy spectra as well as mass spectrum of all the particles detected by the spectrometer are given. Mass resolution obtained on the 2 m path length for π-mesons is +-25%, for protons is +-5%, for deuterons is +-3%

  13. Spin imaging in solids using synchronously rotating field gradients and samples

    International Nuclear Information System (INIS)

    Wind, R.A.; Yannoni, C.S.

    1983-01-01

    A method for spin-imaging in solids using nuclear magnetic resonance (NMR) spectroscopy is described. With this method, the spin density distribution of a two- or three-dimensional object such as a solid can be constructed resulting in an image of the sample. This method lends itself to computer control to map out an image of the object. This spin-imaging method involves the steps of placing a solid sample in the rf coil field and the external magnetic field of an NMR spectrometer. A magnetic field gradient is superimposed across the sample to provide a field gradient which results in a varying DC field that has different values over different parts of the sample. As a result, nuclei in different parts of the sample have different resonant NMR frequencies. The sample is rotated about an axis which makes a particular angle of 54.7 degrees with the static external magnetic field. The magnetic field gradient which has a spatial distribution related to the sample spinning axis is then rotated synchronously with the sample. Data is then collected while performing a solid state NMR line narrowing procedure. The next step is to change the phase relation between the sample rotation and the field gradient rotation. The data is again collected as before while the sample and field gradient are synchronously rotated. The phase relation is changed a number of times and data collected each time. The spin image of the solid sample is then reconstructed from the collected data

  14. A Comparison of MODIS/VIIRS Cloud Masks over Ice-Bearing River: On Achieving Consistent Cloud Masking and Improved River Ice Mapping

    Directory of Open Access Journals (Sweden)

    Simon Kraatz

    2017-03-01

    Full Text Available The capability of frequently and accurately monitoring ice on rivers is important, since it may be possible to timely identify ice accumulations corresponding to ice jams. Ice jams are dam-like structures formed from arrested ice floes, and may cause rapid flooding. To inform on this potential hazard, the CREST River Ice Observing System (CRIOS produces ice cover maps based on MODIS and VIIRS overpass data at several locations, including the Susquehanna River. CRIOS uses the respective platform’s automatically produced cloud masks to discriminate ice/snow covered grid cells from clouds. However, since cloud masks are produced using each instrument’s data, and owing to differences in detector performance, it is quite possible that identical algorithms applied to even nearly identical instruments may produce substantially different cloud masks. Besides detector performance, cloud identification can be biased due to local (e.g., land cover, viewing geometry, and transient conditions (snow and ice. Snow/cloud confusions and large view angles can result in substantial overestimates of clouds and ice. This impacts algorithms, such as CRIOS, since false cloud cover precludes the determination of whether an otherwise reasonably cloud free grid consists of water or ice. Especially for applications aiming to frequently classify or monitor a location it is important to evaluate cloud masking, including false cloud detections. We present an assessment of three cloud masks via the parameter of effective revisit time. A 100 km stretch of up to 1.6 km wide river was examined with daily data sampled at 500 m resolution, examined over 317 days during winter. Results show that there are substantial differences between each of the cloud mask products, especially while the river bears ice. A contrast-based cloud screening approach was found to provide improved and consistent cloud and ice identification within the reach (95%–99% correlations, and 3%–7% mean

  15. Actinic Mask Inspection at the ALS Initial Design Review

    International Nuclear Information System (INIS)

    Barty, A; Chapman, H; Sweeney, D; Levesque, R; Bokor, J; Gullikson, E; Jong, S; Liu, Y; Yi, M; Denbeaux, G; Goldberg, K; Naulleau, P; Denham, P; Rekawa, S; Baston, P; Tackaberry, R; Barale, P

    2003-01-01

    This report is the first milestone report for the actinic mask blank inspection project conducted at the VNL, which forms sub-section 3 of the Q1 2003 mask blank technology transfer program at the VNL. Specifically this report addresses deliverable 3.1.1--design review and preliminary tool design. The goal of this project is to design an actinic mask inspection tool capable of operating in two modes: high-speed scanning for the detection of multilayer defects (inspection mode), and a high-resolution aerial image mode in which the image emulates the imaging illumination conditions of a stepper system (aerial image or AIM mode). The purpose and objective of these two modes is as follows: (1) Defect inspection mode--This imaging mode is designed to scan large areas of the mask for defects EUV multilayer coatings. The goal is to detect the presence of multilayer defects on a mask blank and to store the co-ordinates for subsequent review in AIM mode, thus it is not essential that the illumination and imaging conditions match that of a production stepper. Potential uses for this imaging mode include: (a) Correlating the results obtained using actinic inspection with results obtained using other non-EUV defect inspection systems to verify that the non-EUV scanning systems are detecting all critical defects; (b) Gaining sufficient information to associate defects with particular processes, such as various stages of the multilayer deposition or different modes of operation of the deposition tool; and (c) Assessing the density and EUV impact of surface and multilayer anomalies. Because of the low defect density achieved using current multilayer coating technology it is necessary to be able to efficiently scan large areas of the mask in order to obtain sufficient statistics for use in cross-correlation experiments. Speed of operation as well as sensitivity is therefore key to operation in defect inspection mode. (2) Aerial Image Microscope (AIM) mode--In AIM mode the tool is

  16. Comparison of plastic and orfit[reg] masks for patient head fixation during radiotherapy: precision and costs

    International Nuclear Information System (INIS)

    Weltens, Caroline; Kesteloot, Katrien; Vandevelde, Guy; Van den Bogaert, Walter

    1995-01-01

    Purpose: Two widely used immobilization systems for head fixation during radiotherapy treatment for ear-nose-throat (ENT) tumors are evaluated. Methods and Materials: Masks made of poly vinyl-chloride (plastuc) are compared to thermoplastic masks (Orfit[reg]) with respect to the accuracy of the treatment setup and the costs. For both types of material, a cut-out (windows corresponding to treatment fields) and a full mask (not cut out) are considered. Forty-three patients treated for ENT tumors were randomized into four groups, to be fixed by one of the following modalities: cut-out plastic mask (12 patients), full plastic mask (11 patients), cut-out Orfit[reg] mask (10 patients), and full Orfit[reg] mask (10 patients). Results: Reproducibility of the treatment setup was assessed by calculating the deviations from the mean value for each individual patient and was demonstrated to be identical for all subgroups: no differences were demonstrated between the plastic (s = 2.1 mm) and the Orfit[reg] (s = 2.1 mm) group nor between the cut-out (s = 2.0 mm) and not cut-out (s = 2.1 mm) group. The transfer chain from simulator to treatment unit was checked by comparing portal images to their respective simulation image, and no differences between the four subgroups (s = ±3.5 mm) could be detected. A methodology was described to compare the costs of both types of masks, and illustrated with the data for a department. It was found that Orfit[reg] masks are a cheaper alternative than plastic masks; they require much less investment expenses and the workload and material cost of the first mask for each patient is also lower. Cut-out masks are more expensive than full masks, because of the higher workload and the additional material required for second and third masks that are required in case of field modifications. Conclusions: No substantial difference in patient setup accuracy between both types of masks was detected, and cutting out the masks had no impact on the fixing

  17. Evaluation of wind noise in passenger car compartment in consideration of auditory masking and sound localization; Chokaku masking to hoko chikaku wo koryoshita kazekirion hyoka

    Energy Technology Data Exchange (ETDEWEB)

    Hoshino, H. [Toyota Central Research and Development Labs., Inc., Aichi (Japan); Kato, H. [Toyota Motor Corp., Aichi (Japan)

    1998-05-01

    Discussed is a method for evaluating wind noise in passenger car compartment based on human auditory characteristics. In the study, noise in the compartment of a passenger car travelling at a constant speed is collected by use of a dummy head, and the collected noise is analyzed in view of the masking effect, directional sensation produced by binaural hearing, etc. A masked spectrum of noise in the compartment of a 6-cylinder vehicle travelling at 120km/h is analyzed, and it is found that some frequency bands, especially the band centering on 300Hz, are masked by a loud noise component falling in a low frequency band of 180Hz or lower. By use of masked spectrum analysis, the level of noise that is actually audible to human ears can be calculated. The noise level thus determined by masked spectrum analysis and the noise direction determined by a binaural signal processing model are examined, and then it is found that the noise direction is clearly determined when the noise belongs in a 450Hz band or higher where wind noise prevails. On the bases of the above-mentioned results and the directional sensation produced by binaural hearing, a `binaural wind noise evaluation method` is compiled. 20 refs., 9 figs., 1 tab.

  18. Mask-wearing and respiratory infection in healthcare workers in Beijing, China

    Directory of Open Access Journals (Sweden)

    Peng Yang

    Full Text Available OBJECTIVES: The aim of the study was to determine rates of mask-wearing, of respiratory infection and the factors associated with mask-wearing and of respiratory infection in healthcare workers (HCWs in Beijing during the winter of 2007/2008. METHODS: We conducted a survey of 400 HCWs working in eight hospitals in Beijing by face to face interview using a standardized questionnaire. RESULTS: We found that 280/400 (70.0% of HCWs were compliant with mask-wearing while in contact with patients. Respiratory infection occurred in 238/400 (59.5% subjects from November, 2007 through February, 2008. Respiratory infection was higher among females (odds ratio [OR], 2.00 [95% confidence interval {CI}, 1.16-3.49] and staff working in larger hospitals (OR, 1.72 [95% CI, 1.092.72], but was lower among subjects with seasonal influenza vaccination (OR, 0.46 [95% CI, 0.280.76], wearing medical masks (reference: cotton-yarn; OR, 0.60 [95% CI, 0.39-0.91] or with good mask-wearing adherence (OR, 0.60 [95% CI, 0.37-0.98]. The risk of respiratory infection of HCWs working in low risk areas was similar to that of HCWs in high risk area. CONCLUSION: Our data suggest that female HCWs and staffs working in larger hospitals are the focus of prevention and control of respiratory infection in Beijing hospitals. Mask-wearing and seasonal influenza vaccination are protective for respiratory infection in HCWs; the protective efficacy of medical masks is better than that of cotton yarn ones; respiratory infection of HCWs working in low risk areas should also be given attention.

  19. Inferior alveolar nerve injury with laryngeal mask airway: a case report

    Directory of Open Access Journals (Sweden)

    Masud Sarmad

    2011-03-01

    Full Text Available Abstract Introduction The incidence of damage to the individual cranial nerves and their branches associated with laryngeal mask airway use is low; there have been case reports of damage to the lingual nerve, hypoglossal nerve and recurrent laryngeal nerve. To the best of our knowledge we present the first reported case of inferior alveolar nerve injury associated with laryngeal mask airway use. Case presentation A 35-year-old Caucasian man presented to our facility for elective anterior cruciate ligament repair. He had no background history of any significant medical problems. He opted for general anesthesia over a regional technique. He was induced with fentanyl and propofol and a size 4 laryngeal mask airway was inserted without any problems. His head was in a neutral position during the surgery. After surgery in the recovery room, he complained of numbness in his lower lip. He also developed extensive scabbing of the lower lip on the second day after surgery. The numbness and scabbing started improving after a week, with complete recovery after two weeks. Conclusion We report the first case of vascular occlusion and injury to the inferior alveolar nerve, causing scabbing and numbness of the lower lip, resulting from laryngeal mask airway use. This is an original case report mostly of interest for anesthetists who use the laryngeal mask airway in day-to-day practice. Excessive inflation of the laryngeal mask airway cuff could have led to this complication. Despite the low incidence of cranial nerve injury associated with the use of the laryngeal mask airway, vigilant adherence to evidence-based medicine techniques and recommendations from the manufacturer's instructions can prevent such complications.

  20. Digital Spectrometers for Interplanetary Science Missions

    Science.gov (United States)

    Jarnot, Robert F.; Padmanabhan, Sharmila; Raffanti, Richard; Richards, Brian; Stek, Paul; Werthimer, Dan; Nikolic, Borivoje

    2010-01-01

    A fully digital polyphase spectrometer recently developed by the University of California Berkeley Wireless Research Center in conjunction with the Jet Propulsion Laboratory provides a low mass, power, and cost implementation of a spectrum channelizer for submillimeter spectrometers for future missions to the Inner and Outer Solar System. The digital polyphase filter bank spectrometer (PFB) offers broad bandwidth with high spectral resolution, minimal channel-to-channel overlap, and high out-of-band rejection.

  1. New refresher training in the use of self-rescue masks

    CERN Multimedia

    HSE Unit

    2012-01-01

    Photos of the training session for self-rescue mask instructors held on 21 March 2012 – 10 new instructors followed the coursePhotos of the training session for self-rescue mask instructors held on 21 March 2012 – 10 new instructors followed the course   A refresher course in the use of self-rescue masks has been added to the CERN training catalogue. Sign up! More than 3500 people have followed the course since it was introduced in 2009. Taking account of the forthcoming long shutdown, requests for follow-up training from course participants and recent changes in the course content, the HSE Unit has decided to place a three-year limit on the validity of the initial training and to introduce a refresher course. The new refresher course is open to all personnel having completed the initial course at least 2 years ago. The course, "Recyclage Formation masque auto-sauveteur » / "Refresher course Self-Rescue Mask Training", (code No. 077...

  2. A New Control System Software for SANS BATAN Spectrometer in Serpong, Indonesia

    International Nuclear Information System (INIS)

    Bharoto; Putra, Edy Giri Rachman

    2010-01-01

    The original main control system of the 36 meter small-angle neutron scattering (SANS) BATAN Spectrometer (SMARTer) has been replaced with the new ones due to the malfunction of the main computer. For that reason, a new control system software for handling all the control systems was also developed in order to put the spectrometer back in operation. The developed software is able to control the system such as rotation movement of six pinholes system, vertical movement of four neutron guide system with the total length of 16.5 m, two-directional movement of a neutron beam stopper, forward-backward movement of a 2D position sensitive detector (2D-PSD) along 16.7 m, etc. A Visual Basic language program running on Windows operating system was employed to develop the software and it can be operated by other remote computers in the local area network. All device positions and command menu are displayed graphically in the main monitor or window and each device control can be executed by clicking the control button. Those advantages are necessary required for developing a new user-friendly control system software. Finally, the new software has been tested for handling a complete SANS experiment and it works properly.

  3. Past and future challenges from a display mask writer perspective

    Science.gov (United States)

    Ekberg, Peter; von Sydow, Axel

    2012-06-01

    Since its breakthrough, the liquid crystal technology has continued to gain momentum and the LCD is today the dominating display type used in desktop monitors, television sets, mobile phones as well as other mobile devices. To improve production efficiency and enable larger screen sizes, the LCD industry has step by step increased the size of the mother glass used in the LCD manufacturing process. Initially the mother glass was only around 0.1 m2 large, but with each generation the size has increased and with generation 10 the area reaches close to 10 m2. The increase in mother glass size has in turn led to an increase in the size of the photomasks used - currently the largest masks are around 1.6 × 1.8 meters. A key mask performance criterion is the absence of "mura" - small systematic errors captured only by the very sensitive human eye. To eliminate such systematic errors, special techniques have been developed by Micronic Mydata. Some mura suppressing techniques are described in this paper. Today, the race towards larger glass sizes has come to a halt and a new race - towards higher resolution and better image quality - is ongoing. The display mask is therefore going through a change that resembles what the semiconductor mask went through some time ago: OPC features are introduced, CD requirements are increasing sharply and multi tone masks (MTMs) are widely used. Supporting this development, Micronic Mydata has introduced a number of compensation methods in the writer, such as Z-correction, CD map and distortion control. In addition, Micronic Mydata MMS15000, the world's most precise large area metrology tool, has played an important role in improving mask placement quality and is briefly described in this paper. Furthermore, proposed specifications and system architecture concept for a new generation mask writers - able to fulfill future image quality requirements - is presented in this paper. This new system would use an AOD/AOM writing engine and be

  4. HIGH PERFORMANCE PIAA CORONAGRAPHY WITH COMPLEX AMPLITUDE FOCAL PLANE MASKS

    International Nuclear Information System (INIS)

    Guyon, Olivier; Martinache, Frantz; Belikov, Ruslan; Soummer, Remi

    2010-01-01

    We describe a coronagraph approach where the performance of a Phase-Induced Amplitude Apodization (PIAA) coronagraph is improved by using a partially transmissive phase-shifting focal plane mask and a Lyot stop. This approach combines the low inner working angle offered by phase mask coronagraphy, the full throughput and uncompromized angular resolution of the PIAA approach, and the design flexibility of Apodized Pupil Lyot Coronagraph. A PIAA complex mask coronagraph (PIAACMC) is fully described by the focal plane mask size, or, equivalently, its complex transmission which ranges from 0 (opaque) to -1 (phase shifting). For all values of the transmission, the PIAACMC theoretically offers full on-axis extinction and 100% throughput at large angular separations. With a pure phase focal plane mask (complex transmission = -1), the PIAACMC offers 50% throughput at 0.64 λ/D while providing total extinction of an on-axis point source. This performance is very close to the 'fundamental performance limit' of coronagraphy derived from first principles. For very high contrast level, imaging performance with PIAACMC is in practice limited by the angular size of the on-axis target (usually a star). We show that this fundamental limitation must be taken into account when choosing the optimal value of the focal plane mask size in the PIAACMC design. We show that the PIAACMC enables visible imaging of Jupiter-like planets at ∼1.2 λ/D from the host star, and can therefore offer almost three times more targets than a PIAA coronagraph optimized for this type of observation. We find that for visible imaging of Earth-like planets, the PIAACMC gain over a PIAA is probably much smaller, as coronagraphic performance is then strongly constrained by stellar angular size. For observations at 'low' contrast (below ∼ 10 8 ), the PIAACMC offers significant performance enhancement over PIAA. This is especially relevant for ground-based high contrast imaging systems in the near-IR, where

  5. The role of executive attention in object substitution masking.

    Science.gov (United States)

    Filmer, Hannah L; Wells-Peris, Roxanne; Dux, Paul E

    2017-05-01

    It was long thought that a key characteristic of object substitution masking (OSM) was the requirement for spatial attention to be dispersed for the mask to impact visual sensitivity. However, recent studies have provided evidence questioning whether spatial attention interacts with OSM magnitude, suggesting that the previous reports reflect the impact of performance being at ceiling for the low attention load conditions. Another technique that has been employed to modulate attention in OSM paradigms involves presenting the target stimulus foveally, but with another demanding task shown immediately prior, and thus taxing executive/temporal attention. Under such conditions, when the two tasks occur in close temporal proximity relatively to greater temporal separation, masking is increased. However this effect could also be influenced by performance being at ceiling in some conditions. Here, we manipulated executive attention for a foveated target using a dual-task paradigm. Critically, ceiling performance was avoided by thresholding the target stimulus prior to it being presented under OSM conditions. We found no evidence for an interaction between executive attention load and masking. Collectively, along with the previous findings, our results provide compelling evidence that OSM as a phenomenon occurs independently of attention.

  6. Smoke Mask

    Science.gov (United States)

    2003-01-01

    Smoke inhalation injury from the noxious products of fire combustion accounts for as much as 80 percent of fire-related deaths in the United States. Many of these deaths are preventable. Smoke Mask, Inc. (SMI), of Myrtle Beach, South Carolina, is working to decrease these casualties with its line of life safety devices. The SMI personal escape hood and the Guardian Filtration System provide respiratory protection that enables people to escape from hazardous and unsafe conditions. The breathing filter technology utilized in the products is specifically designed to supply breathable air for 20 minutes. In emergencies, 20 minutes can mean the difference between life and death.

  7. Experiences and future plans of movable mask system for the high current collider KEK B-factory

    International Nuclear Information System (INIS)

    Suetsugu, Yusuke; Kageyama, Tatsuya; Takeuchi, Yasunao; Kanazawa, Ken-ichi; Satoh, Kotarou; Sanami, Toshiya; Hirayama, Hideo

    2002-01-01

    The movable mask is a special device for an accelerator that cuts off spent particles near the beam orbit and reduces background of the detector. For the KEKB, an electron/positron collider with two rings, sixteen movable masks had been installed for each ring. The originally designed masks, however, revealed severe troubles of heating, arcing and vacuum leaks at the stored beam currents of several hundreds mA. Several kinds of improved masks had been designed employing RF technologies and were installed to the ring step by step. The latest masks are working well except for the grooves on the mask head. Here the problems of movable masks so far are summarized and the structures of the latest movable masks and their status are presented. (author)

  8. Simultaneous ion detection in a mass spectrometer with variable mass dispersion

    International Nuclear Information System (INIS)

    Tuithof, H.H.

    1977-01-01

    This thesis mainly describes the ion-optics of a magnetic mass spectrometer system, especially applied to the projection of a significant part of the mass spectrum onto a flat ion-detector. The complete detector consists of a channeltron electron multiplier array with phosphor screen and a Vidicon-multichannel analyzer combination for simultaneous read-out. In order to optimise the spectral range projected onto the channelplate, by varying the mass dispersion and to rotate the oblique angle of the mass focal plane with respect to the detector surface, the sector magnet has been combined with electrostatic and magnetic quadrupole lenses. This detector will find wide application in the analysis of minute sample quantities, in the recording of extremely short ion events (large molecules) and at collision activation mass-spectrometry studies

  9. A phase mask fiber grating and sensing applications

    Directory of Open Access Journals (Sweden)

    Preecha P. Yupapin

    2003-09-01

    Full Text Available This paper presents an investigation of a fabricated fiber grating device characteristics and its applications, using a phase mask writing technique. The use of a most common UV phase laser (KrF eximer laser, with high intensity light source was focussed to the phase mask for writing on a fiber optic sample. The device (i.e. grating characteristic especially, in sensing application, was investigated. The possibility of using such device for temperature and strain sensors is discussed.

  10. Impact of thermoplastic mask on X-ray surface dose calculated with Monte Carlo code

    International Nuclear Information System (INIS)

    Zhao Yanqun; Li Jie; Wu Liping; Wang Pei; Lang Jinyi; Wu Dake; Xiao Mingyong

    2010-01-01

    Objective: To calculate the effects of thermoplastic mask on X-ray surface dose. Methods: The BEAMnrc Monte Carlo Code system, designed especially for computer simulation of radioactive sources, was performed to evaluate the effects of thermoplastic mask on X-ray surface dose.Thermoplastic mask came from our center with a material density of 1.12 g/cm 2 . The masks without holes, with holes size of 0.1 cm x 0.1 cm, and with holes size of 0. 1 cm x 0.2 cm, and masks with different depth (0.12 cm and 0.24 cm) were evaluated separately. For those with holes, the material width between adjacent holes was 0.1 cm. Virtual masks with a material density of 1.38 g/cm 3 without holes with two different depths were also evaluated. Results: Thermoplastic mask affected X-rays surface dose. When using a thermoplastic mask with the depth of 0.24 cm without holes, the surface dose was 74. 9% and 57.0% for those with the density of 1.38 g/cm 3 and 1.12 g/cm 3 respectively. When focusing on the masks with the density of 1.12 g/cm 3 , the surface dose was 41.2% for those with 0.12 cm depth without holes; 57.0% for those with 0. 24 cm depth without holes; 44.5% for those with 0.24 cm depth with holes size of 0.1 cm x 0.2 cm;and 54.1% for those with 0.24 cm depths with holes size of 0.1 cm x 0.1 cm.Conclusions: Using thermoplastic mask during the radiation increases patient surface dose. The severity is relative to the hole size and the depth of thermoplastic mask. The surface dose change should be considered in radiation planning to avoid severe skin reaction. (authors)

  11. Keep pushing! Limiting interruptions to CPR; bag-valve mask versus ...

    African Journals Online (AJOL)

    This has led to first responders and paramedics performing single rescuer CPR using a bag-valve-mask (BVM) device as opposed to the historical practice of intubating and ventilating via an endotracheal tube. Bag-valve-mask ventilations, especially during single rescuer CPR, are however associated with complications ...

  12. Prevalence and persistence of masked hypertension in treated hypertensive patients

    NARCIS (Netherlands)

    Verberk, Willem J.; Thien, Theo; Kroon, Abraham A.; Lenders, Jacques W. M.; van Montfrans, Gert A.; Smit, Andries J.; de Leeuw, Peter W.

    2007-01-01

    Background: Masked hypertension (MH) is defined as a normal blood pressure in the physician's office and an elevated blood pressure when measured out-of-office. The cause of MH may be termed the masked hypertension effect (MHE), and is not restricted to blood-pressure (BP) values around the

  13. Prevalence and persistence of masked hypertension in treated hypertensive patients.

    NARCIS (Netherlands)

    Verberk, W.J.; Thien, Th.; Kroon, A.A.; Lenders, J.W.M.; Montfrans, G.A. van; Smit, A.J.; Leeuw, P.W. de

    2007-01-01

    BACKGROUND: Masked hypertension (MH) is defined as a normal blood pressure in the physician's office and an elevated blood pressure when measured out-of-office. The cause of MH may be termed the masked hypertension effect (MHE), and is not restricted to blood-pressure (BP) values around the

  14. Prevalence and persistence of masked hypertension in treated hypertensive patients

    NARCIS (Netherlands)

    Verberk, Willem J.; Thien, Theo; Kroon, Abraham A.; Lenders, Jacques W. M.; van Montfrans, Gert A.; Smit, Andries J.; de Leeuw, Peter W.

    2007-01-01

    BACKGROUND: Masked hypertension (MH) is defined as a normal blood pressure in the physician's office and an elevated blood pressure when measured out-of-office. The cause of MH may be termed the masked hypertension effect (MHE), and is not restricted to blood-pressure (BP) values around the

  15. Method to make a single-step etch mask for 3D monolithic nanostructures

    NARCIS (Netherlands)

    Grishina, Diana; Harteveld, Cornelis A.M.; Woldering, L.A.; Vos, Willem L.

    2015-01-01

    Current nanostructure fabrication by etching is usually limited to planar structures as they are defined by a planar mask. The realization of three-dimensional (3D) nanostructures by etching requires technologies beyond planar masks. We present a method for fabricating a 3D mask that allows one to

  16. 37 CFR 211.6 - Methods of affixation and placement of mask work notice.

    Science.gov (United States)

    2010-07-01

    ... placement of mask work notice. 211.6 Section 211.6 Patents, Trademarks, and Copyrights COPYRIGHT OFFICE, LIBRARY OF CONGRESS COPYRIGHT OFFICE AND PROCEDURES MASK WORK PROTECTION § 211.6 Methods of affixation and placement of mask work notice. (a) General. (1) This section specifies methods of affixation and placement...

  17. US EPA Regional Masks Web Service, US, 2015, US EPA, SEGS

    Data.gov (United States)

    U.S. Environmental Protection Agency — This web service contains the following map layers: masks and labels for EPA regions 1 through 10. Mask layers are drawn at all scales. Label layers draw at scales...

  18. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  19. Orientation masking and cross-orientation suppression (XOS): implications for estimates of filter bandwidth.

    Science.gov (United States)

    Meese, Tim S; Holmes, David J

    2010-10-01

    Most contemporary models of spatial vision include a cross-oriented route to suppression (masking from a broadly tuned inhibitory pool), which is most potent at low spatial and high temporal frequencies (T. S. Meese & D. J. Holmes, 2007). The influence of this pathway can elevate orientation-masking functions without exciting the target mechanism, and because early psychophysical estimates of filter bandwidth did not accommodate this, it is likely that they have been overestimated for this corner of stimulus space. Here we show that a transient 40% contrast mask causes substantial binocular threshold elevation for a transient vertical target, and this declines from a mask orientation of 0° to about 40° (indicating tuning), and then more gently to 90°, where it remains at a factor of ∼4. We also confirm that cross-orientation masking is diminished or abolished at high spatial frequencies and for sustained temporal modulation. We fitted a simple model of pedestal masking and cross-orientation suppression (XOS) to our data and those of G. C. Phillips and H. R. Wilson (1984) and found the dependency of orientation bandwidth on spatial frequency to be much less than previously supposed. An extension of our linear spatial pooling model of contrast gain control and dilution masking (T. S. Meese & R. J. Summers, 2007) is also shown to be consistent with our results using filter bandwidths of ±20°. Both models include tightly and broadly tuned components of divisive suppression. More generally, because XOS and/or dilution masking can affect the shape of orientation-masking curves, we caution that variations in bandwidth estimates might reflect variations in processes that have nothing to do with filter bandwidth.

  20. AutoMOPS--B2B and B2C in mask making: mask manufacturing performance and customer satisfaction improvement through better information flow management

    Science.gov (United States)

    de Ridder, Luc; Filies, Olaf; Rodriguez, Ben; Kuijken, Aart

    2001-04-01

    Through application of modern supply chain concepts in combination with state-of-the-art information technology, mask manufacturing performance and customer satisfaction can be improved radically. The AutoMOPS solution emphasizes on the elimination of the order verification through paperless, electronically linked information sharing/exchange between chip design, mask production and prototype production stages.