WorldWideScience

Sample records for rf plasma reactor

  1. Comparative Analysis of Carbon Plasma in Arc and RF Reactors

    International Nuclear Information System (INIS)

    Todorovic-Markovic, B.; Markovic, Z.; Mohai, I.; Szepvolgyi, J.

    2004-01-01

    Results on studies of molecular spectra emitted in the initial stages of fullerene formation during the processing of graphite powder in induction RF reactor and evaporation of graphite electrodes in arc reactor are presented in this paper. It was found that C2 radicals were dominant molecular species in both plasmas. C2 radicals have an important role in the process of fullerene synthesis. The rotational-vibrational temperatures of C2 and CN species were calculated by fitting the experimental spectra to the simulated ones. The results of optical emission study of C2 radicals generated in carbon arc plasma have shown that rotational temperature of C2 species depends on carbon concentration and current intensity significantly. The optical emission study of induction RF plasma and SEM analysis of graphite powder before and after plasma treatment have shown that evaporation of the processed graphite powder depends on feed rate and composition of gas phase significantly. Based on the obtained results, it was concluded that in the plasma region CN radicals could be formed by the reaction of C2 species with atomic nitrogen at smaller loads. At larger feed rate of graphite powder, CN species were produced by surface reaction of the hot carbon particles with nitrogen atoms. The presence of nitrogen in induction RF plasma reduces the fullerene yield significantly. The fullerene yield obtained in two different reactors was: 13% in arc reactor and 4.1% in induction RF reactor. However, the fullerene production rate was higher in induction RF reactor-6.4 g/h versus 1.7 g/h in arc reactor

  2. Voltage uniformity study in large-area reactors for RF plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sansonnens, L.; Pletzer, A.; Magni, D.; Howling, A.A.; Hollenstein, C. [Ecole Polytechnique Federale, Lausanne (Switzerland). Centre de Recherche en Physique des Plasma (CRPP); Schmitt, J.P.M. [Balzers Process Systems, Palaiseau (France)

    1996-09-01

    Non-uniform voltage distribution across the electrode area results in inhomogeneous thin-film RF plasma deposition in large area reactors. In this work, a two-dimensional analytic model for the calculation of the voltage distribution across the electrode area is presented. The results of this model are in good agreement with measurements performed without plasma at 13.56 MHz and 70 MHz in a large area reactor. The principal voltage inhomogeneities are caused by logarithmic singularities in the vicinity of RF connections and not by standing waves. These singularities are only described by a two-dimensional model and cannot be intuitively predicted by analogy to a one-dimensional case. Plasma light emission measurements and thickness homogeneity studies of a-Si:H films show that the plasma reproduces these voltage inhomogeneities. Improvement of the voltage uniformity is investigated by changing the number and position of the RF connections. (author) 13 figs., 20 refs.

  3. Pyrolysis treatment of waste tire powder in a capacitively coupled RF plasma reactor

    Energy Technology Data Exchange (ETDEWEB)

    Huang, H. [Department of Environmental Engineering, Guangdong University of Technology, Waihuanxi Road, Guangzhou 510006 (China); Tang, L. [Department of Civil Engineering, Guangzhou University, Waihuanxi Road, Guangzhou 510006 (China)

    2009-03-15

    A capacitively coupled radio-frequency (RF) plasma reactor was tested mainly for the purpose of solid waste treatment. It was found that using a RF input power between 1600 and 2000 W and a reactor pressure between 3000 and 8000 Pa (absolute pressure), a reactive plasma environment with a gas temperature between 1200 and 1800 K can be reached in this lab scale reactor. Under these conditions, pyrolysis of tire powder gave two product streams: a combustible gas and a pyrolytic char. The major components of the gas product are H{sub 2}, CO, CH{sub 4}, and CO{sub 2} The physical properties (surface area, porosity, and particle morphology) as well as chemical properties (elemental composition, heating value, and surface functional groups) of the pyrolytic char has also been examined. (author)

  4. Assessment of quasi-linear effect of RF power spectrum for enabling lower hybrid current drive in reactor plasmas

    Science.gov (United States)

    Cesario, Roberto; Cardinali, Alessandro; Castaldo, Carmine; Amicucci, Luca; Ceccuzzi, Silvio; Galli, Alessandro; Napoli, Francesco; Panaccione, Luigi; Santini, Franco; Schettini, Giuseppe; Tuccillo, Angelo Antonio

    2017-10-01

    The main research on the energy from thermonuclear fusion uses deuterium plasmas magnetically trapped in toroidal devices. To suppress the turbulent eddies that impair thermal insulation and pressure tight of the plasma, current drive (CD) is necessary, but tools envisaged so far are unable accomplishing this task while efficiently and flexibly matching the natural current profiles self-generated at large radii of the plasma column [1-5]. The lower hybrid current drive (LHCD) [6] can satisfy this important need of a reactor [1], but the LHCD system has been unexpectedly mothballed on JET. The problematic extrapolation of the LHCD tool at reactor graded high values of, respectively, density and temperatures of plasma has been now solved. The high density problem is solved by the FTU (Frascati Tokamak Upgrade) method [7], and solution of the high temperature one is presented here. Model results based on quasi-linear (QL) theory evidence the capability, w.r.t linear theory, of suitable operating parameters of reducing the wave damping in hot reactor plasmas. Namely, using higher RF power densities [8], or a narrower antenna power spectrum in refractive index [9,10], the obstacle for LHCD represented by too high temperature of reactor plasmas should be overcome. The former method cannot be used for routinely, safe antenna operations, Thus, only the latter key is really exploitable in a reactor. The proposed solutions are ultimately necessary for viability of an economic reactor.

  5. Particle formation and its control in dual frequency plasma etching reactors

    International Nuclear Information System (INIS)

    Kim, Munsu; Cheong, Hee-Woon; Whang, Ki-Woong

    2015-01-01

    The behavior of a particle cloud in plasma etching reactors at the moment when radio frequency (RF) power changes, that is, turning off and transition steps, was observed using the laser-light-scattering method. Two types of reactors, dual-frequency capacitively coupled plasma (CCP) and the hybrid CCP/inductively coupled plasma (ICP), were set up for experiments. In the hybrid CCP/ICP reactor (hereafter ICP reactor), the position and shape of the cloud were strongly dependent on the RF frequency. The particle cloud becomes larger and approaches the electrode as the RF frequency increases. By turning the lower frequency power off later with a small delay time, the particle cloud is made to move away from the electrode. Maintaining lower frequency RF power only was also helpful to reduce the particle cloud size during this transition step. In the ICP reactor, a sufficient bias power is necessary to make a particle trap appear. A similar particle cloud to that in the CCP reactor was observed around the sheath region of the lower electrode. The authors can also use the low-frequency effect to move the particle cloud away from the substrate holder if two or more bias powers are applied to the substrate holder. The dependence of the particle behavior on the RF frequencies suggests that choosing the proper frequency at the right moment during RF power changes can reduce particle contamination effectively

  6. Investigation of surface boundary conditions for continuum modeling of RF plasmas

    Science.gov (United States)

    Wilson, A.; Shotorban, B.

    2018-05-01

    This work was motivated by a lacking general consensus in the exact form of the boundary conditions (BCs) required on the solid surfaces for the continuum modeling of Radiofrequency (RF) plasmas. Various kinds of number and energy density BCs on solid surfaces were surveyed, and how they interacted with the electric potential BC to affect the plasma was examined in two fundamental RF plasma reactor configurations. A second-order local mean energy approximation with equations governing the electron and ion number densities and the electron energy density was used to model the plasmas. Zero densities and various combinations of drift, diffusion, and thermal fluxes were considered to set up BCs. It was shown that the choice of BC can have a significant impact on the sheath and bulk plasma. The thermal and diffusion fluxes to the surface were found to be important. A pure drift BC for dielectric walls failed to produce a sheath.

  7. Direct visual observation of powder dynamics in RF plasma-assisted deposition

    International Nuclear Information System (INIS)

    Howling, A.A.; Hollenstein, C.; Paris, P.J.

    1991-04-01

    Contamination due to particles generated and suspended in silane rf plasmas is investigated. Powder is rendered visible by illumination of the reactor volume. This simple diagnostic for global, spatio-temporal powder dynamics is used to study particle formation, trapping and powder reduction by power modulation. (author) 4 figs., 11 refs

  8. Design constraints for rf-driven steady-state tokamak reactors

    International Nuclear Information System (INIS)

    Ehst, D.A.

    1979-02-01

    Plasma current density profiles are computed due to electron Landau damping of lower hybrid waves launched into model tokamak density and temperature profiles. The total current and current profile shape are chosen consistent with magnetohydrodynamic equilibrium for a variety of temperature and density distributions and plasma beta values. Surface current equilibria appear attractive and are accessible to waves with n/sub z/ as low as 1.2. By suitably choosing the spectrum location and width it is possible to drive the 9.8 MA current of a 7.0-m reactor with as little as 2.8% of the fusion power recirculated as rf input from the waveguides

  9. “Virtual IED sensor” at an rf-biased electrode in low-pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Bogdanova, M. A.; Zyryanov, S. M. [Skobeltsyn Institute of Nuclear Physics, Moscow State University, SINP MSU, Moscow (Russian Federation); Faculty of Physics, Moscow State University, MSU, Moscow (Russian Federation); Lopaev, D. V.; Rakhimov, A. T. [Skobeltsyn Institute of Nuclear Physics, Moscow State University, SINP MSU, Moscow (Russian Federation)

    2016-07-15

    Energy distribution and the flux of the ions coming on a surface are considered as the key-parameters in anisotropic plasma etching. Since direct ion energy distribution (IED) measurements at the treated surface during plasma processing are often hardly possible, there is an opportunity for virtual ones. This work is devoted to the possibility of such indirect IED and ion flux measurements at an rf-biased electrode in low-pressure rf plasma by using a “virtual IED sensor” which represents “in-situ” IED calculations on the absolute scale in accordance with a plasma sheath model containing a set of measurable external parameters. The “virtual IED sensor” should also involve some external calibration procedure. Applicability and accuracy of the “virtual IED sensor” are validated for a dual-frequency reactive ion etching (RIE) inductively coupled plasma (ICP) reactor with a capacitively coupled rf-biased electrode. The validation is carried out for heavy (Ar) and light (H{sub 2}) gases under different discharge conditions (different ICP powers, rf-bias frequencies, and voltages). An EQP mass-spectrometer and an rf-compensated Langmuir probe (LP) are used to characterize plasma, while an rf-compensated retarded field energy analyzer (RFEA) is applied to measure IED and ion flux at the rf-biased electrode. Besides, the pulsed selfbias method is used as an external calibration procedure for ion flux estimating at the rf-biased electrode. It is shown that pulsed selfbias method allows calibrating the IED absolute scale quite accurately. It is also shown that the “virtual IED sensor” based on the simplest collisionless sheath model allows reproducing well enough the experimental IEDs at the pressures when the sheath thickness s is less than the ion mean free path λ{sub i} (s < λ{sub i}). At higher pressure (when s > λ{sub i}), the difference between calculated and experimental IEDs due to ion collisions in the sheath is observed in the low

  10. System and method for generating steady state confining current for a toroidal plasma fusion reactor

    International Nuclear Information System (INIS)

    Bers, A.

    1981-01-01

    A system for generating steady state confining current for a toroidal plasma fusion reactor providing steady-state generation of the thermonuclear power. A dense, hot toroidal plasma is initially prepared with a confining magnetic field with toroidal and poloidal components. Continuous wave rf energy is injected into said plasma to estalish a spectrum of traveling waves in the plasma, where the traveling waves have momentum components substantially either all parallel, or all anti-parallel to the confining magnetic field. The injected rf energy is phased to couple to said traveling waves with both a phase velocity component and a wave momentum component in the direction of the plasma traveling wave components. The injected rf energy has a predetermined spectrum selected so that said traveling waves couple to plasma electrons having velocities in a predetermined range delta . The velocities in the range are substantially greater than the thermal electron velocity of the plasma. In addition, the range is sufficiently broad to produce a raised plateau having width delta in the plasma electron velocity distribution so that the plateau electrons provide steady-state current to generate a poloidal magnetic field component sufficient for confining the plasma. In steady state operation of the fusion reactor, the fusion power density in the plasma exceeds the power dissipated inthe plasma

  11. Vortex formation during rf heating of plasma

    International Nuclear Information System (INIS)

    Motley, R.W.

    1980-05-01

    Experiments on a test plasma show that the linear theory of waveguide coupling to slow plasma waves begins to break down if the rf power flux exceeds approx. 30 W/cm 2 . Probe measurements reveal that within 30 μs an undulation appears in the surface plasma near the mouth of the twin waveguide. This surface readjustment is part of a vortex, or off-center convective cell, driven by asymmetric rf heating of the plasma column

  12. RF torch discharge combined with conventional burner

    International Nuclear Information System (INIS)

    Janca, J.; Tesar, C.

    1996-01-01

    The design of the combined flame-rf-plasma reactor and experimental examination of this reactor are presented. For the determination of the temperature in different parts of the combined burner plasma the methods of emission spectroscopy were used. The temperatures measured in the conventional burner reach the maximum temperature 1900 K but in the burner with the superimposed rf discharge the neutral gas temperature substantially increased up to 2600 K but also the plasma volume increases substantially. Consequently, the resident time of reactants in the reaction zone increases

  13. Characteristic performance of radio-frequency(RF) plasma heating using inverter RF power supplies

    International Nuclear Information System (INIS)

    Imai, Takahiro; Uesugi, Yoshihiko; Takamura, Shuichi; Sawada, Hiroyuki; Hattori, Norifumi

    2000-01-01

    High heat flux plasma are produced by high powe (∼14 kW) ICRF heating using inverter power supplies in the linear divertor simulator NAGDIS-II. The power flow of radiated rf power is investigated by a calorimetric method. Conventional power calculation using antenna voltage and current gives that about 70% of the rf power is radiated into the plasma. But increase of the heat load at the target and anode is about 10% of the rf power. Through this experiment, we find that about half of the rf power is lost at the antenna surface through the formation of rf induced sheath. And about 30% of the power is lost into the vacuum vessel through the charge exchange and elastic collision of ions with neutrals. (author)

  14. Plasma Diagnostics in High Density Reactors

    International Nuclear Information System (INIS)

    Daltrini, A. M.; Moshkalyov, S.; Monteiro, M. J. R.; Machida, M.; Kostryukov, A.; Besseler, E.; Biasotto, C.; Diniz, J. A.

    2006-01-01

    Langmuir electric probes and optical emission spectroscopy diagnostics were developed for applications in high density plasmas. These diagnostics were employed in two plasma sources: an electron cyclotron resonance (ECR) plasma and an RF driven inductively coupled plasma (ICP) plasma. Langmuir probes were tested using a number of probing dimensions, probe tip materials, circuits for probe bias and filters. Then, the results were compared with the optical spectroscopy measurements. With these diagnostics, analyses of various plasma processes were performed in both reactors. For example, it has been shown that species like NH radicals generated in gas phase can have critical impact on films deposited by ECR plasmas. In the ICP source, plasmas in atomic and molecular gases were shown to have different spatial distributions, likely due to nonlocal electron heating. The low-to-high density transitions in the ICP plasma were also studied. The role of metastables is shown to be significant in Ar plasmas, in contrast to plasmas with additions of molecular gases

  15. RF and microwave diagnostics of plasma

    International Nuclear Information System (INIS)

    Basu, J.

    1976-01-01

    A brief review of RF and microwave investigations carried out at laboratory plasma is presented. Both the immersive and non-immersive RF probes of various types are discussed, the major emphasis being laid on the work carried out in extending the scope of the immersive impedance probe and non-immersive coil probe. The standard microwave methods for plasma diagnosis are mentioned. The role of relatively new diagnostic tool, viz., a dielectric-rod waveguide, is described, and the technique of measuring the admittance of such a waveguide (or an antenna) enveloped in plasma is discussed. (K.B.)

  16. System and method for generating steady state confining current for a toroidal plasma fusion reactor

    International Nuclear Information System (INIS)

    Fisch, N.J.

    1981-01-01

    A system for generating steady state confining current for a toroidal plasma fusion reactor providing steady-state generation of the thermonuclear power. A dense, hot toroidal plasma is initially prepared with a confining magnetic field with toroidal and poloidal components. Continuous wave rf energy is injected into said plasma to establish a spectrum of traveling waves in the plasma, where the traveling waves have momentum components substantially either all parallel, or all anti-parallel to the confining magnetic field. The injected rf energy is phased to couple to said traveling waves with both a phase velocity component and a wave momentum component in the direction of the plasma traveling wave components. The injected rf energy has a predetermined spectrum selected so that said traveling waves couple to plasma electrons having velocities in a predetermined range delta . The velocities in the range are substantially greater than the thermal electron velocity of the plasma. In addition, the range is sufficiently broad to produce a raised plateau having width delta in the plasma electron velocity distribution so that the plateau electrons provide steady-state current to generate a poloidal magnetic field component sufficient for confining the plasma. In steady state operation of the fusion reactor, the fusion power density in the plasma exceeds the power dissipated in the plasma

  17. RF Electron Gun with Driven Plasma Cathode

    CERN Document Server

    Khodak, Igor

    2005-01-01

    It's known that RF guns with plasma cathodes based on solid-state dielectrics are able to generate an intense electron beam. In this paper we describe results of experimental investigation of the single cavity S-band RF gun with driven plasma cathode. The experimental sample of the cathode based on ferroelectric ceramics has been designed. Special design of the cathode permits to separate spatially processes of plasma development and electron acceleration. It has been obtained at RF gun output electron beam with particle energy ~500 keV, pulse current of 4 A and pulse duration of 80 ns. Results of experimental study of beam parameters are referred in. The gun is purposed to be applied as the intense electron beam source for electron linacs.

  18. Hydrogen and helium trapping in tungsten deposition layers formed by RF plasma sputtering

    International Nuclear Information System (INIS)

    Kazunari Katayama; Kazumi Imaoka; Takayuki Okamura; Masabumi Nishikawa

    2006-01-01

    Understanding of tritium behavior in plasma facing materials is an important issue for fusion reactor from viewpoints of fuel control and radiation safety. Tungsten is used as a plasma facing material in the divertor region of ITER. However, investigation of hydrogen isotope behavior in tungsten deposition layer is not sufficient so far. It is also necessary to evaluate an effect of helium on a formation of deposition layer and an accumulation of hydrogen isotopes because helium generated by fusion reaction exists in fusion plasma. In this study, tungsten deposition layers were formed by sputtering method using hydrogen and helium RF plasma. An erosion rate and a deposition rate of tungsten were estimated by weight measurement. Hydrogen and helium retention were investigated by thermal desorption method. Tungsten deposition was performed using a capacitively-coupled RF plasma device equipped with parallel-plate electrodes. A tungsten target was mounted on one electrode which is supplied with RF power at 200 W. Tungsten substrates were mounted on the other electrode which is at ground potential. The plasma discharge was continued for 120 hours where pressure of hydrogen or helium was controlled to be 10 Pa. The amounts of hydrogen and helium released from deposition layers was quantified by a gas chromatograph. The erosion rate of target tungsten under helium plasma was estimated to be 1.8 times larger than that under hydrogen plasma. The deposition rate on tungsten substrate under helium plasma was estimated to be 4.1 times larger than that under hydrogen plasma. Atomic ratio of hydrogen to tungsten in a deposition layer formed by hydrogen plasma was estimated to be 0.17 by heating to 600 o C. From a deposition layer formed by helium plasma, not only helium but also hydrogen was released by heating to 500 o C. Atomic ratios of helium and hydrogen to tungsten were estimated to be 0.080 and 0.075, respectively. The trapped hydrogen is probably impurity hydrogen

  19. Theoretical and experimental studies of a planar inductive coupled rf plasma source as the driver in simulator facility (ISTAPHM) of interactions of waves with the edge plasma on tokamaks

    Science.gov (United States)

    Ghanei, V.; Nasrabadi, M. N.; Chin, O.-H.; Jayapalan, K. K.

    2017-11-01

    This research aims to design and build a planar inductive coupled RF plasma source device which is the driver of the simulator project (ISTAPHM) of the interactions between ICRF Antenna and Plasma on tokamak by using the AMPICP model. For this purpose, a theoretical derivation of the distribution of the RF magnetic field in the plasma-filled reactor chamber is presented. An experimental investigation of the field distributions is described and Langmuir measurements are developed numerically. A comparison of theory and experiment provides an evaluation of plasma parameters in the planar ICP reactor. The objective of this study is to characterize the plasma produced by the source alone. We present the results of the first analysis of the plasma characteristics (plasma density, electron temperature, electron-ion collision frequency, particle fluxes and their velocities, stochastic frequency, skin depth and electron energy distribution functions) as function of the operating parameters (injected power, neutral pressure and magnetic field) as measured with fixed and movable Langmuir probes. The plasma is currently produced only by the planar ICP. The exact goal of these experiments is that the produced plasma by external source can exist as a plasma representative of the edge of tokamaks.

  20. Analytic analysis on asymmetrical micro arcing in high plasma potential RF plasma systems

    International Nuclear Information System (INIS)

    Yin, Y; McKenzie, D R; Bilek, M M M

    2006-01-01

    We report experimental and analytical results on asymmetrical micro arcing in a RF (radio frequency) plasma. Micro arcing, resulting from high plasma potential, in RF plasma was found to occur only on the grounded electrode for a variety of electrode and surface configurations. The analytic derivation was based on a simple RF time-dependent Child-Langmuir sheath model and electric current continuity. We found that the minimum potential difference in one RF period across the grounded electrode sheath depends on the area ratio of the grounded electrode to the powered electrode. As the area ratio increases, the minimum potential difference across a sheath increases for the grounded electrode but not for the RF powered electrode. We showed that discharge time in micro arcing is more than 100 RF periods; thus the presence of a continuous high electric field in one RF cycle results in micro arcing on the grounded electrode. However, the minimum potential difference in one RF period across the powered electrode sheath is always small so that it prevents micro arcing occurring even though the average sheath voltage can be large. This simple analytic model is consistent with particle-in-cell simulation results

  1. Direct synthesis of nano-sized glass powders with spherical shape by RF (radio frequency) thermal plasma

    International Nuclear Information System (INIS)

    Seo, J.H.; Kim, J.S.; Lee, M.Y.; Ju, W.T.; Nam, I.T.

    2011-01-01

    A new route for obtaining very small, spheroid glass powders is demonstrated using an RF (radio frequency) thermal plasma system. During the process, four kinds of chemicals, here SiO 2 , B 2 O 3 , BaCO 3 , and K 2 CO 3 , were mixed at pre-set weight ratios, spray-dried, calcined at 250 deg. C for 3 h, and crushed into fragments. Then, they were successfully reformed into nano-sized amorphous powders (< 200 nm) with spherical shape by injecting them along the centerline of an RF thermal plasma reactor at ∼ 24 kW. The as-synthesized powders show negligible (< 1%) composition changes when compared with the injected precursors of raw material compounds.

  2. Lage-area planar RF plasma productions by surface waves

    International Nuclear Information System (INIS)

    Nonaka, S.

    1994-01-01

    Large-area rf plasmas are confirmed to be produced by means of RF discharges inside a large-area dielectric tube. The plasma space is 73 cm x 176 cm and 2.5 cm. The plasma is thought to be produced by an odd plasma-surface wave (PSW ο ) in case of using large-area electrodes and by an even plasma-surface wave (PSW ο ) in case of without the electrodes. (author). 7 refs, 4 figs

  3. RF-Plasma Source Commissioning in Indian Negative Ion Facility

    International Nuclear Information System (INIS)

    Singh, M. J.; Bandyopadhyay, M.; Yadava, Ratnakar; Chakraborty, A. K.; Bansal, G.; Gahlaut, A.; Soni, J.; Kumar, Sunil; Pandya, K.; Parmar, K. G.; Sonara, J.; Kraus, W.; Heinemann, B.; Riedl, R.; Obermayer, S.; Martens, C.; Franzen, P.; Fantz, U.

    2011-01-01

    The Indian program of the RF based negative ion source has started off with the commissioning of ROBIN, the inductively coupled RF based negative ion source facility under establishment at Institute for Plasma research (IPR), India. The facility is being developed under a technology transfer agreement with IPP Garching. It consists of a single RF driver based beam source (BATMAN replica) coupled to a 100 kW, 1 MHz RF generator with a self excited oscillator, through a matching network, for plasma production and ion extraction and acceleration. The delivery of the RF generator and the RF plasma source without the accelerator, has enabled initiation of plasma production experiments. The recent experimental campaign has established the matching circuit parameters that result in plasma production with density in the range of 0.5-1x10 18 /m 3 , at operational gas pressures ranging between 0.4-1 Pa. Various configurations of the matching network have been experimented upon to obtain a stable operation of the set up for RF powers ranging between 25-85 kW and pulse lengths ranging between 4-20 s. It has been observed that the range of the parameters of the matching circuit, over which the frequency of the power supply is stable, is narrow and further experiments with increased number of turns in the coil are in the pipeline to see if the range can be widened. In this paper, the description of the experimental system and the commissioning data related to the optimisation of the various parameters of the matching network, to obtain stable plasma of required density, are presented and discussed.

  4. RF-Plasma Source Commissioning in Indian Negative Ion Facility

    Science.gov (United States)

    Singh, M. J.; Bandyopadhyay, M.; Bansal, G.; Gahlaut, A.; Soni, J.; Kumar, Sunil; Pandya, K.; Parmar, K. G.; Sonara, J.; Yadava, Ratnakar; Chakraborty, A. K.; Kraus, W.; Heinemann, B.; Riedl, R.; Obermayer, S.; Martens, C.; Franzen, P.; Fantz, U.

    2011-09-01

    The Indian program of the RF based negative ion source has started off with the commissioning of ROBIN, the inductively coupled RF based negative ion source facility under establishment at Institute for Plasma research (IPR), India. The facility is being developed under a technology transfer agreement with IPP Garching. It consists of a single RF driver based beam source (BATMAN replica) coupled to a 100 kW, 1 MHz RF generator with a self excited oscillator, through a matching network, for plasma production and ion extraction and acceleration. The delivery of the RF generator and the RF plasma source without the accelerator, has enabled initiation of plasma production experiments. The recent experimental campaign has established the matching circuit parameters that result in plasma production with density in the range of 0.5-1×1018/m3, at operational gas pressures ranging between 0.4-1 Pa. Various configurations of the matching network have been experimented upon to obtain a stable operation of the set up for RF powers ranging between 25-85 kW and pulse lengths ranging between 4-20 s. It has been observed that the range of the parameters of the matching circuit, over which the frequency of the power supply is stable, is narrow and further experiments with increased number of turns in the coil are in the pipeline to see if the range can be widened. In this paper, the description of the experimental system and the commissioning data related to the optimisation of the various parameters of the matching network, to obtain stable plasma of required density, are presented and discussed.

  5. RF generator interlock by plasma grid bias current - An alternate to Hα interlock

    Science.gov (United States)

    Bandyopadhyay, M.; Gahlaut, A.; Yadav, R. K.; Pandya, K.; Tyagi, H.; Vupugalla, M.; Bhuyan, M.; Bhagora, J.; Chakraborty, A.

    2017-08-01

    ROBIN is inductively coupled plasma (ICP) based negative hydrogen ion source, operated with a 100kW, 1MHz Tetrode based RF generator (RFG). Inductive plasma ignition by the RFG in ROBIN is associated with electron seeding by a hot filament and a gas puff. RFG is triggered by the control system to deliver power just at the peak pressure of the gas puff. Once plasma is ignited due to proper impedance matching, a bright light, dominated by Hα (˜656nm wavelength) radiation is available inside RF driver which is used as a feedback signal to the RFG to continue its operation. If impedance matching is not correct, plasma is not produced due to lack of power coupling and bright light is not available. During such condition, reflected RF power may damage the RFG. Therefore, to protect the RFG, it needs to be switched off automatically within 200ms by the control system in such cases. This plasma light based RFG interlock is adopted from BATMAN ion source. However, in case of vacuum immersed RF ion source in reactor grade NBI system, such plasma light based interlock may not be feasible due to lack of adequate optical fiber interfaces. In reactor grade NBI system, neutron and gamma radiations have impact on materials which may lead to frequent maintenance and machine down time. The present demonstration of RFG interlock by Bias Current (BC) in ROBIN testbed gives an alternate option in this regard. In ROBIN, a bias plate (BP) is placed in the plasma chamber near the plasma grid (PG). BP is electrically connected to the plasma chamber wall of the ion source and PG is isolated from the wall. A high current ˜85 A direct current (DC) power supply of voltage in the range of 0 - 33V is connected between the PG and the BP in such a way that PG can be biased positively with respect to the BP or plasma chamber. This arrangement is actually made to absorb electrons and correspondingly reduce co-extracted electron current during beam extraction. However, in case of normal plasma

  6. Investigation of RF-enhanced plasma potentials on Alcator C-Mod

    International Nuclear Information System (INIS)

    Ochoukov, R.; Whyte, D.G.; Brunner, D.; Cziegler, I.; LaBombard, B.; Lipschultz, B.; Myra, J.; Terry, J.; Wukitch, S.

    2013-01-01

    Radio frequency (RF) sheath rectification is a leading mechanism suspected of causing anomalously high erosion of plasma facing materials in RF-heated plasmas on Alcator C-Mod. An extensive experimental survey of the plasma potential (Φ P ) in RF-heated discharges on C-Mod reveals that significant Φ P enhancement (>100 V) is found on outboard limiter surfaces, both mapped and not mapped to active RF antennas. Surfaces that magnetically map to active RF antennas show Φ P enhancement that is, in part, consistent with the recently proposed slow wave rectification mechanism. Surfaces that do not map to active RF antennas also experience significant Φ P enhancement, which strongly correlates with the local fast wave intensity. In this case, fast wave rectification is a leading candidate mechanism responsible for the observed enhancement

  7. Investigation of RF-enhanced plasma potentials on Alcator C-Mod

    Energy Technology Data Exchange (ETDEWEB)

    Ochoukov, R., E-mail: ochoukov@psfc.mit.edu [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); Whyte, D.G.; Brunner, D. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); Cziegler, I. [Center for Energy Research, UCSD, 9500 Gilman Drive, La Jolla, CA 92093 (United States); LaBombard, B.; Lipschultz, B. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); Myra, J. [Lodestar Research Corporation, 2400 Central Avenue P-5, Boulder, CO 80301 (United States); Terry, J.; Wukitch, S. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States)

    2013-07-15

    Radio frequency (RF) sheath rectification is a leading mechanism suspected of causing anomalously high erosion of plasma facing materials in RF-heated plasmas on Alcator C-Mod. An extensive experimental survey of the plasma potential (Φ{sub P}) in RF-heated discharges on C-Mod reveals that significant Φ{sub P} enhancement (>100 V) is found on outboard limiter surfaces, both mapped and not mapped to active RF antennas. Surfaces that magnetically map to active RF antennas show Φ{sub P} enhancement that is, in part, consistent with the recently proposed slow wave rectification mechanism. Surfaces that do not map to active RF antennas also experience significant Φ{sub P} enhancement, which strongly correlates with the local fast wave intensity. In this case, fast wave rectification is a leading candidate mechanism responsible for the observed enhancement.

  8. Plasma edge cooling during RF heating

    International Nuclear Information System (INIS)

    Suckewer, S.; Hawryluk, R.J.

    1978-01-01

    A new approach to prevent the influx of high-Z impurities into the core of a tokamak discharge by using RF power to modify the edge plasma temperature profile is presented. This concept is based on spectroscopic measurements on PLT during ohmic heating and ATC during RF heating. A one dimensional impurity transport model is used to interpret the ATC results

  9. Titanium oxidation by rf inductively coupled plasma

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Barocio, S R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2014-01-01

    The development of titanium dioxide (TiO 2 ) films in the rutile and anatase phases is reported. The films have been obtained from an implantation/diffusion and sputtering process of commercially pure titanium targets, carried out in up to 500 W plasmas. The experimental outcome is of particular interest, in the case of anatase, for atmospheric pollution degradation by photocatalysis and, as to the rutile phase, for the production of biomaterials required by prosthesis and implants. The reactor employed consists in a cylindrical pyrex-like glass vessel inductively coupled to a 13.56 MHz RF source. The process takes place at a 5×10 −2 mbar pressure with the target samples being biased from 0 to -3000 V DC. The anatase phase films were obtained from sputtering the titanium targets over glass and silicon electrically floated substrates placed 2 cm away from the target. The rutile phase was obtained by implantation/diffusion on targets at about 700 °C. The plasma was developed from a 4:1 argon/oxygen mixture for ∼5 hour processing periods. The target temperature was controlled by means of the bias voltage and the plasma source power. The obtained anatase phases did not require annealing after the plasma oxidation process. The characterization of the film samples was conducted by means of x-ray diffraction, scanning electron microscopy, x-ray photoelectron spectroscopy and Raman spectroscopy

  10. RF compensation of single Langmuir probe in low density helicon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Ghosh, Soumen, E-mail: soumen@ipr.res.in; Chattopadhyay, Prabal K.; Ghosh, Joydeep; Bora, Dhiraj

    2016-11-15

    Highlights: • Appropriate density and temperature measurement with Langmuir probe in RF Eenvironment. • Necessity of large auxiliary electrode for RF compensation at low densities (∼10{sup 16} m{sup −3}). • Measured two temperature electrons in low pressure helicon antenna produced RF plasma. • Tail electrons are localized only at off-axis in our cylindrical plasma system. - Abstract: Interpretations of Single Langmuir probe measurements in electrode-less radio frequency (RF) plasmas are noteworthy tricky and require adequate compensation of RF. Conventional RF compensation technique is limited only at high density (>10{sup 17} m{sup −3}) RF plasmas. RF compensation of single Langmuir probe at low density RF plasmas (∼10{sup 16} m{sup −3}) is presented in this paper. In RF driven plasmas, where the RF voltage is high (∼50 V) and density is in the range (∼10{sup 16} m{sup −3}), the primary RF compensation condition (Z{sub ck} > >Z{sub sh}) is very difficult to fulfill, because of high sheath impedance (Z{sub sh}) at 13.56 MHz and the construction limitation of a self-resonant tiny chock (Z{sub ck}) with very high impedance. Introducing a large auxiliary electrode (A{sub x}), (A{sub x} >>> A{sub p}), close to the small Langmuir probe (A{sub p}) tip, connected in parallel with probe via a coupling capacitor (C{sub cp}), significantly reduces the effective sheath impedance (Z{sub sh}) and allows probe bias to follow the RF oscillation. Dimensional requirements of the auxiliary electrode and the role of suitable coupling capacitor are discussed in this paper. Observations show proper compensation leads to estimation of more positive floating potentials and lower electron temperatures compared to uncompensated probe. The electron energy probability function (EEPF) is also obtained by double differentiating the collected current with respect to the applied bias voltage using an active analog circuit.

  11. Pulsed lower-hybrid wave penetration in reactor plasmas

    International Nuclear Information System (INIS)

    Cohen, R.H.; Bonoli, P.T.; Porkolab, M.; Rognlien, T.D.

    1989-01-01

    Providing lower-hybrid power in short, intense (GW) pulses allows enhanced wave penetration in reactor-grade plasmas. We examine nonlinear absorption, ray propagation, and parametric instability of the intense pulses. We find that simultaneously achieving good penetration while avoiding parametric instabilities is possible, but imposes restrictions on the peak power density, pulse duration, and/or r.f. spot shape. In particular, power launched in narrow strips, elongated along the field direction, is desired

  12. Conductivity of rf-heated plasma

    International Nuclear Information System (INIS)

    Fisch, N.J.

    1984-05-01

    The electron velocity distribution of rf-heated plasma may be so far from Maxwellian that Spitzer conductivity no longer holds. A new conductivity for such plasmas is derived and the result can be put in a remarkably general form. The new expression should be of great practical value in examining schemes for current ramp-up in tokamaks by means of lower-hybrid or other waves

  13. RF atmospheric plasma jet surface treatment of paper

    Science.gov (United States)

    Pawlat, Joanna; Terebun, Piotr; Kwiatkowski, Michał; Diatczyk, Jaroslaw

    2016-09-01

    A radio frequency RF atmospheric pressure plasma jet was used to enhance the wettability of cellulose-based paper of 90 g m-2 and 160 g m-2 grammage as a perspective platform for antibiotic sensitivity tests. Helium and argon were the carrier gases for oxygen and nitrogen; pure water and rapeseed oil were used for goniometric tests. The influence of the flow rate and gas type, the power of the discharge, and distance from the nozzle was examined. The surface structure was observed using an optical microscope. Attenuated total reflection Fourier transform infrared (ATR-FTIR) spectra were investigated in order to determine whether cellulose degradation processes occurred. The RF plasma jet allowed us to decrease the surface contact angle without drastic changes in other features of the tested material. Experiments confirmed the significant influence of the distance between the treated sample and reactor nozzle, especially for treatment times longer than 15 s due to the greater concentration of reactive species at the surface of the sample, which decreases with distance—and their accumulation effect with time. The increase of discharge power plays an important role in decreasing the surface contact angle for times longer than 10 s. Higher power had a positive effect on the amount of generated active particles and facilitated the ignition of discharge. However, a too high value can cause a rise in temperature of the material and heat-caused damage.

  14. Diagnostic study of multiple double layer formation in expanding RF plasma

    Science.gov (United States)

    Chakraborty, Shamik; Paul, Manash Kumar; Roy, Jitendra Nath; Nath, Aparna

    2018-03-01

    Intensely luminous double layers develop and then expand in size in a visibly glowing RF discharge produced using a plasma source consisting of a semi-transparent cylindrical mesh with a central electrode, in a linear plasma chamber. Although RF discharge is known to be independent of device geometry in the absence of magnetic field, the initiation of RF discharge using such a plasma source results in electron drift and further expansion of the plasma in the vessel. The dynamics of complex plasma structures are studied through electric probe diagnostics in the expanding RF plasma. The measurements made to study the parametric dependence of evolution of double layer structures are analyzed and presented here. The plasma parameter measurements suggest that the complex potential structures initially form with low potential difference between the layers and then gradually expand producing burst oscillations. The present study provides interesting information about the stability of plasma sheath and charge particle dynamics in it that are important to understand the underlying basic sheath physics along with applications in plasma acceleration and propulsion.

  15. Effects of an RF limiter on TEXTOR's edge plasmas

    International Nuclear Information System (INIS)

    Boedo, J.A.; Sakawa, Y.; Gray, D.S.; Mank, G.; Noda, N.

    1997-01-01

    Studies directed towards the reduction of particle and heat fluxes to plasma facing components by the application of ponderomotive forces generated by radio frequency (RF) are being conducted in TEXTOR. A modified poloidal limiter is used as an antenna with up to 3 kW of RF power; the data obtained show that the plasma is repelled by the RF ponderomotive potential. The density is reduced by a factor of 2-4 and the radial decay length is substantially altered. The density near the limiter decays exponentially with RF power. The electron temperature profile changes, with the decay length becoming longer (almost flat) during the RF. The temperature in the scrape off layer (SOL) increases and its increase is roughly proportional to the RF power until it saturates, suggesting that the heating efficiency drops with power, and that improved performance is to be expected at higher powers. (orig.)

  16. Predictions of ion energy distributions and radical fluxes in radio frequency biased inductively coupled plasma etching reactors

    Science.gov (United States)

    Hoekstra, Robert J.; Kushner, Mark J.

    1996-03-01

    Inductively coupled plasma (ICP) reactors are being developed for low gas pressure (radio frequency (rf) bias is applied to the substrate. One of the goals of these systems is to independently control the magnitude of the ion flux by the inductively coupled power deposition, and the acceleration of ions into the substrate by the rf bias. In high plasma density reactors the width of the sheath above the wafer may be sufficiently thin that ions are able to traverse it in approximately 1 rf cycle, even at 13.56 MHz. As a consequence, the ion energy distribution (IED) may have a shape typically associated with lower frequency operation in conventional reactive ion etching tools. In this paper, we present results from a computer model for the IED incident on the wafer in ICP etching reactors. We find that in the parameter space of interest, the shape of the IED depends both on the amplitude of the rf bias and on the ICP power. The former quantity determines the average energy of the IED. The latter quantity controls the width of the sheath, the transit time of ions across the sheath and hence the width of the IED. In general, high ICP powers (thinner sheaths) produce wider IEDs.

  17. Plasma-arc reactor for production possibility of powdered nano-size materials

    International Nuclear Information System (INIS)

    Hadzhiyski, V; Mihovsky, M; Gavrilova, R

    2011-01-01

    Nano-size materials of various chemical compositions find increasing application in life nowadays due to some of their unique properties. Plasma technologies are widely used in the production of a range of powdered nano-size materials (metals, alloys, oxides, nitrides, carbides, borides, carbonitrides, etc.), that have relatively high melting temperatures. Until recently, the so-called RF-plasma generated in induction plasma torches was most frequently applied. The subject of this paper is the developments of a new type of plasma-arc reactor, operated with transferred arc system for production of disperse nano-size materials. The new characteristics of the PLASMALAB reactor are the method of feeding the charge, plasma arc control and anode design. The disperse charge is fed by a charge feeding system operating on gravity principle through a hollow cathode of an arc plasma torch situated along the axis of a water-cooled wall vertical tubular reactor. The powdered material is brought into the zone of a plasma space generated by the DC rotating transferred plasma arc. The arc is subjected to Auto-Electro-Magnetic Rotation (AEMR) by an inductor serially connected to the anode circuit. The anode is in the form of a water-cooled copper ring. It is mounted concentrically within the cylindrical reactor, with its lower part electrically insulated from it. The electric parameters of the arc in the reactor and the quantity of processed charge are maintained at a level permitting generation of a volumetric plasma discharge. This mode enables one to attain high mean mass temperature while the processed disperse material flows along the reactor axis through the plasma zone where the main physico-chemical processes take place. The product obtained leaves the reactor through the annular anode, from where it enters a cooling chamber for fixing the produced nano-structure. Experiments for AlN synthesis from aluminium power and nitrogen were carried out using the plasma reactor

  18. Revisiting the Anomalous rf Field Penetration into a Warm Plasma

    International Nuclear Information System (INIS)

    Kaganovich, Igor D.; Polomarov, Oleg V.; Theodosiou, Constantine E.

    2005-01-01

    Radio-frequency [rf] waves do not penetrate into a plasma and are damped within it. The electric field of the wave and plasma current are concentrated near the plasma boundary in a skin layer. Electrons can transport the plasma current away from the skin layer due to their thermal motion. As a result, the width of the skin layer increases when electron temperature effects are taken into account. This phenomenon is called anomalous skin effect. The anomalous penetration of the rf electric field occurs not only for transversely propagating to the plasma boundary wave (inductively coupled plasmas) but also for the wave propagating along the plasma boundary (capacitively coupled plasmas). Such anomalous penetration of the rf field modifies the structure of the capacitive sheath. Recent advances in the nonlinear, non-local theory of the capacitive sheath are reported. It is shown that separating the electric field profile into exponential and non-exponential parts yields an efficient qualitative and quantitative description of the anomalous skin effect in both inductively and capacitively coupled plasma

  19. The effect of phase difference between powered electrodes on RF plasmas

    International Nuclear Information System (INIS)

    Proschek, M; Yin, Y; Charles, C; Aanesland, A; McKenzie, D R; Bilek, M M; Boswell, R W

    2005-01-01

    This paper presents the results of measurements carried out on plasmas created in five different RF discharge systems. These systems all have two separately powered RF (13.56 MHz) electrodes, but differ in overall size and in the geometry of both vacuum chambers and RF electrodes or antennae. The two power supplies were synchronized with a phase-shift controller. We investigated the influence of the phase difference between the two RF electrodes on plasma parameters and compared the different system geometries. Single Langmuir probes were used to measure the plasma parameters in a region between the electrodes. Floating potential and ion density were affected by the phase difference and we found a strong influence of the system geometry on the observed phase difference dependence. Both ion density and floating potential curves show asymmetries around maxima and minima. These asymmetries can be explained by a phase dependence of the time evolution of the electrode-wall coupling within an RF-cycle resulting from the asymmetric system geometry

  20. Particle melting and particle/plasma interactions in DC and RF plasmas: a modeling study. (Volumes I and II)

    International Nuclear Information System (INIS)

    Wei, D.Y.C.

    1987-01-01

    Integral process models were developed to predict particle melting in both DC and RF plasmas. Specifically, a numerical model has been developed to predict the temperature history of particles injected in a low pressure DC plasma jet. The temperature and velocity fields of the plasma jet are predicted as a free jet by solving the parabolized Navier-Stokes equations using a spatial marching scheme. Correction factors were introduced to take into account non continuum effects encountered in the low pressure environment. The plasma jet profiles as well as the particle/plasma interactions under different jet pressure ratios (from underexpanded to overexpanded) were investigated. The flow and temperature fields in the RF plasma torch are calculated using the axisymmetric Navier-Stokes equations based on the primitive variables, along with pseudo two-dimensional electromagnetic field equations. Particle trajectories and heat transfer characteristics in both DC and RF plasmas are calculated using predicted plasma jet profiles. Particle melting efficiencies in both DC and RF plasmas are evaluated and compared using model alloy systems. Based on the theoretical considerations, an alternative route of plasma spraying process (hybrid plasma spraying process) is proposed. An evaluation of particle melting in hybrid plasma jets had indicated that further improvement in deposit properties could be made

  1. Rf-biasing of highly idealized plasmas

    NARCIS (Netherlands)

    Westermann, R.H.J.; Blauw, M.A.; Goedheer, W.J.; Sanden, van de M.C.M.; Schmidt, J.; Simek, M.; Pekarek, S.; Prukner, V.

    2007-01-01

    Remote plasmas, which are subjected to a radio-frequency (RF) biased surface, have been investigated theoretically and experimentally for decades. The relation between the complex power (DC) voltage characteristics, the ion energy distribution and control losses of the ion bombardment are of

  2. Langmuir probe study of a magnetically enhanced RF plasma source at pressures below 0.1 Pa

    Science.gov (United States)

    Kousal, Jaroslav; Tichý, Milan; Šebek, Ondřej; Čechvala, Juraj; Biederman, Hynek

    2011-08-01

    The majority of plasma polymerization sources operate at pressures higher than 1 Pa. At these pressures most common deposition methods do not show significant directionality. One way of enhancing the directional effects is to decrease the working pressure to increase the mean free path of the reactive molecules. The plasma source used in this work was designed to study the plasma polymerization process at pressures below 0.1 Pa. The source consists of the classical radio frequency (RF) (13.56 MHz, capacitive coupled) tubular reactor enhanced by an external magnetic circuit. The working gas is introduced into the discharge by a capillary. This forms a relatively localized zone of higher pressure where the monomer is activated. Due to the magnetic field, the plasma is constricted near the axis of the reactor with nearly collisionless gas flow. The plasma parameters were obtained using a double Langmuir probe. Plasma density in the range ni = 1013-1016 m-3 was obtained in various parts of the discharge under typical conditions. The presence of the magnetic field led to the presence of relatively strong electric fields (103 V m-1) and relatively high electron energies up to several tens of eV in the plasma.

  3. Langmuir probe study of a magnetically enhanced RF plasma source at pressures below 0.1 Pa

    Energy Technology Data Exchange (ETDEWEB)

    Kousal, Jaroslav; Tichy, Milan; Sebek, Ondrej; Cechvala, Juraj; Biederman, Hynek, E-mail: jaroslav.kousal@mff.cuni.cz [Charles University in Prague, Faculty of Mathematics and Physics, V Holesovickach 2, 180 00, Prague 8 (Czech Republic)

    2011-08-15

    The majority of plasma polymerization sources operate at pressures higher than 1 Pa. At these pressures most common deposition methods do not show significant directionality. One way of enhancing the directional effects is to decrease the working pressure to increase the mean free path of the reactive molecules. The plasma source used in this work was designed to study the plasma polymerization process at pressures below 0.1 Pa. The source consists of the classical radio frequency (RF) (13.56 MHz, capacitive coupled) tubular reactor enhanced by an external magnetic circuit. The working gas is introduced into the discharge by a capillary. This forms a relatively localized zone of higher pressure where the monomer is activated. Due to the magnetic field, the plasma is constricted near the axis of the reactor with nearly collisionless gas flow. The plasma parameters were obtained using a double Langmuir probe. Plasma density in the range n{sub i} = 10{sup 13}-10{sup 16} m{sup -3} was obtained in various parts of the discharge under typical conditions. The presence of the magnetic field led to the presence of relatively strong electric fields (10{sup 3} V m{sup -1}) and relatively high electron energies up to several tens of eV in the plasma.

  4. Modelling RF-plasma interaction in ECR ion sources

    Directory of Open Access Journals (Sweden)

    Mascali David

    2017-01-01

    Full Text Available This paper describes three-dimensional self-consistent numerical simulations of wave propagation in magnetoplasmas of Electron cyclotron resonance ion sources (ECRIS. Numerical results can give useful information on the distribution of the absorbed RF power and/or efficiency of RF heating, especially in the case of alternative schemes such as mode-conversion based heating scenarios. Ray-tracing approximation is allowed only for small wavelength compared to the system scale lengths: as a consequence, full-wave solutions of Maxwell-Vlasov equation must be taken into account in compact and strongly inhomogeneous ECRIS plasmas. This contribution presents a multi-scale temporal domains approach for simultaneously including RF dynamics and plasma kinetics in a “cold-plasma”, and some perspectives for “hot-plasma” implementation. The presented results rely with the attempt to establish a modal-conversion scenario of OXB-type in double frequency heating inside an ECRIS testbench.

  5. Modelling of an RF plasma shower

    NARCIS (Netherlands)

    Atanasova, M.; Carbone, E.A.D.; Mihailova, D.B.; Benova, E.; Degrez, G.; Mullen, van der J.J.A.M.

    2012-01-01

    A capacitive radiofrequency (RF) discharge at atmospheric pressure is studied by means of a time-dependent, two-dimensional fluid model. The plasma is created in a stationary argon gas flow guided through two perforated electrodes, hence resembling a shower. The inner electrode, the electrode facing

  6. Coupling of RF antennas to large volume helicon plasma

    Directory of Open Access Journals (Sweden)

    Lei Chang

    2018-04-01

    Full Text Available Large volume helicon plasma sources are of particular interest for large scale semiconductor processing, high power plasma propulsion and recently plasma-material interaction under fusion conditions. This work is devoted to studying the coupling of four typical RF antennas to helicon plasma with infinite length and diameter of 0.5 m, and exploring its frequency dependence in the range of 13.56-70 MHz for coupling optimization. It is found that loop antenna is more efficient than half helix, Boswell and Nagoya III antennas for power absorption; radially parabolic density profile overwhelms Gaussian density profile in terms of antenna coupling for low-density plasma, but the superiority reverses for high-density plasma. Increasing the driving frequency results in power absorption more near plasma edge, but the overall power absorption increases with frequency. Perpendicular stream plots of wave magnetic field, wave electric field and perturbed current are also presented. This work can serve as an important reference for the experimental design of large volume helicon plasma source with high RF power.

  7. Selection of suitable diagnostic techniques for an RF atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Kong, M.G.; Deng, X.T.

    2001-01-01

    As an early report of our study, this paper summaries the RF atmospheric pressure plasma system we intend to characterize and a number of diagnostic techniques presently under assessment for our plasma rig. By discussing the advantages and disadvantages of these diagnostic techniques at this meeting, we hope to gain feedback and comments to improve our choice of appropriate diagnostic techniques as well as our subsequent application of these techniques to nonthermal RF atmospheric pressure plasmas

  8. On the distribution of plasma parameters in RF glow discharge

    International Nuclear Information System (INIS)

    Ning Cheng; Liu Zuli; Liu Donghui; Han Caiyuan.

    1993-01-01

    A self-consistent numerical model based on the two-fluid equations for describing the transport of charged particles in the RF glow discharge is presented. For a plasma generator filled with low-pressure air and parallel-plate electrodes, the model is numerical solved. The space-time distribution of parameters and the spatial distribution of some time-averaged parameters in plasma, which show the physical picture of the RF glow discharge, are obtained

  9. Concept Study of Radio Frequency (RF Plasma Thruster for Space Propulsion

    Directory of Open Access Journals (Sweden)

    Anna-Maria Theodora ANDREESCU

    2016-12-01

    Full Text Available Electric thrusters are capable of accelerating ions to speeds that are impossible to reach using chemical reaction. Recent advances in plasma-based concepts have led to the identification of electromagnetic (RF generation and acceleration systems as able to provide not only continuous thrust, but also highly controllable and wide-range exhaust velocities. For Future Space Propulsion there is a pressing need for low pressure, high mass flow rate and controlled ion energies. This paper explores the potential of using RF heated plasmas for space propulsion in order to mitigate the electric propulsion problems caused by erosion and gain flexibility in plasma manipulation. The main key components of RF thruster architecture are: a feeding system able to provide the required neutral gas flow, plasma source chamber, antenna/electrodes wrapped around the discharge tube and optimized electromagnetic field coils for plasma confinement. A preliminary analysis of system performance (thrust, specific impulse, efficiency is performed along with future plans of Space Propulsion based on this new concept of plasma mechanism.

  10. Process for titanium powders spheroidization by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2010-01-01

    Spherical titanium (Ti) particles were obtained by the process of heating irregularly shaped Ti powders under the radio frequency induction plasma (RF induction plasma) condition. The effect of feed rate, various dispersion methods and Ti particle size on the spheroidization efficiency was studied. The efficiency of the spheroidization is evaluated through the measurements of the percentage of powder spheroidized based on the electron microscopic observations and the tap density measurement of the processed powder. During the short flight of the particles in the plasma flow, of the order of a few milliseconds, the individual titanium particles of the powder are heated and melt, forming a spherical liquid droplet which upon freezing gives rise to the formation of a perfectly dense spherical solid particle. So RF induction plasma is a promising method for the preparation of spherical titanium powders with high flow ability. (authors)

  11. RF wave simulation for cold edge plasmas using the MFEM library

    Science.gov (United States)

    Shiraiwa, S.; Wright, J. C.; Bonoli, P. T.; Kolev, T.; Stowell, M.

    2017-10-01

    A newly developed generic electro-magnetic (EM) simulation tool for modeling RF wave propagation in SOL plasmas is presented. The primary motivation of this development is to extend the domain partitioning approach for incorporating arbitrarily shaped SOL plasmas and antenna to the TORIC core ICRF solver, which was previously demonstrated in the 2D geometry [S. Shiraiwa, et. al., "HISTORIC: extending core ICRF wave simulation to include realistic SOL plasmas", Nucl. Fusion in press], to larger and more complicated simulations by including a 3D realistic antenna and integrating RF rectified sheath potential model. Such an extension requires a scalable high fidelity 3D edge plasma wave simulation. We used the MFEM [http://mfem.org], open source scalable C++ finite element method library, and developed a Python wrapper for MFEM (PyMFEM), and then a radio frequency (RF) wave physics module in Python. This approach allows for building a physics layer rapidly, while separating the physics implementation being apart from the numerical FEM implementation. An interactive modeling interface was built on pScope [S Shiraiwa, et. al. Fusion Eng. Des. 112, 835] to work with an RF simulation model in a complicated geometry.

  12. Experimental study of a RF plasma source with helicon configuration in the mix Ar/H_2. Application to the chemical etching of carbon materials surfaces in the framework of the plasma-wall interactions studies of ITER's divertor

    International Nuclear Information System (INIS)

    Bieber, T.

    2012-01-01

    The issue of the interaction wall-plasma is important in thermonuclear devices. The purpose of this work is to design a very low pressure atomic plasma source in order to study chemical etching of carbon surfaces in the same conditions as edge plasma in tokamaks. The experimental work has consisted in 2 stages: first, the characterisation of the new helicon configuration reactor developed for this research and secondly the atomic hydrogen source used for the chemical etching. The first chapter recalls what thermonuclear fusion is. The helicon configuration reactor as well as its diagnostics (optical emission spectroscopy, laser induced fluorescence - LIF, and Langmuir probe) are described in the second chapter. The third chapter deals with the different coupling modes (RF power and plasma) identified in pure argon plasmas and how they are obtained by setting experimental parameters such as injected RF power, magnetic fields or pressure. The fourth chapter is dedicated to the study of the difference in behavior between the electronic density and the relative density of metastable Ar"+ ions. The last chapter presents the results in terms of mass losses of the carbon material surfaces obtained with the atomic hydrogen source. (A.C.)

  13. Physics-electrical hybrid model for real time impedance matching and remote plasma characterization in RF plasma sources.

    Science.gov (United States)

    Sudhir, Dass; Bandyopadhyay, M; Chakraborty, A

    2016-02-01

    Plasma characterization and impedance matching are an integral part of any radio frequency (RF) based plasma source. In long pulse operation, particularly in high power operation where plasma load may vary due to different reasons (e.g. pressure and power), online tuning of impedance matching circuit and remote plasma density estimation are very useful. In some cases, due to remote interfaces, radio activation and, due to maintenance issues, power probes are not allowed to be incorporated in the ion source design for plasma characterization. Therefore, for characterization and impedance matching, more remote schemes are envisaged. Two such schemes by the same authors are suggested in these regards, which are based on air core transformer model of inductive coupled plasma (ICP) [M. Bandyopadhyay et al., Nucl. Fusion 55, 033017 (2015); D. Sudhir et al., Rev. Sci. Instrum. 85, 013510 (2014)]. However, the influence of the RF field interaction with the plasma to determine its impedance, a physics code HELIC [D. Arnush, Phys. Plasmas 7, 3042 (2000)] is coupled with the transformer model. This model can be useful for both types of RF sources, i.e., ICP and helicon sources.

  14. Influence of the RF electrode cleanliness on plasma characteristics and dust-particle generation in methane dusty plasmas

    Science.gov (United States)

    Géraud-Grenier, I.; Desdions, W.; Faubert, F.; Mikikian, M.; Massereau-Guilbaud, V.

    2018-01-01

    The methane decomposition in a planar RF discharge (13.56 MHz) leads both to a dust-particle generation in the plasma bulk and to a coating growth on the electrodes. Growing dust-particles fall onto the grounded electrode when they are too heavy. Thus, at the end of the experiment, the grounded electrode is covered by a coating and by fallen dust-particles. During the dust-particle growth, the negative DC self-bias voltage (VDC) increases because fewer electrons reach the RF electrode, leading to a more resistive plasma and to changes in the plasma chemical composition. In this paper, the cleanliness influence of the RF electrode on the dust-particle growth, on the plasma characteristics and composition is investigated. A cleanliness electrode is an electrode without coating and dust-particles on its surface at the beginning of the experiment.

  15. RF current drive and plasma fluctuations

    International Nuclear Information System (INIS)

    Peysson, Yves; Decker, Joan; Morini, L; Coda, S

    2011-01-01

    The role played by electron density fluctuations near the plasma edge on rf current drive in tokamaks is assessed quantitatively. For this purpose, a general framework for incorporating density fluctuations in existing modelling tools has been developed. It is valid when rf power absorption takes place far from the fluctuating region of the plasma. The ray-tracing formalism is modified in order to take into account time-dependent perturbations of the density, while the Fokker–Planck solver remains unchanged. The evolution of the electron distribution function in time and space under the competing effects of collisions and quasilinear diffusion by rf waves is determined consistently with the time scale of fluctuations described as a statistical process. Using the ray-tracing code C3PO and the 3D linearized relativistic bounce-averaged Fokker–Planck solver LUKE, the effect of electron density fluctuations on the current driven by the lower hybrid (LH) and the electron cyclotron (EC) waves is estimated quantitatively. A thin fluctuating layer characterized by electron drift wave turbulence at the plasma edge is considered. The effect of fluctuations on the LH wave propagation is equivalent to a random scattering process with a broadening of the poloidal mode spectrum proportional to the level of the perturbation. However, in the multipass regime, the LH current density profile remains sensitive to the ray chaotic behaviour, which is not averaged by fluctuations. The effect of large amplitude fluctuations on the EC driven current is found to be similar to an anomalous radial transport of the fast electrons. The resulting lower current drive efficiency and broader current profile are in better agreement with experimental observations. Finally, applied to the ITER ELMy H-mode regime, the model predicts a significant broadening of the EC driven current density profile with the fluctuation level, which can make the stabilization of neoclassical tearing mode potentially

  16. Study on the RF power necessary to ignite plasma for the ICP test facility at HUST

    Energy Technology Data Exchange (ETDEWEB)

    Yue, Haikun [School of Electronic Information and Communications, Huazhong University of Science and Technology, Wuhan (China); State Key Laboratory of Advanced Electromagnetic Engineering and Technology, Huazhong University of Science and Technology, Wuhan (China); Li, Dong; Wang, Chenre; Li, Xiaofei; Chen, Dezhi; Liu, Kaifeng; Zhou, Chi; Pan, Ruimin [State Key Laboratory of Advanced Electromagnetic Engineering and Technology, Huazhong University of Science and Technology, Wuhan (China)

    2015-10-15

    An Radio-Frequency (RF) Inductively Coupled Plasma (ICP) ion source test facility has been successfully developed at Huazhong University of Science and Technology (HUST). As part of a study on hydrogen plasma, the influence of three main operation parameters on the RF power necessary to ignite plasma was investigated. At 6 Pa, the RF power necessary to ignite plasma influenced little by the filament heating current from 5 A to 9 A. The RF power necessary to ignite plasma increased rapidly with the operation pressure decreasing from 8 Pa to 4 Pa. The RF power necessary to ignite plasma decreased with the number of coil turns from 6 to 10. During the experiments, plasma was produced with the electron density of the order of 10{sup 16}m{sup -3} and the electron temperature of around 4 eV. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Current sustaining by RF travelling field in a collisional toroidal plasma

    International Nuclear Information System (INIS)

    Fukuda, Masaji; Matsuura, Kiyokata

    1978-01-01

    The relation between the current generated by RF travelling field and the absorbed power is studied in a collisional toroidal plasma, parameters being phase velocity and filling gap pressure or electron collision frequency. It is observed at a low magnetic field that the current is proportional to the plasma conductivity and an effective electromotive force, which is a new concept introduced on the basis of fluid model; the electromotive force is proportional to the absorbed RF power and inversely proportional to the plasma density and the phase velocity of the travelling field. (author)

  18. Current sustaining by RF travelling field in a collisional toroidal plasma

    International Nuclear Information System (INIS)

    Fukuda, Masaji; Matsuura, Kiyokata.

    1977-06-01

    The relation between the current generation by RF travelling field and the accompanied power absorption is studied in a collisional toroidal plasma, parameters being phase velocity and filling gas pressure or electron collision frequency. It is observed at a low magnetic field that the current is proportional to the plasma conductivity and an effective electromotive force, which is a new concept introduced on the basis of fluid model; the electromotive force is proportional to the absorbed RF power and inversely proportional to the plasma density and the phase velocity of the travelling field. (auth.)

  19. Poloidal plasma rotation in the presence of RF waves in tokamaks

    International Nuclear Information System (INIS)

    Weyssow, B.; Liu, Caigen

    2001-01-01

    It is well known that one of the consequences of strong RF heating is the deformation of the equilibrium distribution function that induces a change in plasma transport and plasma rotation. The poloidal plasma rotation during RF wave heating in tokamaks is investigated using a moment approach. A set of closed, self-consistent transport and rotation equations is derived and reduced to a single equation for the poloidal particle flux. The formulas are sufficiently general to apply to heating schemes that can be represented by a quasilinear operator. (author)

  20. Plasma rotation and rf heating in DIII-D

    International Nuclear Information System (INIS)

    DeGrassie, J.S.; Baker, D.R.; Burrell, K.H.

    1999-05-01

    In a variety of discharge conditions on DIII-D it is observed that rf electron heating reduces the toroidal rotation speed and core ion temperature. The rf heating can be with either fast wave or electron cyclotron heating and this effect is insensitive to the details of the launched toroidal wavenumber spectrum. To date all target discharges have rotation first established with co-directed neutral beam injection. A possible cause is enhanced ion momentum and thermal diffusivity due to electron heating effectively creating greater anomalous viscosity. Another is that a counter directed toroidal force is applied to the bulk plasma via rf driven radial current

  1. Plasma rotation and rf heating in DIII-D

    International Nuclear Information System (INIS)

    Grassie, J. S. de; Baker, D. R.; Burrell, K. H.; Greenfield, C. M.; Lin-Liu, Y. R.; Luce, T. C.; Petty, C. C.; Prater, R.; Heidbrink, W. W.; Rice, B. W.

    1999-01-01

    In a variety of discharge conditions on DIII-D it is observed that rf electron heating reduces the toroidal rotation speed and core ion temperature. The rf heating can be with either fast wave or electron cyclotron heating and this effect is insensitive to the details of the launched toroidal wavenumber spectrum. To date all target discharges have rotation first established with co-directed neutral beam injection. A possible cause is enhanced ion momentum and thermal diffusivity due to electron heating effectively creating greater anomalous viscosity. Another is that a counter directed toroidal force is applied to the bulk plasma via rf driven radial current. (c) 1999 American Institute of Physics

  2. A Tightly Coupled Non-Equilibrium Magneto-Hydrodynamic Model for Inductively Coupled RF Plasmas

    Science.gov (United States)

    2016-02-29

    development a tightly coupled magneto-hydrodynamic model for Inductively Coupled Radio- Frequency (RF) Plasmas. Non Local Thermodynamic Equilibrium (NLTE...for Inductively Coupled Radio-Frequency (RF) Plasmas. Non Local Thermodynamic Equilibrium (NLTE) effects are described based on a hybrid State-to-State...Inductively Coupled Plasma (ICP) torches have wide range of possible applications which include deposition of metal coatings, synthesis of ultra-fine powders

  3. Dynamics of r.f. production of Stellarator plasmas in the ion cyclotron range of frequency

    International Nuclear Information System (INIS)

    Moiseenko, V.E.; Lysoivan, A.I.; Kasilov, S.V.; Plyusnin, V.V.

    1995-01-01

    The present study investigated numerically the process of r.f. production of plasma in the URAGAN-3M torsatron in the frequency range below the ion cyclotron frequency (ω ci ). The dynamics of r.f. plasma build-up at the stages of neutral gas burnout and plasma heating were studied using a zero-dimensional transport code, in which the plasma confinement law was determined by large helical device scaling. Two models for input r.f. power were used. In the first case, the r.f. power absorbed by the electrons was computed by a one-dimensional r.f. code solving Maxwell's boundary problem equations. The mechanisms of electron heating through direct excitation of the slow wave (SW) by antennae as well as the conversion of fast wave (FW) into SW in the vicinity of Alfven resonance (scenario of Alfven heating) were taken into account in the computations. In the second case, an 'ideal' model of r.f. power deposition onto the electrons as a linear function of plasma density was employed. A noticeable difference in plasma production dynamics computed for these two cases was found. Better agreement with experimental data obtained from the URAGAN-3M torsatron was found for the first case resulting from combination of the one-dimensional r.f. and zero-dimensional transport codes. ((orig.))

  4. Microparticles in a RF plasma under hyper gravity conditions

    NARCIS (Netherlands)

    Beckers, J.; Stoffels, W.W.; Ockenga, T.; Wolter, M.; Kersten, H.

    2009-01-01

    Summary form only given: For diagnostic purposes micrometer-sized particles can be used as floating electrostatic probes. Once injected into a complex rf plasma, these particles will become negatively charged and can be trapped in the plasma sheath due to an equilibrium of several forces working on

  5. Chemical compositions of spherical titanium powders prepared by RF induction plasma

    International Nuclear Information System (INIS)

    Gu Zhongtao; Jin Yuping; Ye Gaoying

    2012-01-01

    Spherical titanium powders were prepared by RF induction plasma technology. The particle size is essentially un- changed, while the particle size distribution is relatively narrow after spheroidization processing. X-ray diffraction (XRD) random testing of the spherical titanium powders shows no structure and phase changes. The content of O, H, N and C decreases, while the content of Ti increases slightly. It indicates that spheroidization with RF plasma can enhance powder purity. (authors)

  6. Effect on antenna structure of high power rf during plasma operation

    International Nuclear Information System (INIS)

    Haste, G.R.; Thomas, C.E.; Fadnek, A.; Carter, M.D.; Beaumont, B.; Becoulet, A.; Kuus, H.; Saoutic, B.

    1993-01-01

    High-power, long-pulse operation on the Tore Supra tokamak results in considerable stress on the plasma-facing components. The ICH antennas must deliver high-power rf(up to 4 MW per antenna) in this environment. The antenna structure is therefore subjected to the power flux resulting from the interaction between rf and the edge plasma. The structure's response during operation is described, as is the condition of the antenna after prolonged use

  7. Experimental study of the interaction between RF antennas and the edge plasma of a tokamak

    International Nuclear Information System (INIS)

    Kubic, Martin

    2013-01-01

    Antennas operating in the ion cyclotron range of frequency (ICRF) provide a useful tool for plasma heating in many tokamaks and are foreseen to play an important role in ITER. However, in addition to the desired heating in the core plasma, spurious interactions with the plasma edge and material boundary are known to occur. Many of these deleterious effects are caused by the formation of radio-frequency (RF) sheaths. The aim of this thesis is to study, mainly experimentally, scrape-off layer (SOL) modifications caused by RF sheaths effects by means of Langmuir probes that are magnetically connected to a powered ICRH antenna. Effects of the two types of Faraday screens' operation on RF-induced SOL modifications are studied for different plasma and antenna configurations - scans of strap power ratio imbalance, injected power and SOL density. In addition to experimental work, the influence of RF sheaths on retarding field analyzer (RFA) measurements of sheath potential is investigated with one-dimensional particle-in-cell code. One-dimensional particle-in-cell simulations show that the RFA is able to measure reliably the sheath potential only for ion plasma frequencies ω π similar to RF cyclotron frequency ω rf , while for the real SOL conditions (ω π ≥ ω rf ), when the RFA is magnetically connected to RF region, it is strongly underestimated. An alternative method to investigate RF sheaths effects is proposed by using broadening of the ion distribution function as an evidence of the RF electric fields in the sheath. RFA measurements in Tore Supra indicate that RF potentials do indeed propagate from the antenna 12 m along magnetic field lines. (author) [fr

  8. First results on nitriding aluminium alloys in a low-pressure RF plasma

    International Nuclear Information System (INIS)

    Fewell, M.P.; Priest, J.M.; Collins, G.A.; Short, K.T.

    2000-01-01

    Full text: Aluminium alloys are now well established as materials of choice for many commercial applications, especially where strength-to-weight ratio is a critical parameter. However, their more widespread use is inhibited by their low surface hardness. For steels, similar problems can be overcome by nitriding. The nitrogen-rich surface layer has high hardness and load-bearing capacity, and is very well bonded to the substrate. The development of a similar surface-treatment process for aluminium alloys is clearly a desirable goal. It is therefore not surprising that many research groups worldwide have attempted to nitride aluminium. Much of this work studied pure aluminium, a material of no interest for structural applications. Previous investigations into nitriding aluminium alloys' had indifferent results. However, they have served to identify the key issues, which are the importance of a pre-cleaning steps to remove the surface oxide, of impurity control during the nitriding and the desirability of using as low a process temperature as possible. In all of these areas, our process using a low-pressure RF plasma is likely to be competitive. In view of this, we have undertaken a comparative study of a range of commercially available aluminium alloys. All treatments were carried out in the hot-wall nitriding reactor at ANSTO. The samples consist of disks 25mm in diameter and ∼3mm thick which were polished and ultrasonically cleaned in alcohol prior to treatment. The samples were stored in air at all times except when in the nitriding reactor. In a series of treatments, the treatment time was varied in the range 1-16 h and the temperature in the range 350-500 deg C. All treatments were preceeded by a plasma cleaning step in a H 2 /50%Ar mixture for a duration of 1.5-2.0 h while the reactor reached processing temperature. The treatments all used pure N 2 at a pressure of 0.4Pa and a nitrogen flow rate of 12μmol s -1 , with 245W of rf power at 13.56MHz applied to

  9. A calibrated, broadband antenna for plasma RF emission measurements below 1 GHz

    International Nuclear Information System (INIS)

    Spence, P.D.; Rosenberg, D.; Roth, J.R.

    1984-01-01

    A constant impedance, constant aperture antenna can make possible broadband plasma RF emission measurements which yield relative and absolute power levels. However, good technique must be followed for the immersion of such an RF probe into plasma radiation. The authors have used a complementary conical spiral antenna to observe plasma RF emission over the frequency range 100 ≤ν≤ 1200 MHz. The RF emission was emitted by a modified Penning discharge. The RF emission from the discharge typically exhibits harmonic structure over a broad frequency range, necessitating a broadband antenna with a flat frequency response curve to allow detailed spectral analysis. The antenna consists of two metal strips of approximately uniform width wound helically on a cone made of Lexan plastic. Since the antenna is a balanced network, a balun is employed to make the transition to a 50-ohm coaxial line. The antenna feed method is critical in maintaining a uniform impedance network. Neglecting stray transmission line effects, the probe circuit for the frequency range 100 ≤ν≤ 500 MHz is 50 ohms due to the spectrum analyzer, paralleled by 291 ohms due to balun magnetization; the combination is fed by a 144 ohm probe aperture

  10. On the evaluation of currents in a tokamak plasma during combined Ohmic and RF current drive

    International Nuclear Information System (INIS)

    Eckhartt, D.

    1986-09-01

    By taking into account the rf-generated enhancement of the plasma electric conductivity (as formulated by Fisch in the limit of weak dc electric fields) a relation is derived between the ratio of rf to Ohmically driven currents and other plasma parameters to be measured before and after the rf onset under the condition of constant net plasma current. (author)

  11. RF Plasma modeling of the Linac4 H− ion source

    CERN Document Server

    Mattei, S; Hatayama, A; Lettry, J; Kawamura, Y; Yasumoto, M; Schmitzer, C

    2013-01-01

    This study focuses on the modelling of the ICP RF-plasma in the Linac4 H− ion source currently being constructed at CERN. A self-consistent model of the plasma dynamics with the RF electromagnetic field has been developed by a PIC-MCC method. In this paper, the model is applied to the analysis of a low density plasma discharge initiation, with particular interest on the effect of the external magnetic field on the plasma properties, such as wall loss, electron density and electron energy. The use of a multi-cusp magnetic field effectively limits the wall losses, particularly in the radial direction. Preliminary results however indicate that a reduced heating efficiency results in such a configuration. The effect is possibly due to trapping of electrons in the multi-cusp magnetic field, preventing their continuous acceleration in the azimuthal direction.

  12. Sheath and bulk expansion induced by RF bias in atmospheric pressure microwave plasma

    Science.gov (United States)

    Lee, Jimo; Nam, Woojin; Lee, Jae Koo; Yun, Gunsu

    2017-10-01

    A large axial volume expansion of microwave-driven plasma at atmospheric pressure is achieved by applying a low power radio frequency (RF) bias at an axial location well isolated from the original plasma bulk. The evolution of the plasma plume visualized by high speed ICCD imaging suggest that the free electrons drifting toward the bias electrode cause the prodigious expansion of the sheath, creating a stable plasma stream channel between the microwave and the RF electrodes. For argon plasma in ambient air, enhanced emissions of OH and N2 spectral lines are measured in the extended plume region, supporting the acceleration of electrons and subsequent generation of radical species. The coupling of RF bias with microwave provides an efficient way of enlarging the plasma volume and enhancing the production of radicals. Work supported by the National Research Foundation of Korea under BK21+ program and Grant No. 2015R1D1A1A01061556 (Ministry of Education).

  13. Optical characteristics of a RF DBD plasma jet in various A r / O 2 ...

    Indian Academy of Sciences (India)

    Using the optical emission spectrum analysis of the RF plasma jet, the excitation temperature is determined based on the Boltzmann plot method. The electron density in the plasma medium of the RF plasma jet is obtained by the Stark broadening of the hydrogen Balmer H β . It is mostly seen that, the radiation intensity of Ar ...

  14. Pressure dependence of electron temperature using rf-floated electrostatic probes in rf plasmas

    International Nuclear Information System (INIS)

    Cantin, A.; Gagne, R.R.J.

    1977-01-01

    A new technique, which eliminates ac between probe and plasma by means of a ''follower'', permits electrostatic probes to be used in rf plasmas with a degree of confidence and accuracy which is equal, if not better, to that for a dc discharge. Measurements in argon, using this technique, have shown that electron temperature (T/sub e/) in an rf discharge is not higher than in dc discharge. Moreover the values of T/sub e/ do not agree with von Engel's law, but are in close agreement with a theory based on free diffusion and extrapolated up to values of pR=20 Torr cm (pressure times tube radius). These results are in contradiction with published electrostatic probe results for a positive column, but agree with published results as determined by microwave radiometry and optical spectroscopy. The hypothesis is made that the supporting evidence in favor of von Engel's law, afforded by published electrostatic probe results, could be due to an artifact

  15. TiO2 thin and thick films grown on Si/glass by sputtering of titanium targets in an RF inductively coupled plasma

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2015-01-01

    TiO 2 thin and thick films were deposited on silicon/glass substrates using RF inductive plasma in continuous wave. The films thickness, as well as phases control, is achieved with a gradual increase in temperature substrates varying supplied RF power or working gas pressure besides deposition time as well. The deposition conditions were: argon 80%/oxygen 20% carefully calibrated mixture of 2 to 7×10 −2 mbar as working gas pressure range. Deposition time 0.5 to 5 hours, 500 or 600 W RF power at 13.56 MHz frequency and 242-345 °C substrates temperature range. The titanium dioxide deposited on the substrates is grown by sputtering of a titanium target negatively polarized at 3-5 kV DC situated 14 mm in front of such substrates. The plasma reactor is a simple Pyrex-like glass cylindrical vessel of 50 cm long and 20 cm in diameter. Using the before describe plasma parameters we obtained films only anatase and both anatase/rutile phases with stoichiometric different. The films were characterized by X-ray photoelectron spectroscopy (XPS), stylus profilometer, X-ray diffraction (XRD), scanning electron microscopy (SEM) and Raman spectroscopy. (paper)

  16. Investigation of the helicon discharge plasma parameters in a hybrid RF plasma system

    International Nuclear Information System (INIS)

    Aleksandrov, A. F.; Petrov, A. K.; Vavilin, K. V.; Kralkina, E. A.; Neklyudova, P. A.; Nikonov, A. M.; Pavlov, V. B.; Ayrapetov, A. A.; Odinokov, V. V.; Sologub, V. A.; Pavlov, G. Ya.

    2016-01-01

    Results of an experimental study of the helicon discharge plasma parameters in a prototype of a hybrid RF plasma system equipped with a solenoidal antenna are described. It is shown that an increase in the external magnetic field leads to the formation of a plasma column and a shift of the maximum ion current along the discharge axis toward the bottom flange of the system. The shape of the plasma column can be controlled via varying the configuration of the magnetic field.

  17. Investigation of the helicon discharge plasma parameters in a hybrid RF plasma system

    Energy Technology Data Exchange (ETDEWEB)

    Aleksandrov, A. F.; Petrov, A. K., E-mail: alpetrov57@gmail.com; Vavilin, K. V.; Kralkina, E. A.; Neklyudova, P. A.; Nikonov, A. M.; Pavlov, V. B. [Moscow State University, Faculty of Physics (Russian Federation); Ayrapetov, A. A.; Odinokov, V. V.; Sologub, V. A.; Pavlov, G. Ya. [Research Institute of Precision Engineering (Russian Federation)

    2016-03-15

    Results of an experimental study of the helicon discharge plasma parameters in a prototype of a hybrid RF plasma system equipped with a solenoidal antenna are described. It is shown that an increase in the external magnetic field leads to the formation of a plasma column and a shift of the maximum ion current along the discharge axis toward the bottom flange of the system. The shape of the plasma column can be controlled via varying the configuration of the magnetic field.

  18. The difference between the metal ion extracted from the R.F. ion source by applying plasma chemistry reaction and by non-plasma range chemistry reaction

    International Nuclear Information System (INIS)

    Bai Gui Bin

    1987-01-01

    The paper introduced the difference between using plasma chemistry reaction draw metal ion and non-plasma range chemistry reaction in the R.F. ion source. By using of the plasma chemistry reaction draw metal ion higher percentage than non-plasma range chemistry reaction in the R.F. ion source. The authors plasma chemistry reaction to R.F. ion source and implanter successfully. The effect is very well, it has its own characteristic

  19. Rf probe technology for the next generation of technological plasmas

    International Nuclear Information System (INIS)

    Law, V.J.; Kenyon, A.J.; Thornhill, N.F.; Seeds, A.J.; Batty, I.

    2001-01-01

    We describe radio frequency (rf) analysis of technological plasmas at the 13.56 MHz fundamental drive frequency and integer narrow-band harmonics up to n = 9. In particular, we demonstrate the use of harmonic amplitude information as a process end-point diagnostic. Using very high frequency (vhf) techniques, we construct non-invasive ex situ remote-coupled probes: a diplexer, an equal-ratio-arm bridge, and a dual directional coupler used as a single directional device. These probes bolt into the plasma-tool 50 Ω transmission-line between the rf generator and matching network, and hence do not require modification of the plasma tool. The 50 Ω probe environment produces repeatable measurements of the chamber capacitance and narrow-band harmonic amplitude with an end-point detection sensitivity corresponding to a 2 dB change in the harmonic amplitude with the removal of 1 cm 2 of photoresist. The methodology and design of an instrument for the measurement of the plasma-tool frequency response, and the plasma harmonic amplitude and phase response are examined. The instrument allows the monitoring of the plasma phase delay, plasma-tool short- and long-term ageing, and process end-point prediction. (author)

  20. Low temperature RF plasma nitriding of self-organized TiO2 nanotubes for effective bandgap reduction

    Science.gov (United States)

    Bonelli, Thiago Scremin; Pereyra, Inés

    2018-06-01

    Titanium dioxide is a widely studied semiconductor material found in many nanostructured forms, presenting very interesting properties for several applications, particularly photocatalysis. TiO2 nanotubes have a high surface-to-volume ratio and functional electronic properties for light harvesting. Despite these manifold advantages, TiO2 photocatalytic activity is limited to UV radiation due to its large band gap. In this work, TiO2 nanotubes produced by electrochemical anodization were submitted to plasma nitriding processes in a PECVD reactor. The plasma parameters were evaluated to find the best conditions for gap reduction, in order to increase their photocatalytic activity. The pressure and RF power density were varied from 0.66 to 2.66 mbar and 0.22 to 3.51 W/cm2 respectively. The best gap reduction, to 2.80 eV, was achieved using a pressure of 1.33 mbar and 1.75 W/cm2 RF power at 320 °C, during a 2-h process. This leads to a 14% reduction in the band gap value and an increase of 25.3% in methylene blue reduction, doubling the range of solar photons absorption from 5 to 10% of the solar spectrum.

  1. RF-plasma vapor deposition of siloxane on paper. Part 2: Chemical evolution of paper surface

    International Nuclear Information System (INIS)

    Sahin, Halil Turgut

    2013-01-01

    Highlights: ► Investigate the detailed RF-cold plasma surface modified paper by XPS and ATR-FTIR. ► Some chemical analysis of RF-cold plasma surface modified paper after RF plasma treatment. ► Identify the connection between RF plasma treatment and the surface chemistry of paper surface. - Abstract: Survey and high-resolution (HR) XPS studies indicate that OMCTSO plasma treatment created a new silicon containing functional groups and changed the hydroxyl content on the surface of paper. Four intense survey XPS spectrum peaks were observed for the OMCTSO plasma treated paper. They were the Si 2p at 100 eV, Si 2s at 160 eV, C 1s at 285 eV, and O 1s at 525 eV for the plasma modified surface. It was realized that the macromolecular chain-breaking mechanisms and plasma-induced etching processes control the number and the availability of OH-functionalities during OMCTSO plasma exposure on paper. The reaction, initiated by these species, depends mainly on the nature of chemicals in the plasma as well as on the energy level of the plasma and the nature of the surface effects in the modification of the paper. The ATR-FTIR spectrum of paper treated with OMCTSO plasma has characteristic absorption bands attributed to the Si-O and Si-O-Si formations on the surface.

  2. DC plasma ion implantation in an inductively coupled RF plasma

    International Nuclear Information System (INIS)

    Silawatshananai, C.; Matan, N.; Pakpum, C.; Pussadee, N.; Srisantitam, P.; Davynov, S.; Vilaithong, T.

    2004-01-01

    Various modes of plasma ion implantation have been investigated in a small inductively coupled 13.6 MHz RF plasma source. Plasma ion implantation with HVDC(up to -10 kV bias) has been investigated in order to incorporate with the conventional implantation of diamond like carbon. In this preliminary work, nitrogen ions are implanted into the stainless steel sample with a dose of 5.5 x 10 -2 cm for a short implanting time of 7 minutes without target cooling. Surface properties such as microhardness, wear rate and the friction coefficient have been improved. X-ray and SEM analyses show distinct structural changes on the surface. A combination of sheath assisted implantation and thermal diffusion may be responsible for improvement in surface properties. (orig.)

  3. Study of Pulsed vs. RF Plasma Properties for Surface Processing Applications

    Science.gov (United States)

    Tang, Ricky; Hopkins, Matthew; Barnat, Edward; Miller, Paul

    2015-09-01

    The ability to manipulate the plasma parameters (density, E/N) was previously demonstrated using a double-pulsed column discharge. Experiments extending this to large-surface plasmas of interest to the plasma processing community were conducted. Differences between an audio-frequency pulsed plasma and a radio-frequency (rf) discharge, both prevalent in plasma processing applications, were studied. Optical emission spectroscopy shows higher-intensity emission in the UV/visible range for the pulsed plasma comparing to the rf plasma at comparable powers. Data suggest that the electron energy is higher for the pulsed plasma leading to higher ionization, resulting in increased ion density and ion flux. Diode laser absorption measurements of the concentration of the 1S5 metastable and 1S4 resonance states of argon (correlated with the plasma E/N) provide comparisons between the excitation/ionization states of the two plasmas. Preliminary modeling efforts suggest that the low-frequency polarity switch causes a much more abrupt potential variation to support interesting transport phenomena, generating a ``wave'' of higher temperature electrons leading to more ionization, as well as ``sheath capture'' of a higher density bolus of ions that are then accelerated during polarity switch.

  4. Plasma properties of RF magnetron sputtering system using Zn target

    Energy Technology Data Exchange (ETDEWEB)

    Nafarizal, N.; Andreas Albert, A. R.; Sharifah Amirah, A. S.; Salwa, O.; Riyaz Ahmad, M. A. [Microelectronic and Nanotechnology - Shamsuddin Research Centre (MiNT-SRC), Faculty of Electrical and Electronic Engineering, Universiti Tun Hussein Onn Malaysia 86400 Parit Raja, Batu Pahat, Johor (Malaysia)

    2012-06-29

    In the present work, we investigate the fundamental properties of magnetron sputtering plasma using Zn target and its deposited Zn thin film. The magnetron sputtering plasma was produced using radio frequency (RF) power supply and Argon (Ar) as ambient gas. A Langmuir probe was used to collect the current from the plasma and from the current intensity, we calculate the electron density and electron temperature. The properties of Zn sputtering plasma at various discharge conditions were studied. At the RF power ranging from 20 to 100 W and gas pressure 5 mTorr, we found that the electron temperature was almost unchanged between 2-2.5 eV. On the other hand, the electron temperature increased drastically from 6 Multiplication-Sign 10{sup 9} to 1 Multiplication-Sign 10{sup 10}cm{sup -3} when the discharge gas pressure increased from 5 to 10 mTorr. The electron microscope images show that the grain size of Zn thin film increase when the discharge power is increased. This may be due to the enhancement of plasma density and sputtered Zn density.

  5. Electromagnetic surface waves for large-area RF plasma productions between large-area planar electrodes

    International Nuclear Information System (INIS)

    Nonaka, S.

    1992-01-01

    Recently, large-area plasma production has been tested by means of a 13.56 MHz radio-frequency (RF) discharge between a pair of large-area planar electrodes, approximately 0.5 m x 1.4 m, as one of the semiconductor technologies for fabrication of large-area amorphous silicon solar cells in the ''Sunshine Project'' of the Agency of Industrial Science and Technology in Japan. We also confirmed long plasma production between a pair of long electrodes. In this paper, normal electromagnetic (EM) waves propagating in a region between a planar waveguide with one plasma and two dielectric layers are analyzed in order to study the feasibility of large-area plasma productions by EM wave-discharges between a pair of large-area RF electrodes larger than the half-wavelength of RF wave. In conclusion, plasmas higher than an electron plasma frequency will be produced by an odd TMoo surface mode. (author) 4 refs., 3 figs

  6. Electron Heating Mode Transitions in Nitrogen (13.56 and 40.68) MHz RF-CCPs

    Science.gov (United States)

    Erozbek Gungor, Ummugul; Bilikmen, Sinan Kadri; Akbar, Demiral

    2015-09-01

    Capacitively coupled radio frequency plasmas (RF-CCPs) are commonly used in plasma material processing. Parametrical structure of the plasma determines the demands of processing applications. For example; high density plasmas in gamma mode are mostly preferred for etching applications while stabile plasmas in gamma mode are usually used in sputtering applications. For this reason, characterization of the plasma is very essential before surface modification of the materials. In this work, analysis of electron heating mode transition in high frequency (40.68 MHz) RF-CCP was deeply investigated. The plasma was generated in a home-made (500 × 400 mm2) stainless steel cylindrical reactor in which two identical (200 mm in diameter) electrodes were placed with 40 mm interval. In addition, L-type automatic matching network system was connected to the 40.68 MHz RF generator to get high accuracy. Moreover, the pure (99.995 %) nitrogen was used as an activation gas on account of having an appreciable impression in plasma processing applications. Furthermore, diagnostic measurements of the plasma were done by using the Impedans Langmuir single and double probe systems. It was found that two transition points; α- γ (pressure dependent) and γ- α (RF power dependent) were observed in both medium and high RF-CCPs. As a result, the α- γ pressure transition increased, whereas the γ- α power transition remained constant by changing the RF frequency sources.

  7. Characterization of gaseous species in scanning atmospheric rf plasma with transmission infrared spectroscopy

    International Nuclear Information System (INIS)

    Kim, Seong H.; Kim, Jeong Hoon; Kang, Bang-Kwon

    2008-01-01

    A scanning atmospheric radio-frequency (rf) plasma was analyzed with transmission infrared (IR) spectroscopy. The IR analyses were made for the plasmas used for hydrophobic coating deposition and superhydrophobic coating deposition processes. Since the rf plasma was generated in a small open space with a high gas flow rate in ambient air, the density of gas-phase molecules was very high and the plasma-generated reactive species seemed to undergo various reactions in the gas phase. So, the transmission IR spectra of the scanning atmospheric rf plasma were dominated by gas-phase reaction products, rather than plasma-generated intermediate species. In the CH 4 /He plasma used for hydrophobic coating deposition, C 2 H 6 , C 2 H 2 , and a small amount of C 2 H 4 as well as CO were detected in transmission IR. The intensities of these peaks increased as the rf power increased. The CO formation is due to the activation of oxygen and water in the air. In the CF 4 /H 2 /He plasma used for deposition of superhydrophobic coatings, C 2 F 6 , CF 3 H, COF 2 , and HF were mainly detected. When the H 2 /CF 4 ratio was ∼0.5, the consumption of CF 4 was the highest. As the H 2 /CF 4 ratio increased higher, the C 2 F 6 production was suppressed while the CF 3 H peak grew and the formation of CH 4 were detected. In both CH 4 /He and CF 4 /H 2 /He plasma systems, the undissociated feed gas molecules seem to be highly excited vibrationally and rotationally. The information on plasma-generated reactive species and their reactions was deduced from the distribution of these gas-phase reaction products

  8. Oxygen functionalization of MWCNTs in RF-dielectric barrier discharge Ar/O2 plasma

    Science.gov (United States)

    Abdel-Fattah, E.; Ogawa, D.; Nakamura, K.

    2017-07-01

    The oxygenation of multi-wall carbon nanotubes (MWCNTs) was performed via a radio frequency dielectric barrier discharge (RF-DBD) in an Ar/{{\\text{H}}2}\\text{O} plasma mixture. The relative intensity of the Ar/{{\\text{O}}2} plasma species was characterized by optical emission spectroscopy (OES). The effects of treatment time, RF power and oxygen gas percentage on the chemical composition and surface morphology of MWCNTs were investigated by means of x-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy and field emission scanning electron microscopy (FE-SEM). The results of FTIR and XPS revealed the presence of oxygen-containing functional groups on the MWCNTs treated in an Ar/{{\\text{O}}2} plasma at an RF power of 50 W and pressure of 400 Pa. The amount of oxygen functional groups (C=O, C-O, and O-COO) also increased by increasing treatment time up to 6 min, but slightly decreased when treatment time was increased by 10 min. The increase of oxygen gas percentage in the plasma mixture does not affect the oxygen content in the treated MWCNTs. Meanwhile, MWCNTs treated at high power (80 W) showed a reduction in oxygen functional groups in comparison with low RF power conditions. The Raman analysis was consistent with the XPS and FTIR results. The integrity of the nanotube patterns also remained damaged as observed by FE-SEM images. The MWCNTs treated in RF-DBD using the Ar/{{\\text{O}}2} plasma mixture showed improved dispersibility in deionized water. A correlation between the OES data and the observed surface characterization for an improved understanding of the functionalization of MWCNTs in Ar/{{\\text{O}}2} plasma was presented.

  9. The Efficiency of Quartz Particles Evaporation in the Argon Plasma Flow of the RF Inductively Coupled Plasma Torch

    Directory of Open Access Journals (Sweden)

    Yu. M. Grishin

    2017-01-01

    Full Text Available Owing to high-power density and high-purity plasma, a RF inductively coupled plasma torch (ICPT is widely used both in research laboratory and in industry. The potential RF ICPT application areas are powders spheroidisation, waste treatment, thermal spraying, etc.In the last decade the investigation was focused on the treatment processes of quartz into polycrystalline silicon. An analysis of these results has shown that the increasing productivity and producing high-purity silicon can be achieved only when using the electrodeless radio-frequency induction plasma torches and in case the optimum conditions for evaporation of SiO2solid particles are realized.Optimization of the RF ICPT design and power parameters calls for a wide range of computational studies. In spite of the fact that to date a large number of efforts to calculate the evaporation efficiency of powder materials have been made, a number of issues, as applied to the problem of obtaining silicon, require further research.In this paper, we present the results of a two-dimensional numerical simulation of the heating and evaporation of quartz particles in the RF ICPT channel with axial flow of gases. The main aim is to determine how the axial position of the central tube (through which the particles are injected into the discharge zone, the dispersion of the quartz powder, the amplitude of the discharge current (and, respectively, flow regimes impact on the evaporation efficiency of quartz particles.The paper presented the numerical modeling results of heating and evaporation processes of quartz particles supplied by transporting gas to the RF ICPT channel with axial gas flow (argon. Defined the impact of the axial position of the central tube, the plasma flow regime, the discharge current, the flow rate of transporting gas, and other parameters on the evaporation efficiency of quartz particles.It is shown that the evaporation efficiency of particles reaches its maximum when their

  10. Comparative studies of chemically synthesized and RF plasma ...

    Indian Academy of Sciences (India)

    journal of. April 2015 physics pp. 653–665. Comparative studies of ... MS received 16 April 2013; revised 5 February 2014; accepted 28 May 2014 ... RF plasma polymerization; poly(o-toluidine); Fourier transform infrared; UV–visible ... tial applications, e.g., as electrodes and membranes for electrochemical energy ...

  11. Plasma diagnosis of RF discharge by using impedance measurement

    International Nuclear Information System (INIS)

    Huang Jianjun; Teuner, D.

    2001-01-01

    It is presented that the method known from network analysis with home-made probe and experimental setup to measure current, voltage and phase angle of RF discharge in He gas more accurately. The sheath thickness and the real and imaginary parts of the plasma impedance were obtained by using the equivalent circuit model and taking account stray capacitances of the set-up. In addition, making use of Godyak's RF discharge simple model, the electron density in the discharge was calculated at different pressure and current density

  12. Theoretical characterization of electron energy distribution function in RF plasmas

    International Nuclear Information System (INIS)

    Capitelli, M.; Capriati, G.; Dilonardo, M.; Gorse, C.; Longo, S.

    1993-01-01

    Different methods for the modeling of low-temperature plasmas of both technological and fundamental interest are discussed. The main concept of all these models is the electron energy distribution function (eedf) which is necessary to calculate the rate coefficients for any chemical reaction involving electrons. Results of eedf calculations in homogeneous SF 6 and SiH 4 plasmas are discussed based on solution of the time-dependent Boltzmann equation. The space-dependent eedf in an RF discharge in He is calculated taking into account the sheath oscillations by a Monte Carlo model assuming the plasma heating mechanism and the electric field determined by using a fluid model. The need to take into account the ambipolar diffusion of electrons in RF discharge modeling is stressed. A self-consistent model based on coupling the equations of the fluid model and the chemical kinetics ones is presented. (orig.)

  13. Design aspects of 13.56MHz, 1kW, CW-RF oscillator for plasma production

    International Nuclear Information System (INIS)

    Kumar, Sunil; Kadia, Bhavesh; Singh, Raj; Varia, Atul; Srinivas, Y S S; Kulkarni, S V

    2010-01-01

    RF produced plasma has many applications in plasma processing and also it is useful in studying the fundamental characteristics of the plasma. A 1KW RF Hartley oscillator is designed and tested at 13.56 MHz. This has been built at RF section of Institute for Plasma Research by using EIMAC (3CX1200A7) triode tube. The RF source is operated in the grounded cathode mode. Triode 3CX1200A7 is operated in class AB and the feedback is Cathode grounded. The tube has sufficient margin in terms of plate dissipation and Grid dissipation that makes it suitable to withstand momentarily load mismatch. To optimize the RF source along with HVDC power supply many mechanical and electrical aspects have been thought of to enhance the overall quality of the system. This source mainly has three sections (The RF section, HVDC Power supply and soft start Filament Power supply). The system is compact and is housed in a 80 cm x 60 cm x 1800 cm aluminum panel. This paper describes the specifications, design criteria, circuit used, operating parameters of 1KW Oscillator along with HVDC power supply with necessary interlocks, tests conducted and results obtained of this 1 KW grounded grid Hartley Oscillator on 50 ohm dummy load. This system has been tested for 8 hours of continuous operation without any appreciable deterioration of the RF output power.

  14. Investigation of Inonotus obliquus (Pers. Pil. Extracts and Melanins after RF-plasma Treatment of Raw Material

    Directory of Open Access Journals (Sweden)

    O.Yu. Kuznetsova

    2016-03-01

    Full Text Available High-frequency capacitive discharge (RF plasma at low pressure was used as preliminary stage for the intensification of extraction from natural medicinal raw material. RF-plasma treatment was carried out in two modes differed by the nature of plasma-forming gas. Chaga (Inonotus obliquus (Pers. Pil. known as the birch mushroom was selected as a perspective source of raw material. Extraction was carried out in two ways – remaceration and maceration. The analy-sis of chaga extracts and melanins was performed using traditional techniques including determination of physical and chemical, antioxidant and spectral characteristics. The obtained extracts and melanins were compared to the control samples and literature data. RF-plasma treatment of medicinal raw material increased the yield of extractive substances, in particular of the main active component of chaga – melanin. The antioxidant activity of chaga extracts grew, while for melanins it remained at the level similar to that of control samples. The IR spectral characteristics of the studied chaga melanins are similar and agree well with the literature data. Insignificant deviations in the position and intensity of absorption strips were observed for the samples after RF treatment. IR spectra of the studied chaga melanins are similar to those for mushroom melanins, thereby confirming the similarity in their nature. RF-plasma treatment of chaga medicinal raw materials allows to modify them partially. The structural and mechanical properties of melanins modified by RF plasma remain the same.

  15. Transition of RF internal antenna plasma by gas control

    Energy Technology Data Exchange (ETDEWEB)

    Hamajima, Takafumi; Yamauchi, Toshihiko; Kobayashi, Seiji; Hiruta, Toshihito; Kanno, Yoshinori [Advanced Institute of Industrial Technology, 1-10-40 HigashiOhi, Shinagawa-ku, Tokyo, 140-0011 (Japan); Japan Atomic Energy Agency, 2-4 Tokai-mura, Naka-gun, Ibaraki-ken, 319-1195 (Japan)

    2012-07-11

    The transition between the capacitively coupled plasma (CCP) and the inductively coupled plasma (ICP) was investigated with the internal radio frequency (RF) multi-turn antenna. The transition between them showed the hysteresis curve. The radiation power and the period of the self-pulse mode became small in proportion to the gas pressure. It was found that the ICP transition occurred by decreasing the gas pressure from 400 Pa.

  16. Advancement of In-Flight Alumina Powder Spheroidization Process with Water Droplet Injection Using a Small Power DC-RF Hybrid Plasma Flow System

    Science.gov (United States)

    Jang, Juyong; Takana, Hidemasa; Park, Sangkyu; Nishiyama, Hideya

    2012-09-01

    The correlation between plasma thermofluid characteristics and alumina powder spheroidization processes with water droplet injection using a small power DC-RF hybrid plasma flow system was experimentally clarified. Micro-sized water droplets with a low water flow rate were injected into the tail of thermal plasma flow so as not to disturb the plasma flow directly. Injected water droplets were vaporized in the thermal plasma flow and were transported upstream in the plasma flow to the torch by the backflow. After dissociation of water, the production of hydrogen was detected by the optical emission spectroscopy in the downstream RF plasma flow. The emission area of the DC plasma jet expanded and elongated in the vicinity of the RF coils. Additionally, the emission area of RF plasma flow enlarged and was visible as red emission in the downstream RF plasma flow in the vicinity below the RF coils due to hydrogen production. Therefore, the plasma flow mixed with produced hydrogen increased the plasma enthalpy and the highest spheroidization rate of 97% was obtained at a water flow rate of 15 Sm l/min and an atomizing gas flow rate of 8 S l/min using a small power DC-RF hybrid plasma flow system.

  17. Effects of rf power on electron density and temperature, neutral temperature, and Te fluctuations in an inductively coupled plasma

    International Nuclear Information System (INIS)

    Camparo, James; Fathi, Gilda

    2009-01-01

    Atomic clocks that fly on global-navigation satellites such as global positioning system (GPS) and Galileo employ light from low-temperature, inductively coupled plasmas (ICPs) for atomic signal generation and detection (i.e., alkali/noble-gas rf-discharge lamps). In this application, the performance of the atomic clock and the capabilities of the navigation system depend sensitively on the stability of the ICP's optical emission. In order to better understand the mechanisms that might lead to instability in these rf-discharge lamps, and hence the satellite atomic clocks, we studied the optical emission from a Rb/Xe ICP as a function of the rf power driving the plasma. Surprisingly, we found that the electron density in the plasma was essentially independent of increases in rf power above its nominal value (i.e., 'rf-power gain') and that the electron temperature was only a slowly varying function of rf-power gain. The primary effect of rf power was to increase the temperature of the neutrals in the plasma, which was manifested by an increase in Rb vapor density. Interestingly, we also found evidence for electron temperature fluctuations (i.e., fluctuations in the plasma's high-energy electron content). The variance of these fluctuations scaled inversely with the plasma's mean electron temperature and was consistent with a simple model that assumed that the total electron density in the discharge was independent of rf power. Taken as a whole, our results indicate that the electrons in alkali/noble-gas ICPs are little affected by slight changes in rf power and that the primary effect of such changes is to heat the plasma's neutral species.

  18. Study of RF-excited Diethylene Glycol Dimethyl Ether Plasmas by Mass Spectrometry

    International Nuclear Information System (INIS)

    Algatti, M A; Mota, R P; Júnior, P W P Moreira; Honda, R Y; Kayama, M E; Kostov, K G

    2012-01-01

    This paper deals with the study of the fragmentation process of diethylene glycol dimethyl ether (CH 3 O(CH 2 CH 2 O) 2 CH 3 ) (diglyme here in) molecule in low pressure RF excited plasma discharges. The study was carried out using mass spectrometry. The results showed that for a fixed pressure, the increase of the RF power coupled to the plasma chamber from 1 to 35 W produced a plasma environment much more reactive which increases the population of the ionized species like CH 2 + (15 amu), C 2 H 4 + (28 amu), CH 3 O + (31 amu), C 2 H 4 O + (44 amu), CH 3 OCH 2 CH 2 + (59 amu) and CH 3 OCH 2 CH 2 O + (75 amu). This fact may be attributed to the increase of the electronic temperature that makes predominant the occurrence of inelastic processes that promotes molecular fragmentation. For a fixed value of RF power the increase of pressure from 50 mTorr to 100 mTorr produces the decreasing of the above mentioned chemical species due the lower electronic mean free path. These results suggest that if one wants to keep the monomer's functionality within the plasma deposited films resulting from such kind of discharges one must operate in low power conditions.

  19. High plasma rotation velocity and density transitions by biased electrodes in RF produced, magnetized plasma

    International Nuclear Information System (INIS)

    Matsuyama, Shoichiro; Shinohara, Shunjiro

    2001-01-01

    A large density profile modification was successfully obtained by voltage biasing to electrodes inserted in a RF (radio frequency) produced, magnetized plasma, and formation of strong shear of azimuthal plasma rotation velocity in a supersonic regime was found. For the case of biasing to an electrode near the central plasma region, two types of density transitions were observed in the outer plasma region: one was an oscillatory transition between two states, and the other was a transition from high to low density states with a large reduction of density fluctuations. (author)

  20. High plasma rotation velocity and density transitions by biased electrodes in RF produced, magnetized plasma

    Energy Technology Data Exchange (ETDEWEB)

    Matsuyama, Shoichiro; Shinohara, Shunjiro [Kyushu Univ., Interdisciplinary Graduate School of Engineering Sciences, Fukuoka (Japan)

    2001-07-01

    A large density profile modification was successfully obtained by voltage biasing to electrodes inserted in a RF (radio frequency) produced, magnetized plasma, and formation of strong shear of azimuthal plasma rotation velocity in a supersonic regime was found. For the case of biasing to an electrode near the central plasma region, two types of density transitions were observed in the outer plasma region: one was an oscillatory transition between two states, and the other was a transition from high to low density states with a large reduction of density fluctuations. (author)

  1. Plasma processing of large curved surfaces for superconducting rf cavity modification

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2014-12-01

    Full Text Available Plasma-based surface modification of niobium is a promising alternative to wet etching of superconducting radio frequency (SRF cavities. We have demonstrated surface layer removal in an asymmetric nonplanar geometry, using a simple cylindrical cavity. The etching rate is highly correlated with the shape of the inner electrode, radio-frequency (rf circuit elements, gas pressure, rf power, chlorine concentration in the Cl_{2}/Ar gas mixtures, residence time of reactive species, and temperature of the cavity. Using variable radius cylindrical electrodes, large-surface ring-shaped samples, and dc bias in the external circuit, we have measured substantial average etching rates and outlined the possibility of optimizing plasma properties with respect to maximum surface processing effect.

  2. Directional rf probe for measurement of conductivity of flowing plasmas

    International Nuclear Information System (INIS)

    Jayakumar, R.; Chakravarthy, D.P.; Rohatgi, V.K.

    1977-01-01

    An electrodeless immersible rf probe for measurement of plasma conductivity in the range 0.01 to 100 mho/m has been designed and fabricated. The probe, with an overall diameter of 11 mm, employs unidirectional electromagnetic field lines which reduce the inaccuracies caused by insertion of the probe in a flowing plasma. In the range studied the probe output shows a linear relationship with the conductivity of the medium. Such probes are of interest in the study of MHD and reentry plasmas

  3. Review of tearing mode stabilization by RF power in tokamaks

    International Nuclear Information System (INIS)

    Giruzzi, G.; Zabiego, M.; Zohm, H.

    1999-01-01

    Control of tearing modes by means of heating and current drive inside the magnetic islands is one of the most important applications of RF power in tokamak reactors. The theoretical basis of this concept is reviewed, focusing on aspects related to RF-plasma interaction. Applications to the stabilization of neoclassical tearing modes in ITER by Electron Cyclotron Current Drive are presented to illustrate the basic physical dependences. The most significant experimental results and prospects for future applications are also discussed

  4. RF-plasma interactions in the antenna near fields

    Energy Technology Data Exchange (ETDEWEB)

    Colestock, P.; Greene, G.J.; Hosea, J.C.; Phillips, C.K.; Stevens, J.E.; Ono, M.; Wilson, J.R. (Princeton Univ., NJ (USA). Plasma Physics Lab.); D' Ippolito, D.A.; Myra, J.R. (Lodestar Research Corp., Boulder, CO (USA)); Lehrman, I.S. (Grumman Aerospace Corp., Bethpage, NY (USA))

    1990-04-01

    An assessment is made of the various linear and nonlinear mechanisms that are likely to play a role in the near-field of Faraday shielded inductive antennas commonly used in ICRF heating experiments. A number of low-level, but potentially important, RF loss mechanisms have been proposed as candidates to explain the observed surface phenomena and impurity production associated with ICRF. These range from edge heating via linear processes, such as surface wave or Bernstein wave generation to a variety of nonlinear phenomena including parametric decay and RF-driven sheath effects. The various proposed mechanisms will be examined in this work in terms of the available experimental data and an evaluation will be made of the scaling of these phenomena to higher density and temperature plasmas. (orig.).

  5. Mechanism of laser and rf plasma in vibrational nonequilibrium CO-N2 gas mixture

    International Nuclear Information System (INIS)

    Lou Guofeng; Adamovich, Igor V.

    2009-01-01

    This paper investigates the mechanism of plasma created by focused CO laser and rf electric field. The plasma is created in a CO/N 2 environment, at a total pressure of 600 torr. Ionization of the gases occurs by an associative ionization mechanism, in collisions of two highly vibrationally excited molecules. These highly vibrationally excited states are populated by resonance absorption of the CO radiation followed by anharmonic vibration-vibration (V-V) pumping. Moreover N 2 also becomes vibrationally excited due to collisions with vibrationally excited CO. The coupled rf reduced electric field E/N is sufficiently low to prevent electron impact ionization that may create plasma individually, so when a subbreakdown rf field is applied to the plasma, collisions between the free electrons heated by the field and the diatomic species create additional vibrational excitation both in the region occupied by the CO laser beam and outside of the laser beam region. The numerical results show plasma created in both regions (in and out of the CO laser beam region) with the associative ionization mechanism. This suggests a method for creating a stable nonequilibrium plasma. The calculation result is verified by comparison the synthetic spectrum to a measured one.

  6. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Science.gov (United States)

    Hamann, S.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.; Röpcke, J.

    2015-12-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH4, C2H2, HCN, and NH3). With the help of OES, the rotational temperature of the screen plasma could be determined.

  7. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    International Nuclear Information System (INIS)

    Hamann, S.; Röpcke, J.; Börner, K.; Burlacov, I.; Spies, H.-J.; Strämke, M.; Strämke, S.

    2015-01-01

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH 4 , C 2 H 2 , HCN, and NH 3 ). With the help of OES, the rotational temperature of the screen plasma could be determined

  8. Plasma nitriding monitoring reactor: A model reactor for studying plasma nitriding processes using an active screen

    Energy Technology Data Exchange (ETDEWEB)

    Hamann, S., E-mail: hamann@inp-greifswald.de; Röpcke, J. [INP-Greifswald, Felix-Hausdorff-Str. 2, 17489 Greifswald (Germany); Börner, K.; Burlacov, I.; Spies, H.-J. [TU Bergakademie Freiberg, Institute of Materials Engineering, Gustav-Zeuner-Str. 5, 09599 Freiberg (Germany); Strämke, M.; Strämke, S. [ELTRO GmbH, Arnold-Sommerfeld-Ring 3, 52499 Baesweiler (Germany)

    2015-12-15

    A laboratory scale plasma nitriding monitoring reactor (PLANIMOR) has been designed to study the basics of active screen plasma nitriding (ASPN) processes. PLANIMOR consists of a tube reactor vessel, made of borosilicate glass, enabling optical emission spectroscopy (OES) and infrared absorption spectroscopy. The linear setup of the electrode system of the reactor has the advantages to apply the diagnostic approaches on each part of the plasma process, separately. Furthermore, possible changes of the electrical field and of the heat generation, as they could appear in down-scaled cylindrical ASPN reactors, are avoided. PLANIMOR has been used for the nitriding of steel samples, achieving similar results as in an industrial scale ASPN reactor. A compact spectrometer using an external cavity quantum cascade laser combined with an optical multi-pass cell has been applied for the detection of molecular reaction products. This allowed the determination of the concentrations of four stable molecular species (CH{sub 4}, C{sub 2}H{sub 2}, HCN, and NH{sub 3}). With the help of OES, the rotational temperature of the screen plasma could be determined.

  9. Ion irradiation effects on ionic liquids interfaced with rf discharge plasmas

    International Nuclear Information System (INIS)

    Baba, K.; Kaneko, T.; Hatakeyama, R.

    2007-01-01

    The availability of plasma ion irradiation toward a gas-liquid interface is investigated in a rf discharge system incorporating an ionic liquid. The introduction of the ionic liquid to the plasma causes the formation of a sheath electric field on the ionic liquid surface, resulting in the acceleration of the ions to the ionic liquid and the generation of secondary electrons from the ionic liquid by the ion irradiation. These effects are found to advance the discharge process and enhance the plasma production

  10. Diagnostics of ballistic electrons in a dc/rf hybrid capacitively coupled discharge

    International Nuclear Information System (INIS)

    Xu Lin; Chen, Lee; Funk, Merritt; Ranjan, Alok; Hummel, Mike; Bravenec, Ron; Sundararajan, Radha; Economou, Demetre J.; Donnelly, Vincent M.

    2008-01-01

    The energy distribution of ballistic electrons in a dc/rf hybrid parallel-plate capacitively coupled plasma reactor was measured. Ballistic electrons originated as secondaries produced by ion and electron bombardment of the electrodes. The energy distribution of ballistic electrons peaked at the value of the negative bias applied to the dc electrode. As that bias became more negative, the ballistic electron current on the rf substrate electrode increased dramatically. The ion current on the dc electrode also increased

  11. RF plasma deposition of thin SixGeyCz:H films using a combination of organometallic source materials

    International Nuclear Information System (INIS)

    Rapiejko, C.; Gazicki-Lipman, M.; Klimek, L.; Szymanowski, H.; Strojek, M.

    2004-01-01

    Elements of the IV group of periodic table have been strongly present in the fast development of PECVD techniques for the last two decades at least. As a result, deposition technologies of such materials as a-Si:H, a-C:H, mμ-C:H or DLC have been successfully established. What has followed is an ever growing interest in binary systems of the A x (IV)B y (IV):H kind. One possible way to deposit such systems is to use organosilicon compounds (to deposit Si x C y :H films) or organogermanium compounds (to deposit Ge x C y :H films), as source substances. The present paper reports on a RF plasma deposition of a Si x Ge y C z :H ternary system, using a combination of organosilicon and organogermanium compounds. Thin Si/Ge/C films have been fabricated in a small volume (ca. 2 dm 3 ) parallel plate RF plasma reactor using, as a source material, a combination of tetramethylsilane (TMS) and tetramethylgermanium (TMG) vapours carried by argon. SEM investigations reveal a continuous compact character of the coatings and their uniform thickness. The elemental composition of the films has been studied using EDX analysis. The results of the analysis show that the elemental composition of the films can be controlled by both the TMG/TMS ratio of the initial mixture and the RF power input. Ellipsometric measurements show good homogeneity of these materials. Chemical bonding in the films has been studied using the FTIR technique. Bandgap calculations have been carried out using ellipsometric data and by applying both the Tauc law and the Moss approach

  12. Influence of ECR-RF plasma modification on surface and thermal properties of polyester copolymer

    Directory of Open Access Journals (Sweden)

    Fray Miroslawa El

    2015-12-01

    Full Text Available In this paper we report a study on influence of radio-frequency (RF plasma induced with electron cyclotron resonance (ECR on multiblock copolymer containing butylene terephthalate hard segments (PBT and butylene dilinoleate (BDLA soft segments. The changes in thermal properties were studied by DSC. The changes in wettability of PBT-BDLA surfaces were studied by water contact angle (WCA. We found that ECR-RF plasma surface treatment for 60 s led to decrease of WCA, while prolonged exposure of plasma led to increase of WCA after N2 and N2O2 treatment up to 70°–80°. The O2 reduced the WCA to 50°–56°. IR measurements confirmed that the N2O2 plasma led to formation of polar groups. SEM investigations showed that plasma treatment led to minor surfaces changes. Collectively, plasma treatment, especially O2, induced surface hydrophilicity what could be beneficial for increased cell adhesion in future biomedical applications of these materials.

  13. RF plasma parameter determination by a Langmuir multipoint double probe array

    International Nuclear Information System (INIS)

    Rojas-Olmedo, I A; López-Callejas, R; De la Piedad-Beneitez, A; Valencia-Alvarado, R; Peña-Eguiluz, R; Mercado-Cabrera, A; Barocio, S R; Muñoz-Castro, A E; Rodríguez-Méndez, B G

    2012-01-01

    A multipoint double Langmuir (MDL) probe system, which is exempt from interference, has been designed and assembled to be applied to an RF plasma. The system provides the measurement of fundamental plasma parameters such as density, temperature, plasma potential, etc. on the basis of the Bohm Approximation Theory and the Orbital Movement Limit. Thus, one pair of the MDL system is selected so as to consider the right plasma parameters within the prevailing pressure-power intervals. Both the hardware and software of the system have been applied to the modification of material properties by means of the PIII process.

  14. RF generated currents in a magnetized plasma using a slow wave structure

    International Nuclear Information System (INIS)

    Poole, B.R.; Cheo, B.R.; Kuo, S.P.; Tang, M.G.

    1983-01-01

    The generation of a dc current in a plasma by using RF waves is of importance for the operation of steadystate toroidal devices. An experimental investigation in the use of unidirectional, low frequency RF waves to drive currents has been made. Instead of using a natural plasma wave a slow wave guiding structure is used along the entire length of the plasma. When the RF wave is injected an increase in ionization and T/sub e/, and hence the background current is observed. However, the change depends on wave direction: The +k/sub z/ excitation yields a much larger electron current compared with the -k/sub z/ excitation indicating a net wave driven current. The measured modification in electron density and T/sub e/ is independent of wave direction. The current with a standing wave excitation generally falls at the average of the travelling wave (+ or - k/sub z/) driven currents. The net wave driven current is proportional to the feed power at approx. = 10 mA/kW. No saturation of the current is observed with feed powers up to 1 kW. Since the exciting structure is only 1 wavelength long, its k/sub z/ spectrum is relatively broad and hence no sharp resonances are observed as various plasma parameters and B/sub O/ are changed. There is no measurable difference between the power absorbed by the load resistors and the input power to the slow wave structure. Thus the current is driven by the wave field exclamation E exclamation 2 rather than the power absorbed in the plasma. The theoretical background and the physical mechanism is presented

  15. Gravimetrical and chemical characterization of SiOx structures deposited on fine powders by short plasma exposure in a plasma down stream reactor

    International Nuclear Information System (INIS)

    Spillmann, Adrian; Sonnenfeld, Axel; Rohr, Philipp Rudolf von

    2008-01-01

    The surface of lactose particles was modified by a plasma-enhanced chemical vapor deposition process to improve the flow behavior of the powder. For this, the particulates were treated in a plasma down stream reactor which provides a short (50 ms) and homogeneous exposure to the capacitively coupled RF discharge. The organosilicon monomer hexamethyldisiloxane (HMDSO) was used as a precursor for the formation of SiO x which is deposited on the substrate particle surface. For varying process gas mixtures (O 2 /Ar/HMDSO) and RF power applied, the amount of the deposited material was determined gravimetrically after dissolution of the lactose substrate particles and the chemical composition of the accumulated deposition material was investigated by means of attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy. The concentration of the deposited SiO x relating to the substrate material was found to be in the range of 0.1 wt.%. Based on the ATR-FTIR analysis, the inorganic, i.e. oxidic SiO x fraction of the obtained deposits was shown to be controllable by varying the process parameters, whilst a relatively large amount of organic structures must be considered.

  16. Conceptual design of fusion experimental reactor (FER)

    International Nuclear Information System (INIS)

    1984-01-01

    Conceptual Design of Fusion Experimental Reactor (FER) of which the objective will be to realize self-ignition with D-T reaction is reported. Mechanical Configurations of FER are characterized with a noncircular plasma and a double-null divertor. The primary aim of design studies is to demonstrate fissibility of reactor structures as compact and simple as possible with removable torus sectors. The structures of each component such as a first-wall, blanket, shielding, divertor, magnet and so on have been designed. It is also discussed about essential reactor plant system requirements. In addition to the above, a brief concept of a steady-state reactor based on RF current drive is also discussed. The main aim, in this time, is to examine physical studies of a possible RF steady-state reactor. (author)

  17. Time-resolved measurements of highly-polymerised negative ions in rf silane plasma deposition experiments

    International Nuclear Information System (INIS)

    Howling, A.A.; Sansonnens, L.; Dorier, J.L.; Hollenstein, C.

    1993-07-01

    The time-resolved fluxes of negative polysilicon hydride ions from a power-modulated rf silane plasma have been measured by quadrupole mass spectrometry and modeled using a simple polymerisation scheme. Experiments were performed with plasma parameters suitable for high-quality amorphous silicon deposition. Polysilicon hydride anions diffuse from the plasma with low energy (approximately 0.5 eV) during the afterglow after the electron density has decayed and the sheath fields have collapsed. The mass-dependence of the temporal behavior of the anion loss flux demonstrates that the plasma composition is influenced by the modulation frequency. The negative species attain much higher masses than the positive or neutral species, and anions containing as many as sixteen silicon atoms have been observed, corresponding to the 500 amu limit of the mass spectrometer. This suggests that negative ions could be the precursors to particle formation. Ion-molecule and ion-ion reactions are discussed and a simple negative ion polymerisation scheme is proposed which qualitatively reproduces the experimental results. The model shows that the densities of high mass negative ions in the plasma are strongly reduced by modulation frequencies near 1 kHz. Each plasma period is then too short for the polymerisation chain to propagate to high masses before the elementary anions are lost in each subsequent afterglow period. This explains why modulation of the rf power can reduce particle contamination. We conclude that, for the case of silane rf plasmas, the initiation steps which ultimately lead to particle contamination proceed by negative ion polymerisation. (author) 15 figs., 72 refs

  18. Plasma-gun fueling for tokamak reactors

    International Nuclear Information System (INIS)

    Ehst, D.A.

    1980-11-01

    In light of the uncertain extrapolation of gas puffing for reactor fueling and certain limitations to pellet injection, the snowplow plasma gun has been studied as a fueling device. Based on current understanding of gun and plasma behavior a design is proposed, and its performance is predicted in a tokamak reactor environment

  19. RF-heating and plasma confinement studies in HANBIT mirror device

    International Nuclear Information System (INIS)

    Kwon, M.; Bak, J.G.; Choh, K.K.

    2003-01-01

    HANBIT is a magnetic mirror confinement device. Recently, with almost finishing the first campaign for the basic system development, it started the second campaign for the high-temperature plasma confinement physics study in mirror configuration. Here, we introduce briefly the HANBIT device and report initial physics experiments results on RF-plasma heating and confinement in the simple mirror configuration. It appears that the discharge characteristics of HANBIT are quite different from those in other mirror devices, and an explanation is presented to clarify the difference. (author)

  20. Styrene and methyl methacrylate copolymer synthesized by RF inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Li, Z; Gillon, X; Diallo, M; Houssiau, L; Pireaux, J-J, E-mail: zhiling.li@fundp.ac.be [University of Namur (FUNDP) Research Centre in Physics of Matter and Radiation (PMR), 61, Rue de Bruxelles, 5000 Namur (Belgium)

    2011-01-01

    A series of random copolymers of styrene and methyl methacrylate was prepared on a silicon substrate by RF pulsed inductively coupled plasma. The plasma gas phase was investigated by optical emission spectroscopy (OES). The physico-chemical characteristics of the deposited copolymer films were analyzed by several surface techniques: X-ray photoelectron spectroscopy (XPS), Fourier-Transform infrared absorption (FT-IR), Time-of-flight secondary ion mass spectrometry (ToF-SIMS), etc. OES of the plasma and FT-IR spectra of the films are predictive: plasma emitting a higher relative benzyl radical signal results in the deposition of a more aromatic plasma polymer. The functional thin films can be deposited by selection of the co-monomers.

  1. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Science.gov (United States)

    Upadhyay, J.; Palczewski, A.; Popović, S.; Valente-Feliciano, A.-M.; Im, Do; Phillips, H. L.; Vušković, L.

    2017-12-01

    An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF) accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity's inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  2. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2017-12-01

    Full Text Available An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity’s inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  3. Influence of DC arc jets on flow fields analyzed by an integrated numerical model for a DC-RF hybrid plasma

    International Nuclear Information System (INIS)

    Seo, Jun Ho; Park, Jin Myung; Hong, Sang Hee

    2008-01-01

    The influence of DC arc jets on the flow fields in a hybrid plasma torch is numerically analyzed by an integrated direct current-radio frequency (DC-RF) plasma model based on magneto-hydrodynamic formulations. The calculated results reveal that the increase in DC arc gas flow rate raises the axial flow velocity along the central column of the DC-RF hybrid plasma together with the enhanced backflow streams in the peripheral wall region. The temperature profiles on the torch exit plane are little affected due to the reheating process of the central column by the combined RF plasma. Accordingly, the exit enthalpy emitted from the DC-RF hybrid torch can be concentrated to the central column of the plasma and controlled by adjusting the DC arc gas flow rate. The swirl in the sheath gas flow turns out to have the opposite effect on the DC arc gas flow rate. The swirling motion of the sheath gas can reduce the back flows near the induction tube wall as well as the axial velocities in the central column of the plasma. Accordingly, the swirl in the sheath gas flow can be used for the functional operation of the DC-RF hybrid plasma along with the DC arc gas flow rate to suppress the back flows at the wall region and to reduce the excessive interactions between the DC arc jet and the ambient RF plasmas. The effects of DC input current on the flow fields of hybrid plasma are similar to those of the DC arc gas flow rate, but the axial velocities for the higher current relatively quickly decay along the centerline. This is in contrast to the increase in the axial velocity remaining in proportion to the increase in the DC arc gas flow rate all the way up to the exit of the DC-RF hybrid plasma. Accordingly, the present integrated numerical analysis suggests that the hybrid plasma field profiles and the entrainment of ambient air from the torch exit are controllable by adjusting the DC arc gas flow rate, the DC input current and swirl in the sheath gas flow taking advantage of

  4. Ion cyclotron emission in tokamak plasmas; Emission cyclotronique ionique dans les plasmas de tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Fraboulet, D.

    1996-09-17

    Detection of {alpha}(3.5 MeV) fusion products will be of major importance for the achievement of self sustained discharges in fusion thermonuclear reactors. Due to their cyclotronic gyration in the confining magnetic field of a tokamak, {alpha} particles are suspected to radiate in the radio-frequency band [RF: 10-500 MHz]. Our aim is to determine whether detection of RF emission radiated from a reactor plasma can provide information concerning those fusion products. We observed experimentally that the RF emission radiated from fast ions situated in the core of the discharge is detectable with a probe located at the plasma edge. For that purpose, fast temporal acquisition of spectral power was achieved in a narrow frequency band. We also propose two complementary models for this emission. In the first one, we describe locally the energy transfer between the photon population and the plasma and we compute the radiation equilibrium taking place in the tokamak. {alpha} particles are not the unique species involved in the equilibrium and it is necessary to take into account all other species present in the plasma (Deuterium, Tritium, electrons,...). Our second model consists in the numerical resolution of the Maxwell-Vlasov with the use of a variational formulation, in which all polarizations are considered and the 4 first cyclotronic harmonics are included in a 1-D slab geometry. The development of this second model leads to the proposal for an experimental set up aiming to the feasibility demonstration of a routine diagnostic providing the central {alpha} density in a reactor. (author). 166 refs.

  5. High field side launch of RF waves: A new approach to reactor actuators

    Science.gov (United States)

    Wallace, G. M.; Baek, S. G.; Bonoli, P. T.; Faust, I. C.; LaBombard, B. L.; Lin, Y.; Mumgaard, R. T.; Parker, R. R.; Shiraiwa, S.; Vieira, R.; Whyte, D. G.; Wukitch, S. J.

    2015-12-01

    Launching radio frequency (RF) waves from the high field side (HFS) of a tokamak offers significant advantages over low field side (LFS) launch with respect to both wave physics and plasma material interactions (PMI). For lower hybrid (LH) waves, the higher magnetic field opens the window between wave accessibility (n∥≡c k∥/ω >√{1 -ωpi 2/ω2+ωpe 2/ωce 2 }+ωp e/|ωc e| ) and the condition for strong electron Landau damping (n∥˜√{30 /Te } with Te in keV), allowing LH waves from the HFS to penetrate into the core of a burning plasma, while waves launched from the LFS are restricted to the periphery of the plasma. The lower n∥ of waves absorbed at higher Te yields a higher current drive efficiency as well. In the ion cyclotron range of frequencies (ICRF), HFS launch allows for direct access to the mode conversion layer where mode converted waves absorb strongly on thermal electrons and ions, thus avoiding the generation of energetic minority ion tails. The absence of turbulent heat and particle fluxes on the HFS, particularly in double null configuration, makes it the ideal location to minimize PMI damage to the antenna structure. The quiescent SOL also eliminates the need to couple LH waves across a long distance to the separatrix, as the antenna can be located close to plasma without risking damage to the structure. Improved impurity screening on the HFS will help eliminate the long-standing issues of high Z impurity accumulation with ICRF. Looking toward a fusion reactor, the HFS is the only possible location for a plasma-facing RF antenna that will survive long-term. By integrating the antenna into the blanket module it is possible to improve the tritium breeding ratio compared with an antenna occupying an equatorial port plug. Blanket modules will require remote handling of numerous cooling pipes and electrical connections, and the addition of transmission lines will not substantially increase the level of complexity. The obvious engineering

  6. RF-heating of plasma in the frequency domain of the ion cyclotron harmonics

    International Nuclear Information System (INIS)

    Hahnekamp, H.G.; Stampa, A.; Tuczek, H.; Laeuter, R.; Wulf, H.O.

    1976-01-01

    Experiments on rf-heating of plasmas in the frequency domain of the ion cyclotron harmonics are reported. The rf-power is coupled to the magneto-acoustic wave for frequencies between ωsub(ci) and 5ωsub(ci). The measurements indicate that the damping of the pump wave is mainly due to the excitation of turbulence, whereas direct resonance at 2ωsub(ci) seems to be of minor importance

  7. Development of localized arc filament RF plasma actuators for high-speed and high Reynolds number flow control

    International Nuclear Information System (INIS)

    Kim, J.-H.; Nishihara, M.; Adamovich, I.V.; Samimy, M.; Gorbatov, S.V.; Pliavaka, F.V.

    2010-01-01

    Recently developed localized arc filament plasma actuators (LAFPAs) have shown tremendous control authority in high-speed and high Reynolds number flow for mixing enhancement and noise mitigation. Previously, these actuators were powered by a high-voltage pulsed DC plasma generator with low energy coupling efficiency of 5-10%. In the present work, a new custom-designed 8-channel pulsed radio frequency (RF) plasma generator has been developed to power up to 8 plasma actuators operated over a wide range of forcing frequencies (up to 50 kHz) and duty cycles (1-50%), and at high energy coupling efficiency (up to 80-85%). This reduces input electrical power requirements by approximately an order of magnitude, down to 12 W per actuator operating at 10% duty cycle. The new pulsed RF plasma generator is scalable to a system with a large number of channels. Performance of pulsed RF plasma actuators used for flow control was studied in a Mach 0.9 circular jet with a Reynolds number of about 623,000 and compared with that of pulsed DC actuators. Eight actuators were distributed uniformly on the perimeter of a 2.54-cm diameter circular nozzle extension. Both types of actuators coupled approximately the same amount of power to the flow, but with drastically different electrical inputs to the power supplies. Particle image velocimetry measurements showed that jet centerline Mach number decay produced by DC and RF actuators operating at the same forcing frequencies and duty cycles is very similar. At a forcing Strouhal number near 0.3, close to the jet column instability frequency, well-organized periodic structures, with similar patterns and dimensions, were generated in the jets forced by both DC and RF actuators. Far-field acoustic measurements demonstrated similar trends in the overall sound pressure level (OASPL) change produced by both types of actuators, resulting in OASPL reduction up to 1.2-1.5 dB in both cases. We conclude that pulsed RF actuators demonstrate flow

  8. RF power absorption by plasma of low pressure low power inductive discharge located in the external magnetic field

    Science.gov (United States)

    Kralkina, E. A.; Rukhadze, A. A.; Nekliudova, P. A.; Pavlov, V. B.; Petrov, A. K.; Vavilin, K. V.

    2018-03-01

    Present paper is aimed to reveal experimentally and theoretically the influence of magnetic field strength, antenna shape, pressure, operating frequency and geometrical size of plasma sources on the ability of plasma to absorb the RF power characterized by the equivalent plasma resistance for the case of low pressure RF inductive discharge located in the external magnetic field. The distinguishing feature of the present paper is the consideration of the antennas that generate not only current but charge on the external surface of plasma sources. It is shown that in the limited plasma source two linked waves can be excited. In case of antennas generating only azimuthal current the waves can be attributed as helicon and TG waves. In the case of an antenna with the longitudinal current there is a surface charge on the side surface of the plasma source, which gives rise to a significant increase of the longitudinal and radial components of the RF electric field as compared with the case of the azimuthal antenna current.

  9. Roles of plasma neutron source reactor in development of fusion reactor engineering: Comparison with fission reactor engineering

    International Nuclear Information System (INIS)

    Hirayama, Shoichi; Kawabe, Takaya

    1995-01-01

    The history of development of fusion power reactor has come to a turning point, where the main research target is now shifting from the plasma heating and confinement physics toward the burning plasma physics and reactor engineering. Although the development of fusion reactor system is the first time for human beings, engineers have experience of development of fission power reactor. The common feature between them is that both are plants used for the generation of nuclear reactions for the production of energy, nucleon, and radiation on an industrial scale. By studying the history of the development of the fission reactor, one can find the existence of experimental neutron reactors including irradiation facilities for fission reactor materials. These research neutron reactors played very important roles in the development of fission power reactors. When one considers the strategy of development of fusion power reactors from the points of fusion reactor engineering, one finds that the fusion neutron source corresponds to the neutron reactor in fission reactor development. In this paper, the authors discuss the roles of the plasma-based neutron source reactors in the development of fusion reactor engineering, by comparing it with the neutron reactors in the history of fission power development, and make proposals for the strategy of the fusion reactor development. 21 refs., 6 figs

  10. Gas and plasma dynamics of RF discharge jet of low pressure in a vacuum chamber with flat electrodes and inside tube, influence of RF discharge on the steel surface parameters

    Science.gov (United States)

    Khristoliubova, V. I.; Kashapov, N. F.; Shaekhov, M. F.

    2016-06-01

    Researches results of the characteristics of the RF discharge jet of low pressure and the discharge influence on the surface modification of high speed and structural steels are introduced in the article. Gas dynamics, power and energy parameters of the RF low pressure discharge flow in the discharge chamber and the electrode gap are studied in the presence of the materials. Plasma flow rate, discharge power, the concentration of electrons, the density of RF power, the ion current density, and the energy of the ions bombarding the surface materials are considered for the definition of basic properties crucial for the process of surface modification of materials as they were put in the plasma jet. The influence of the workpiece and effect of products complex configuration on the RF discharge jet of low pressure is defined. The correlation of the input parameters of the plasma unit on the characteristics of the discharge is established.

  11. Simulation of spatially dependent excitation rates and power deposition in RF discharges for plasma processing

    International Nuclear Information System (INIS)

    Kushner, M.J.; Anderson, H.M.; Hargis, P.J.

    1985-01-01

    In low pressure, radio frequency (RF) discharges of the type used in plasma processing of semiconductor materials, the rate of electron impact excitation and energy transfer processes depends upon both the phase of the RF excitation and position in the discharge. Electron impact collisions create radicals that diffuse or drift to the surfaces of interest where they are adsorbed or otherwise react. To the extent that these radicals have a finite lifetime, their transport time from point of creation to surface of interest is an important parameter. The spatial dependence of the rate of the initial electron impact collisions is therefore also an important parameter. The power that sustains the discharge is coupled into the system by two mechanisms: a high energy e-beam component of the electron distribution resulting from electrons falling through or being accelerated by the sheaths, and by joule heating in the body of the plasma. In this paper, the authors discuss the spatial dependence of excitation rates and the method of power deposition iin RF discharges of the type used for plasma processing

  12. RF plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Davidson, Ronald C.; Yu, Simon S.; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being used as a medium for charge neutralizing heavy ion beams in order to focus the ion beam to a small spot size. A radio frequency (RF) plasma source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The goal is to operate the source at pressures ∼ 10 -5 Torr at full ionization. The initial operation of the source has been at pressures of 10 -4 -10 -1 Torr and electron densities in the range of 10 8 -10 11 cm -3 . Recently, pulsed operation of the source has enabled operation at pressures in the 10 -6 Torr range with densities of 10 11 cm -3 . Near 100% ionization has been achieved. The source has been integrated with the NTX facility and experiments have begun

  13. Application of epifluorescence scanning for monitoring the efficacy of protein removal by RF gas-plasma decontamination

    Energy Technology Data Exchange (ETDEWEB)

    Baxter, Helen C; Richardson, Patricia R; Campbell, Gaynor A; Jones, Anita C; Baxter, Robert L [School of Chemistry, Joseph Black Chemistry Building, University of Edinburgh, West Mains Road, Edinburgh EH9 3JJ (United Kingdom); Kovalev, Valeri I; Maier, Robert; Barton, James S [School of Engineering and Physical Science, Heriot-Watt University, Edinburgh EH14 4AS (United Kingdom); DeLarge, Greg [Plasma Etch Inc, 3522 Arrowhead Drive, Carson City, NV 89706 (United States); Casey, Mark [Sterile Services Department, Royal Infirmary of Edinburgh, Edinburgh EH16 4AS (United Kingdom)], E-mail: r.baxter@ed.ac.uk

    2009-11-15

    The development of methods for measuring the efficiency of gas-plasma decontamination has lagged far behind application. An approach to measuring the efficiency of protein removal from solid surfaces using fluorescein-labelled bovine serum albumin and epifluorescence scanning (EFSCAN) is described. A method for fluorescently labelling proteins, which are adsorbed and denatured on metal surfaces, has been developed. Both approaches have been used to evaluate the efficiency of radio frequency (RF) gas-plasma decontamination protocols. Examples with 'real' surgical instruments demonstrate that an argon-oxygen RF gas-plasma treatment can routinely reduce the protein load by about three orders of magnitude beyond that achieved by current decontamination methods.

  14. Application of epifluorescence scanning for monitoring the efficacy of protein removal by RF gas-plasma decontamination

    International Nuclear Information System (INIS)

    Baxter, Helen C; Richardson, Patricia R; Campbell, Gaynor A; Jones, Anita C; Baxter, Robert L; Kovalev, Valeri I; Maier, Robert; Barton, James S; DeLarge, Greg; Casey, Mark

    2009-01-01

    The development of methods for measuring the efficiency of gas-plasma decontamination has lagged far behind application. An approach to measuring the efficiency of protein removal from solid surfaces using fluorescein-labelled bovine serum albumin and epifluorescence scanning (EFSCAN) is described. A method for fluorescently labelling proteins, which are adsorbed and denatured on metal surfaces, has been developed. Both approaches have been used to evaluate the efficiency of radio frequency (RF) gas-plasma decontamination protocols. Examples with 'real' surgical instruments demonstrate that an argon-oxygen RF gas-plasma treatment can routinely reduce the protein load by about three orders of magnitude beyond that achieved by current decontamination methods.

  15. Applications of plasma core reactors to terrestrial energy systems

    International Nuclear Information System (INIS)

    Lantham, T.S.; Biancardi, F.R.; Rodgers, R.J.

    1974-01-01

    Plasma core reactors offer several new options for future energy needs in addition to space power and propulsion applications. Power extraction from plasma core reactors with gaseous nuclear fuel allows operation at temperatures higher than conventional reactors. Highly efficient thermodynamic cycles and applications employing direct coupling of radiant energy are possible. Conceptual configurations of plasma core reactors for terrestrail applications are described. Closed-cycle gas turbines, MHD systems, photo- and thermo-chemical hydrogen production processes, and laser systems using plasma core reactors as prime energy sources are considered. Cycle efficiencies in the range of 50 to 65 percent are calculated for closed-cycle gas turbine and MHD electrical generators. Reactor advantages include continuous fuel reprocessing which limits inventory of radioactive by-products and thorium-U-233 breeder configurations with about 5-year doubling times

  16. Diagnostics of an rf induction plasma torch with the aid of a magnetic probe

    International Nuclear Information System (INIS)

    Shamim, A.; Wooding, E.R.

    1978-01-01

    Estimates of a plasma temperature, electrical conductivity, and torch efficiency have been made from simple measurements made on the plasma and on the rf supply. Measurements were made with the aid of a simple magnetic probe and a pickup coil. Estimates are also made of the heating-coil constants

  17. Simulation of plasma loading of high-pressure RF cavities

    Energy Technology Data Exchange (ETDEWEB)

    Yu, K. [Brookhaven National Lab. (BNL), Upton, NY (United States). Computational Science Initiative; Samulyak, R. [Brookhaven National Lab. (BNL), Upton, NY (United States). Computational Science Initiative; Stony Brook Univ., NY (United States). Dept. of Applied Mathematics and Statistics; Yonehara, K. [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Freemire, B. [Northern Illinois Univ., DeKalb, IL (United States)

    2018-01-11

    Muon beam-induced plasma loading of radio-frequency (RF) cavities filled with high pressure hydrogen gas with 1% dry air dopant has been studied via numerical simulations. The electromagnetic code SPACE, that resolves relevant atomic physics processes, including ionization by the muon beam, electron attachment to dopant molecules, and electron-ion and ion-ion recombination, has been used. Simulations studies have also been performed in the range of parameters typical for practical muon cooling channels.

  18. Simulation of plasma loading of high-pressure RF cavities

    Science.gov (United States)

    Yu, K.; Samulyak, R.; Yonehara, K.; Freemire, B.

    2018-01-01

    Muon beam-induced plasma loading of radio-frequency (RF) cavities filled with high pressure hydrogen gas with 1% dry air dopant has been studied via numerical simulations. The electromagnetic code SPACE, that resolves relevant atomic physics processes, including ionization by the muon beam, electron attachment to dopant molecules, and electron-ion and ion-ion recombination, has been used. Simulations studies have been performed in the range of parameters typical for practical muon cooling channels.

  19. Plasma engineering innovations for the ORNL TNS reactor

    International Nuclear Information System (INIS)

    Peng, Y.K.M.; Houlberg, W.A.; Mense, A.T.; Rome, J.A.; Uckan, N.A.

    1977-01-01

    Recent plasma engineering studies have ascertained a viable concept for The Next Step (TNS) reactor based on medium toroidal fields between 4 T and 7 T at the plasma center, plasma β values up to 10 percent and averaged densities between 0.6 x 10 14 cm -3 and 2.5 x 10 14 cm -3 . Plasma engineering innovations that can substantially reduce the size, cost, and complexity of the TNS reactor have been explored and are summarized. It is shown that the previously anticipated requirement of high pellet velocities can be substantially reduced; the toroidal field (TF) ripple requirements may be relaxed to reduce the number of TF coils and improve machine access; hybrid equilibrium field (EF) coils have been shown to require building only small interior coils and to reduce the power supply required by the exterior coils; proper approaches of microwave plasma preheating may reduce the peak loop voltage for start-up by an order of magnitude. The medium-field TNS reactor concepts and the plasma engineering innovations discussed should be applicable to other designs of tokamak reactors

  20. Frequency effects in silane plasmas for PECVD

    International Nuclear Information System (INIS)

    Howling, A.A.; Dorier, J.L.; Hollenstein, C.; Finger, F.; Kroll, U.

    1991-09-01

    It is generally recognised that the excitation frequency is an important parameter in rf plasma-assisted deposition. VHF silane plasmas (50-100 MHz) have been shown to produce high quality amorphous silicon films up to 20 A/s, and therefore the aim of this work is to compare the VHF range with the 13.56 MHz industrial frequency in the same reactor. The principal diagnostics used are electrical measurements and a CCD camera for spatially-resolved plasma-induced emission with Abel inversion of the plasma image. We present a comparative study of key discharge parameters such as deposition rates, plasma uniformity, ion impact energy, power transfer efficiency and powder formation for the rf range 13-70 MHz. (author) 5 figs., 19 refs

  1. Aging and its circumvention in rf-plasma oxidized Pb-alloy Josephson junctions

    International Nuclear Information System (INIS)

    Wada, M.; Nakano, J.

    1987-01-01

    The aging phenomenon of Pb-alloy Josephson junctions is investigated and an effective method of circumventing it is presented. Junctions consist of Pb-alloy electrodes and a tunneling barrier formed by rf-plasma oxidation of the Pb-alloy. First, aging and annealing-driven change in normal tunneling resistance are compared to verify the usage of annealing as an experimental method for simulation and acceleration of aging. Next, process variables affecting the annealing change in junction characteristics are examined and their influence is described. The importance of the oxide-base electrode interface is confirmed and that of the counterelectrode-oxide interface is experimentally shown. Furthermore, possible changes in the oxide itself are discussed. Finally, on the basis of these studies, rf-plasma oxidation in a CO 2 atmosphere is employed and proven to be an effective method for circumventing the annealing change in the junction characteristics

  2. RF plasma nitriding of severely deformed iron-based alloys

    International Nuclear Information System (INIS)

    Ferkel, H.; Glatzer, M.; Estrin, Y.; Valiev, R.Z.; Blawert, C.; Mordike, B.L.

    2003-01-01

    The effect of severe plastic deformation by cold high pressure torsion (HPT) on radio frequency (RF) plasma nitriding of pure iron, as well as St2K50 and X5CrNi1810 steels was investigated. Nitriding was carried out for 3 h in a nitrogen atmosphere at a pressure of 10 -5 bar and temperatures of 350 and 400 deg. C. Nitrided specimens were analysed by scanning electron microscopy (SEM), X-ray diffraction and micro hardness measurements. It was found that HPT enhances the effect of nitriding leading almost to doubling of the thickness of the nitrided layer for pure iron and the high alloyed steel. The largest increase in hardness was observed when HPT was combined with RF plasma nitriding at 350 deg. C. In the case of pure iron, the X-ray diffraction spectra showed the formation of ε and γ' nitrides in the compound layer, with a preferential formation of γ' at the expense of the α-phase at the higher nitriding temperature. The corresponding surface hardness was up to 950 HV0.01. While the HPT-processed St2K50 exhibits both nitride phases after nitriding at 350 deg. C, only the γ'-phase was observed after nitriding at 400 deg. C. A surface hardness of up to 1050 HV0.01 was measured for this steel. The high alloyed steel X5CrNi1810 exhibited the highest increase in surface hardness when HPT was combined with nitriding at 350 deg. C. The surface hardness of this steel was greater than 1400 HV0.025. The XRD analyses indicate the formation of the expanded austenite (S-phase) in the surface layer as a result of RF plasma nitriding. Furthermore, after HPT X5CrNi1810 was transformed completely into deformation martensite which did not transform back to austenite under thermochemical treatment. However, in the case of nitriding of the HPT-processed high alloyed steel at 400 deg. C, the formation of the S-phase was less pronounced. In view of the observed XRD peak broadening, the formation of nitrides, such as e.g. CrN, cannot be ruled out

  3. Simulation of rarefied low pressure RF plasma flow around the sample

    Science.gov (United States)

    Zheltukhin, V. S.; Shemakhin, A. Yu

    2017-01-01

    The paper describes a mathematical model of the flow of radio frequency plasma at low pressure. The hybrid mathematical model includes the Boltzmann equation for the neutral component of the RF plasma, the continuity and the thermal equations for the charged component. Initial and boundary conditions for the corresponding equations are described. The electron temperature in the calculations is 1-4 eV, atoms temperature in the plasma clot is (3-4) • 103 K, in the plasma jet is (3.2-10) • 102 K, the degree of ionization is 10-7-10-5, electron density is 1015-1019 m-3. For calculations plasma parameters is developed soft package on C++ program language, that uses the OpenFOAM library package. Simulations for the vacuum chamber in the presence of a sample and the free jet flow were carried out.

  4. Nonlinear plasma experiments in geospace with gigawatts of RF power at HAARP

    Energy Technology Data Exchange (ETDEWEB)

    Sheerin, J. P., E-mail: jsheerin@emich.edu [Physics and Astronomy, Eastern Michigan Univ., Ypsilanti, MI 48197 (United States); Cohen, Morris B., E-mail: mcohen@gatech.edu [Electrical and Computer Engineering, Georgia Tech, Atlanta, GA 30332-0250 (United States)

    2015-12-10

    The ionosphere is the ionized uppermost layer of our atmosphere (from 70 – 500 km altitude) where free electron densities yield peak critical frequencies in the HF (3 – 30 MHz) range. The ionosphere thus provides a quiescent plasma target, stable on timescales of minutes, for a whole host of active plasma experiments. High power RF experiments on ionospheric plasma conducted in the U.S. have been reported since 1970. The largest HF transmitter built to date is the HAARP phased-array HF transmitter near Gakona, Alaska which can deliver up to 3.6 Gigawatts (ERP) of CW RF power in the range of 2.8 – 10 MHz to the ionosphere with microsecond pointing, power modulation, and frequency agility. With an ionospheric background thermal energy in the range of only 0.1 eV, this amount of power gives access to the highest regimes of the nonlinearity (RF intensity to thermal pressure) ratio. HAARP’s unique features have enabled the conduct of a number of unique nonlinear plasma experiments in the interaction region of overdense ionospheric plasma including generation of artificial aurorae, artificial ionization layers, VLF wave-particle interactions in the magnetosphere, parametric instabilities, stimulated electromagnetic emissions (SEE), strong Langmuir turbulence (SLT) and suprathermal electron acceleration. Diagnostics include the Modular UHF Ionospheric Radar (MUIR) sited at HAARP, the SuperDARN-Kodiak HF radar, spacecraft radio beacons, HF receivers to record stimulated electromagnetic emissions (SEE) and telescopes and cameras for optical emissions. We report on short timescale ponderomotive overshoot effects, artificial field-aligned irregularities (AFAI), the aspect angle dependence of the intensity of the HF-enhanced plasma line, and production of suprathermal electrons. One of the primary missions of HAARP, has been the generation of ELF (300 – 3000 Hz) and VLF (3 – 30 kHz) radio waves which are guided to global distances in the Earth

  5. Plasma Reactors and Plasma Thrusters Modeling by Ar Complete Global Models

    Directory of Open Access Journals (Sweden)

    Chloe Berenguer

    2012-01-01

    Full Text Available A complete global model for argon was developed and adapted to plasma reactor and plasma thruster modeling. It takes into consideration ground level and excited Ar and Ar+ species and the reactor and thruster form factors. The electronic temperature, the species densities, and the ionization percentage, depending mainly on the pressure and the absorbed power, have been obtained and commented for various physical conditions.

  6. Application of capacitively coupled rf discharge plasma for sterilization of polymer materials used in ophthalmology

    International Nuclear Information System (INIS)

    Abdullin, I.Sh.; Avetisov, S.E.; Lipatov, D.V.; Rybakova, E.G.; Bragin, V.E.; Bykanov, A.N.; Kamarentsev, E.N.

    1996-01-01

    The sterilization effect of capacitively coupled rf discharge plasma treatment of contact lenses was investigated. There were used two types of polymer: highly hydrophilic polymer with water content 76% (Navelen-76) and poly-methylmethacrylate (PMMA). There was demonstrated the possibility of effective sterilization by RF discharge plasma of a set of polymer materials used in ophthalmology. The best results were obtained for hard contact lenses. There was perfect sterilization in this case. There were not perfect sterilization in some cases of soft contact lenses treatment. It may be caused by porous structure of the external layers of this material and limited thickness of the sterilization layer. (author)

  7. Hybrid Plasma Reactor/Filter for Transportable Collective Protection Systems

    Energy Technology Data Exchange (ETDEWEB)

    Josephson, Gary B.; Tonkyn, Russell G.; Frye, J. G.; Riley, Brian J.; Rappe, Kenneth G.

    2011-04-06

    Pacific Northwest National Laboratory (PNNL) has performed an assessment of a Hybrid Plasma/Filter system as an alternative to conventional methods for collective protection. The key premise of the hybrid system is to couple a nonthermal plasma (NTP) reactor with reactive adsorption to provide a broader envelope of protection than can be provided through a single-solution approach. The first step uses highly reactive species (e.g. oxygen radicals, hydroxyl radicals, etc.) created in a nonthermal plasma (NTP) reactor to destroy the majority (~75% - 90%) of an incoming threat. Following the NTP reactor an O3 reactor/filter uses the O3 created in the NTP reactor to further destroy the remaining organic materials. This report summarizes the laboratory development of the Hybrid Plasma Reactor/Filter to protect against a ‘worst-case’ simulant, methyl bromide (CH3Br), and presents a preliminary engineering assessment of the technology to Joint Expeditionary Collective Protection performance specifications for chemical vapor air purification technologies.

  8. ADX: a high field, high power density, advanced divertor and RF tokamak

    Science.gov (United States)

    LaBombard, B.; Marmar, E.; Irby, J.; Terry, J. L.; Vieira, R.; Wallace, G.; Whyte, D. G.; Wolfe, S.; Wukitch, S.; Baek, S.; Beck, W.; Bonoli, P.; Brunner, D.; Doody, J.; Ellis, R.; Ernst, D.; Fiore, C.; Freidberg, J. P.; Golfinopoulos, T.; Granetz, R.; Greenwald, M.; Hartwig, Z. S.; Hubbard, A.; Hughes, J. W.; Hutchinson, I. H.; Kessel, C.; Kotschenreuther, M.; Leccacorvi, R.; Lin, Y.; Lipschultz, B.; Mahajan, S.; Minervini, J.; Mumgaard, R.; Nygren, R.; Parker, R.; Poli, F.; Porkolab, M.; Reinke, M. L.; Rice, J.; Rognlien, T.; Rowan, W.; Shiraiwa, S.; Terry, D.; Theiler, C.; Titus, P.; Umansky, M.; Valanju, P.; Walk, J.; White, A.; Wilson, J. R.; Wright, G.; Zweben, S. J.

    2015-05-01

    The MIT Plasma Science and Fusion Center and collaborators are proposing a high-performance Advanced Divertor and RF tokamak eXperiment (ADX)—a tokamak specifically designed to address critical gaps in the world fusion research programme on the pathway to next-step devices: fusion nuclear science facility (FNSF), fusion pilot plant (FPP) and/or demonstration power plant (DEMO). This high-field (⩾6.5 T, 1.5 MA), high power density facility (P/S ˜ 1.5 MW m-2) will test innovative divertor ideas, including an ‘X-point target divertor’ concept, at the required performance parameters—reactor-level boundary plasma pressures, magnetic field strengths and parallel heat flux densities entering into the divertor region—while simultaneously producing high-performance core plasma conditions that are prototypical of a reactor: equilibrated and strongly coupled electrons and ions, regimes with low or no torque, and no fuelling from external heating and current drive systems. Equally important, the experimental platform will test innovative concepts for lower hybrid current drive and ion cyclotron range of frequency actuators with the unprecedented ability to deploy launch structures both on the low-magnetic-field side and the high-magnetic-field side—the latter being a location where energetic plasma-material interactions can be controlled and favourable RF wave physics leads to efficient current drive, current profile control, heating and flow drive. This triple combination—advanced divertors, advanced RF actuators, reactor-prototypical core plasma conditions—will enable ADX to explore enhanced core confinement physics, such as made possible by reversed central shear, using only the types of external drive systems that are considered viable for a fusion power plant. Such an integrated demonstration of high-performance core-divertor operation with steady-state sustainment would pave the way towards an attractive pilot plant, as envisioned in the ARC concept

  9. Removal of carbon contaminations by RF plasma generated reactive species and subsequent effects on optical surface

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, P. K., E-mail: praveenyadav@rrcat.gov.in; Rai, S. K.; Modi, M. H.; Nayak, M.; Lodha, G. S. [Indus Synchrotron Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India); Kumar, M.; Chakera, J. A.; Naik, P. A. [Laser Plasma Laboratory, Laser Plasma Division, Raja Ramanna Centre for Advanced Technology, Indore-452013 (India)

    2015-06-24

    Carbon contamination on optical elements is a serious issue in synchrotron beam lines for several decades. The basic mechanism of carbon deposition on optics and cleaning strategies are not fully understood. Carbon growth mechanism and optimized cleaning procedures are worldwide under development stage. Optimized RF plasma cleaning is considered an active remedy for the same. In present study carbon contaminated optical test surfaces (carbon capped tungsten thin film) are exposed for 30 minutes to four different gases, rf plasma at constant power and constant dynamic pressure. Structural characterization (thickness, roughness and density) of virgin samples and plasma exposed samples was done by soft x-ray (λ=80 Å) reflectivity measurements at Indus-1 reflectivity beam line. Different gas plasma removes carbon with different rate (0.4 to 0.65 nm /min). A thin layer 2 to 9 nm of different roughness and density is observed at the top surface of tungsten film. Ar gas plasma is found more suitable for cleaning of tungsten surface.

  10. rf coupler technology for fusion applications

    International Nuclear Information System (INIS)

    Hoffman, D.J.

    1983-01-01

    Radio frequency (rf) oscillations at critical frequencies have successfully provided a means to convey power to fusion plasmas due to the electrical-magnetic properties of the plasma. While large rf systems to couple power to the plasma have been designed, built, and tested, the main link to the plasma, the coupler, is still in an evolutionary stage of development. Design and fabrication of optimal antennas for fusion applications are complicated by incomplete characterizations of the harsh plasma environment and of coupling mechanisms. A brief description of rf coupler technology required for plasma conditions is presented along with an assessment of the status and goals of coupler development

  11. ICRF heating and transport of deuterium-tritium plasmas in TFTR

    International Nuclear Information System (INIS)

    Murakami, M.; Batchelor, D.B.; Bush, C.E.

    1994-01-01

    This paper describes results of the first experiments utilizing high-power ion cyclotron range of frequency (ICRF) to heat deuterium-tritium (D-T) plasmas in reactor-relevant regimes on the Tokamak Fusion Test Reactor (TFTR). Results from these experiments have demonstrated efficient core, second harmonic, tritium heating of D-T supershot plasmas with tritium concentrations ranging from 6%--40%. Significant direct ion heating on the order of 60% of the input radio frequency (rf) power has been observed. The measured deposition profiles are in good agreement with two-dimensional modeling code predictions. Confinement in an rf-heated supershot is at least similar to that without rf, and possibly better in the electron channel. Efficient electron heating via mode conversion of fast waves to ion Bernstein waves (IBW) has been demonstrated in ohmic, deuterium-deuterium and DT-neutral beam injection plasmas with high concentrations of minority 3 He (n 3 He /n e > 10%). By changing the 3 He concentration or the toroidal field strength, the location of the mode-conversion radius was varied. The power deposition profile measured with rf power modulation showed that up to 70% of the power can be deposited on electrons at an off-axis position. Preliminary results with up to 4 MW coupled into the plasma by 90-degree phased antennas showed directional propagation of the mode-converted IBW. Heat wave propagation showed no strong inward thermal pinch in off-axis heating of an ohmically-heated (OH) target plasma in TFIR

  12. Simulation of rarefied low pressure RF plasma flow around the sample

    International Nuclear Information System (INIS)

    Zheltukhin, V S; Shemakhin, A Yu

    2017-01-01

    The paper describes a mathematical model of the flow of radio frequency plasma at low pressure. The hybrid mathematical model includes the Boltzmann equation for the neutral component of the RF plasma, the continuity and the thermal equations for the charged component. Initial and boundary conditions for the corresponding equations are described. The electron temperature in the calculations is 1-4 eV, atoms temperature in the plasma clot is (3-4) • 10 3 K, in the plasma jet is (3.2-10) • 10 2 K, the degree of ionization is 10 -7 -10 -5 , electron density is 10 15 -10 19 m -3 . For calculations plasma parameters is developed soft package on C++ program language, that uses the OpenFOAM library package. Simulations for the vacuum chamber in the presence of a sample and the free jet flow were carried out. (paper)

  13. Optical characteristics of a RF DBD plasma jet in various {Ar}/ {O}_{2}Ar/O2 mixtures

    Science.gov (United States)

    Falahat, A.; Ganjovi, A.; Taraz, M.; Ravari, M. N. Rostami; Shahedi, A.

    2018-02-01

    In this paper, using the optical emission spectroscopy (OES) technique, the optical characteristics of a radiofrequency (RF) plasma jet are examined. The Ar/O2 mixture is taken as the operational gas and, the Ar percentage in the Ar/O2 mixture is varied from 70% to 95%. Using the optical emission spectrum analysis of the RF plasma jet, the excitation temperature is determined based on the Boltzmann plot method. The electron density in the plasma medium of the RF plasma jet is obtained by the Stark broadening of the hydrogen Balmer H_{β }. It is mostly seen that, the radiation intensity of Ar 4p→ 4s transitions at higher argon contributions in Ar/O2 mixture is higher. It is found that, at higher Ar percentages, the emission intensities from atomic oxygen (O) are higher and, the line intensities from the argon atoms and ions including O atoms linearly increase. It is observed that the quenching of Ar^{*} with O2 results in higher O species with respect to O2 molecules. In addition, at higher percentages of Ar in the Ar/O2 mixture, while the excitation temperature is decreased, the electron density is increased.

  14. Progress towards RF heated steady-state plasma operations on LHD by employing ICRF heating methods and improved divertor plates

    International Nuclear Information System (INIS)

    Kumazawa, R.; Mutoh, T.; Saito, K.

    2008-10-01

    A long pulse plasma discharge experiment was carried out using RF heating power in the Large Helical Device (LHD), a currentless magnetic confining system. Progress in long pulse operation is summarized since the 10th experimental campaign (2006). A scaling relation of the plasma duration time to the applied RF power has been derived from the experimental data so far collected. It indicates that there exists a critical divertor temperature and consequently a critical RF heating power P RFcrit =0.65 MW. The area on the graph of the duration time versus the RF heating power was extended over the scaling relation by replacing divertor plates with new ones with better heat conductivity. The cause of the plasma collapse at the end of the long pulse operation was found to be the penetration of metal impurities. Many thin flakes consisting of heavy metals and graphite in stratified layers were found on the divertor plates and it was thought that they were the cause of impurity metals penetrating into the plasma. In a simulation involving injecting a graphite-coated Fe pellet to the plasma it was found that 230 Eμm in the diameter of the Fe pellet sphere was the critical size which led the plasma to collapse. A mode-conversion heating method was examined in place of the minority ICRF heating which has been employed in almost all the long-pulse plasma discharges. It was found that this method was much better from the viewpoint of achieving uniformity of the plasma heat load to the divertors. It is expected that P RFcrit will be increased by using the mode-conversion heating method. (author)

  15. Observation of enhanced electric field in an RF-plugged sheet plasma in the RFC-XX-M open-ended machine

    International Nuclear Information System (INIS)

    Oda, T.; Takiyama, K.; Kadota, K.

    1987-12-01

    We report nonperturbing observation of the electric field in the sheet plasma for RF end-plugging on the RFC XX-M open-ended machine by using the Stark effect with a combined technique of beam-probe and laser-induced fluorescence. Under the optimum condition for the RF plugging, enhanced electric field is found in the sheet plasma by about 2.5 times with respect to the electric field when no plasma is produced. The field spatial profile is also measured, which is discussed in connection with the electrostatic eigenmode. (author)

  16. Matching of dense plasma focus devices with fission reactors

    International Nuclear Information System (INIS)

    Harms, A.A.; Heindler, M.

    1978-01-01

    The potential role of dense plasma focus devices as compact neutron sources for fissile fuel breeding in conjunction with existing fission reactors is considered. It is found that advanced plasma focus devices can be used effectively in conjunction with neutronically efficient fission reactors to constitute ''self-sufficient'' breeders. Correlations among the various parameters such as the power output and conversion ratio of the fission reactor with the neutron yield and capacitor bank energy of the dense plasma focus device are presented and discussed

  17. Applying chemical engineering concepts to non-thermal plasma reactors

    Science.gov (United States)

    Pedro AFFONSO, NOBREGA; Alain, GAUNAND; Vandad, ROHANI; François, CAUNEAU; Laurent, FULCHERI

    2018-06-01

    Process scale-up remains a considerable challenge for environmental applications of non-thermal plasmas. Undersanding the impact of reactor hydrodynamics in the performance of the process is a key step to overcome this challenge. In this work, we apply chemical engineering concepts to analyse the impact that different non-thermal plasma reactor configurations and regimes, such as laminar or plug flow, may have on the reactor performance. We do this in the particular context of the removal of pollutants by non-thermal plasmas, for which a simplified model is available. We generalise this model to different reactor configurations and, under certain hypotheses, we show that a reactor in the laminar regime may have a behaviour significantly different from one in the plug flow regime, often assumed in the non-thermal plasma literature. On the other hand, we show that a packed-bed reactor behaves very similarly to one in the plug flow regime. Beyond those results, the reader will find in this work a quick introduction to chemical reaction engineering concepts.

  18. Critical plasma-materials issues for fusion reactor designs

    International Nuclear Information System (INIS)

    Wilson, K.L.; Bauer, W.

    1983-01-01

    Plasma-materials interactions are a dominant driving force in the design of fusion power reactors. This paper presents a summary of plasma-materials interactions research. Emphasis is placed on critical aspects related to reactor design. Particular issues to be addressed are plasma edge characterization, hydrogen recycle, impurity introduction, and coating development. Typical wall fluxes in operating magnetically confined devices are summarized. Recent calculations of tritium inventory and first wall permeation, based on laboratory measurements of hydrogen recycling, are given for various reactor operating scenarios. Impurity introduction/wall erosion mechanisms considered include sputtering, chemical erosion, and evaporation (melting). Finally, the advanced material development for in-vessel components is discussed. (author)

  19. Development of an rf-driven plasma neutralizer for negative ions

    International Nuclear Information System (INIS)

    Moses, K.G.

    1989-01-01

    The assertion that beams of negative ions can be neutralized more efficiently by impacting a plasma, rather than a cold gas target, is confirmed scientifically by the work of K.H. Berkner et al. What remains to be done is the realization of practical means of generating plasmas efficiently with appropriate integrated line densities (target thickness). The work performed by JAYCOR, under this grant, over the past few years has made significant progress towards that goal. In this work, large volumes of plasma are generated using low-frequency pulsed inductive rf discharges within a ring cusp multipole-magnetic field geometry. These plasmas exhibit sufficient line-integrated electron densities and degrees of ionization to neutralize beams of energetic negative ions whose energies exceed 500 keV. The method of plasma generation and the cell configuration used in these studies are directly applicable to higher energy neutral beam injector systems (NBIS). Innate scalability and modularity of the system design facilitates linear stacking to achieve a desired target thickness. Further, the plasma formation process is accomplished with an electrical economy consistent with increased overall electrical efficiency of the NBIS compared to that possible using a cold gas target. 5 refs., 16 figs

  20. Steady state plasma operation in RF dominated regimes on EAST

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, X. J.; Zhao, Y. P.; Gong, X. Z.; Hu, C. D.; Liu, F. K.; Hu, L. Q.; Wan, B. N., E-mail: bnwan@ipp.ac.cn; Li, J. G. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China)

    2015-12-10

    Significant progress has recently been made on EAST in the 2014 campaign, including the enhanced CW H&CD system over 20MW heating power (LHCD, ICRH and NBI), more than 70 diagnostics, ITER-like W-monoblock on upper divertor, two inner cryo-pumps and RMP coils, enabling EAST to investigate long pulse H mode operation with dominant electron heating and low torque to address the critical issues for ITER. H-mode plasmas were achieved by new H&CD system or 4.6GHz LHCD alone for the first time. Long pulse high performance H mode has been obtained by LHCD alone up to 28s at H{sub 98}∼1.2 or by combing of ICRH and LHCD, no or small ELM was found in RF plasmas, which is essential for steady state operation in the future Tokamak. Plasma operation in low collision regimes were implemented by new 4.6GHz LHCD with core Te∼4.5keV. The non-inductive scenarios with high performance at high bootstrap current fraction have been demonstrated in RF dominated regimes for long pulse operation. Near full non-inductive CD discharges have been achieved. In addition, effective heating and decoupling method under multi-transmitter for ICRF system were developed in this campaign, etc. EAST could be in operation with over 30MW CW heating and current drive power (LHCD ICRH NBI and ECRH), enhanced diagnostic capabilities and full actively-cooled metal wall from 2015. It will therefore allow to access new confinement regimes and to extend these regimes towards to steady state operation.

  1. Modification of the surface properties of glass-ceramic materials at low-pressure RF plasma stream

    Science.gov (United States)

    Tovstopyat, Alexander; Gafarov, Ildar; Galeev, Vadim; Azarova, Valentina; Golyaeva, Anastasia

    2018-05-01

    The surface roughness has a huge effect on the mechanical, optical, and electronic properties of materials. In modern optical systems, the specifications for the surface accuracy and smoothness of substrates are becoming even more stringent. Commercially available pre-polished glass-ceramic substrates were treated with the radio frequency (RF) inductively coupled (13.56 MHz) low-pressure plasma to clean the surface of the samples and decrease the roughness. Optical emission spectroscopy was used to investigate the plasma stream parameters and phase-shifted interferometry to investigate the surface of the specimen. In this work, the dependence of RF inductively coupled plasma on macroscopic parameters was investigated with the focus on improving the surfaces. The ion energy, sputtering rate, and homogeneity were investigated. The improvements of the glass-ceramic surfaces from 2.6 to 2.2 Å root mean square by removing the "waste" after the previous operations had been achieved.

  2. Influence of Plasma Pressure Fluctuation on RF Wave Propagation

    International Nuclear Information System (INIS)

    Liu Zhiwei; Bao Weimin; Li Xiaoping; Liu Donglin; Zhou Hui

    2016-01-01

    Pressure fluctuations in the plasma sheath from spacecraft reentry affect radio-frequency (RF) wave propagation. The influence of these fluctuations on wave propagation and wave properties is studied using methods derived by synthesizing the compressible turbulent flow theory, plasma theory, and electromagnetic wave theory. We study these influences on wave propagation at GPS and Ka frequencies during typical reentry by adopting stratified modeling. We analyzed the variations in reflection and transmission properties induced by pressure fluctuations. Our results show that, at the GPS frequency, if the waves are not totally reflected then the pressure fluctuations can remarkably affect reflection, transmission, and absorption properties. In extreme situations, the fluctuations can even cause blackout. At the Ka frequency, the influences are obvious when the waves are not totally transmitted. The influences are more pronounced at the GPS frequency than at the Ka frequency. This suggests that the latter can mitigate blackout by reducing both the reflection and the absorption of waves, as well as the influences of plasma fluctuations on wave propagation. Given that communication links with the reentry vehicles are susceptible to plasma pressure fluctuations, the influences on link budgets should be taken into consideration. (paper)

  3. RF-driven tokamak reactor with sub-ignited, thermally stable operation

    International Nuclear Information System (INIS)

    Harten, L.P.; Bers, A.; Fuchs, V.; Shoucri, M.M.

    1981-02-01

    A Radio-Frequency Driven Tokamak Reactor (RFDTR) can use RF-power, programmed by a delayed temperature measurement, to thermally stabilize a power equilibrium below ignition, and to drive a steady state current. We propose the parameters for such a device generating approx. = 1600 MW thermal power and operating with Q approx. = 40 (= power out/power in). A one temperature zero-dimensional model allows simple analytical formulation of the problem. The relevance of injected impurities for locating the equilibrium is discussed. We present the results of a one-dimensional (radial) code which includes the deposition of the supplementary power, and compare with our zero-dimensional model

  4. Design of an RF Antenna for a Large-Bore, High Power, Steady State Plasma Processing Chamber for Material Separation

    International Nuclear Information System (INIS)

    Rasmussen, D.A.; Freeman, R.L.

    2001-01-01

    The purpose of this Cooperative Research and Development Agreement (CRADA) between UT-Battelle, LLC, (Contractor), and Archimedes Technology Group, (Participant) is to evaluate the design of an RF antenna for a large-bore, high power, steady state plasma processing chamber for material separation. Criteria for optimization will be to maximize the power deposition in the plasma while operating at acceptable voltages and currents in the antenna structure. The project objectives are to evaluate the design of an RF antenna for a large-bore, high power, steady state plasma processing chamber for material separation. Criteria for optimization will be to maximize the power deposition in the plasma while operating at acceptable voltages and currents in the antenna structure

  5. ICRF heating and transport of deuterium-tritium plasmas in TFTR

    International Nuclear Information System (INIS)

    Rogers, J.H.; Schilling, G.; Stevens, J.E.; Taylor, G.; Wilson, J.R.; Bell, M.G.; Budny, R.V.; Bretz, N.L.; Darrow, D.; Fredrickson, E.

    1995-02-01

    This paper describes results of the first experiments utilizing high-power ion cyclotron range of frequency (ICRF) to heat deuterium-tritium (D-T) plasmas in reactor-relevant regimes on the Tokamak Fusion Test Reactor (TFTR). Results from these experiments have demonstrated efficient core, second harmonic, tritium beating of D-T supershot plasmas with tritium concentrations ranging from 6%-40%. Significant direct ion heating on the order of 60% of the input radio frequency (rf) power has been observed. The measured deposition profiles are in good agreement with two-dimensional modeling code predictions. Energy confinement in an rf-heated supershot is at least similar to that without rf, and possibly better in the electron channel. Efficient electron heating via mode conversion of fast waves to ion Bernstein waves (IBW) has been demonstrated in ohmic, deuterium-deuterium and DT-neutral beam injection plasmas with high concentrations of minority 3 He (n 3He /n e = 15% - 30%). By changing the 3 He concentration or the toroidal field strength, the location of the mode-conversion radius was varied. The power deposition profile measured with rf power modulation indicated that up to 70% of the power can be deposited on electrons at an off-axis position. Preliminary results with up to 4 MW coupled into the plasma by 90-degree phased antennas showed directional propagation of the mode-converted IBW. Analysis of heat wave propagation showed no strong inward thermal pinch in off-axis heating of an ohmically-heated target plasma in TFTR

  6. Performance analyses of Elmo Bumpy Torus plasmas and plasma support systems

    International Nuclear Information System (INIS)

    Fenstermacher, M.E.

    1979-01-01

    The development and applcation of the OASIS Code (Operational Analysis of ELMO Bumpy Torus Support and Ignition Systems) for the study of EBT device and plasma performance are presented. The code performs a time-independent, zero-dimensional self-consistent calculation of plasma and plasmasupport systems parameters for the physics and engineering of EBT devices. The features of OASIS modeling for the EBT plasma include: (1) particle balance of the bulk toroidal and electron ring plasma components for experimental (H-H, D-D, He-He etc.) as well as reactor (D-T) devices; (2) energy balance in the bulk and ring plasmas for externally heated or ignition devices; (3) alpha particle effects for reactor devices; (4) auxiliary heating effects, including microwave (ECRH), RF heating (e.g., ICRH), and neutral beam methods; and (5) ignition conditions, including fusion power, alpha power and neutron wall loading. The performance studies using OASIS focussed on variation in plasma and device size and on microwave input power and frequency. An additional study was performed to determine the characteristics of an EBT reactor proof-of-principle device operated with a deuterium-tritium plasma. Sensitivity studies were performed for variation in the input microwave power sharing fractions and the dependence of the bulk n tau scaling law on bulk electron temperature

  7. A High-Intensity, RF Plasma-Sputter Negative Ion Source

    International Nuclear Information System (INIS)

    Alton, G.D.; Bao, Y.; Cui, B.; Lohwasser, R.; Reed, C.A.; Zhang, T.

    1999-01-01

    A high-intensity, plasma-sputter negative-ion source based on the use of RF power for plasma generation has been developed that can be operated in either pulsed or dc modes. The source utilizes a high-Q, self-igniting, inductively coupled antenna system, operating at 80 MHz that has been optimized to generate Cs-seeded plasmas at low pressures (typically, - (610 microA); F - (100 microA); Si - (500 microA); S - (500 microA); P - (125 microA); Cl - (200 microA); Ni - (150 microA); Cu - (230 microA); Ge - (125 microA); As - (100 microA); Se - (200 microA); Ag - (70 microA); Pt - (125 microA); Au - (250 microA). The normalized emittance var e psilon n of the source at the 80% contour is: var e psilon n = 7.5 mm.mrad.(MeV) 1/2 . The design principles of the source, operational parameters, ion optics, emittance and intensities for a number of negative-ion species will be presented in this report

  8. Rf-plasma synthesis of nanosize silicon carbide and nitride. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Buss, R.J.

    1997-02-01

    A pulsed rf plasma technique is capable of generating ceramic particles of 10 manometer dimension. Experiments using silane/ammonia and trimethylchlorosilane/hydrogen gas mixtures show that both silicon nitride and silicon carbide powders can be synthesized with control of the average particle diameter from 7 to 200 nm. Large size dispersion and much agglomeration appear characteristic of the method, in contrast to results reported by another research group. The as produced powders have a high hydrogen content and are air and moisture sensitive. Post-plasma treatment in a controlled atmosphere at elevated temperature (800{degrees}C) eliminates the hydrogen and stabilizes the powder with respect to oxidation or hydrolysis.

  9. Low frequency RF heating of plasmas in a toroidal stellarator

    International Nuclear Information System (INIS)

    Golovato, S.N.

    1977-01-01

    Studies of transit-time magnetic pumping and Alfven wave heating have been done in the Proto-Cleo stellarator. Both plasma heating and plasma confinement have been investigated. A traveling wave was launched around the Proto-Cleo l = 2, 6 field period stellarator to attempt transit-time magnetic pumping of a pulsed electron beam moving along the magnetic field lines. An apparent loss of the beam was seen when the transit-time magnetic pumping was applied. A random walk diffusion of the beam electrons with a step size determined by the radial EXB drift due to the poloidal electric field agrees well with the experimental results. Alfven wave heating was applied to plasmas in the Proto-Cleo l = 3, 7 field period stellarator. Global excitation of Alfven waves was accomplished by exciting an electrostatically shielded helical winding corresponding to a q = 3 rational field line with a pulsed, high-power RF source. Theoretical analysis of this helical wave launcher predicted effective energy absorption in the Proto-Cleo gun-produced plasma

  10. Characteristics of ceramic oxide nanoparticles synthesized using radio frequency produced thermal plasma

    International Nuclear Information System (INIS)

    Dhamale, Gayatri D.; Mathe, V.L.; Bhoraskar, S.V.; Ghorui, S.

    2015-01-01

    Thermal plasma devices with their unique processing capabilities due to extremely high temperature and steep temperature gradient play an important role in synthesis of ultrafine powders in the range of 100nm or less. High temperature gas phase synthesis in Radio Frequency (RF) thermal plasma reactor is an attractive route for mass production of refractory nanoparticles, especially in the case of rare earth oxides. Here we report synthesis of Yttrium Oxide (Y_2O_3), Neodymium Oxide (Nd_2O_3) and Aluminum Oxide (Al_2O_3) in an inductively coupled radio frequency thermal plasma reactor. Synthesized nanoparticles find wide application in various fields like gate dielectrics, photocatalytic applications, laser devices and photonics. Nano sized Yttrium oxide, Neodymium Oxide and Aluminum oxide powders were separately synthesized in an RF plasma reactor starting with micron sized irregular shaped precursor powders. The system was operated at 3MHz in atmospheric pressure at different power levels. Synthesized powders were scrapped out from different deposition locations inside the reactor and characterized for their phase, morphology, particle size, crystallinity and other characteristic features. Highly crystalline nature of the synthesized particles, narrow size distribution, location dependent phase formation, and distinct variation in the inherent defect states compared to the bulk are some of the important characteristic features observed

  11. Negative ion mass spectra and particulate formation in rf silane plasma deposition experiments

    International Nuclear Information System (INIS)

    Howling, A.A.; Dorier, J.L.; Hollenstein, C.

    1992-09-01

    Negative ions have been clearly identified in silane rf plasmas used for the deposition of amorphous silicon. Mass spectra were measured for monosilicon up to pentasilicon negative ion radical groups in power-modulated plasmas by means of a mass spectrometer mounted just outside the glow region. Negative ions were only observed over a limited range of power modulation frequency which corresponds to particle-free conditions. The importance of negative ions regarding particulate formation is demonstrated and commented upon. (author) 3 figs., 19 refs

  12. Progress and prospect of true steady state operation with RF

    Directory of Open Access Journals (Sweden)

    Jacquinot Jean

    2017-01-01

    Full Text Available Operation of fusion confinement experiments in full steady state is a major challenge for the development towards fusion energy. Critical to achieving this goal is the availability of actively cooled plasma facing components and auxiliary systems withstanding the very harsh plasma environment. Equally challenging are physics issues related to achieving plasma conditions and current drive efficiency required by reactor plasmas. RF heating and current drive systems have been key instruments for obtaining the progress made until today towards steady state. They hold all the records of long pulse plasma operation both in tokamaks and in stellarators. Nevertheless much progress remains to be made in particular for integrating all the requirements necessary for maintaining in steady state the density and plasma pressure conditions of a reactor. This is an important stated aim of ITER and of devices equipped with superconducting magnets. After considering the present state of the art, this review will address the key issues which remain to be solved both in physics and technology for reaching this goal. They constitute very active subjects of research which will require much dedicated experimentation in the new generation of superconducting devices which are now in operation or becoming close to it.

  13. Studies of RF sheaths and diagnostics on IShTAR

    Energy Technology Data Exchange (ETDEWEB)

    Crombé, K., E-mail: Kristel.Crombe@UGent.be [Department of Applied Physics, Ghent University, Ghent (Belgium); LPP-ERM/KMS, Royal Military Academy, Brussels (Belgium); Devaux, S.; Faudot, E.; Heuraux, S.; Moritz, J. [YIJL, UMR7198 CNRS-Université de Lorraine, Nancy (France); D’Inca, R.; Faugel, H.; Fünfgelder, H.; Jacquot, J.; Ochoukov, R. [Max-Planck-Institut für Plasmaphysik, Garching (Germany); Louche, F.; Tripsky, M.; Van Eester, D.; Wauters, T. [LPP-ERM/KMS, Royal Military Academy, Brussels (Belgium); Noterdaeme, J.-M. [Department of Applied Physics, Ghent University, Ghent (Belgium); Max-Planck-Institut für Plasmaphysik, Garching (Germany)

    2015-12-10

    IShTAR (Ion cyclotron Sheath Test ARrangement) is a linear magnetised plasma test facility for RF sheaths studies at the Max-Planck-Institut für Plasmaphysik in Garching. In contrast to a tokamak, a test stand provides more liberty to impose the parameters and gives better access for the instrumentation and antennas. The project will support the development of diagnostic methods for characterising RF sheaths and validate and improve theoretical predictions. The cylindrical vacuum vessel has a diameter of 1 m and is 1.1 m long. The plasma is created by an external cylindrical plasma source equipped with a helical antenna that has been designed to excite the m=1 helicon mode. In inductive mode, plasma densities and electron temperatures have been characterised with a planar Langmuir probe as a function of gas pressure and input RF power. A 2D array of RF compensated Langmuir probes and a spectrometer are planned. A single strap RF antenna has been designed; the plasma-facing surface is aligned to the cylindrical plasma to ease the modelling. The probes will allow direct measurements of plasma density profiles in front of the RF antenna, and thus a detailed study of the density modifications induced by RF sheaths, which influences the coupling. The RF antenna frequency has been chosen to study different plasma wave interactions: the accessible plasma density range includes an evanescent and propagative behaviour of slow or fast waves, and allows the study of the effect of the lower hybrid resonance layer.

  14. Steady-state tokamak reactor with non-divertor impurity control: STARFIRE

    International Nuclear Information System (INIS)

    Baker, C.C.

    1980-01-01

    STARFIRE is a conceptual design study of a commercial tokamak fusion electric power plant. Particular emphasis has been placed on simplifying the reactor concept by developing design concepts to produce a steady-state tokamak with non-divertor impurity control and helium ash removal. The concepts of plasma current drive using lower hybrid rf waves and a limiter/vacuum system for reactor applications are described

  15. Internal oscillating current-sustained RF plasmas: Parameters, stability, and potential for surface engineering

    DEFF Research Database (Denmark)

    Ostrikov, K.; Tsakadze, E.L.; Tsakadze, Z.L.

    2005-01-01

    . Moreover, under certain conditions, the plasma becomes unstable due to spontaneous transitions between low-density (electrostatic, E) and high-density (electromagnetic, H) operating modes. Excellent uniformity of high-density plasmas makes the plasma reactor promising for various plasma processing...... applications and surface engineering. (c) 2005 Elsevier B.V. All rights reserved....

  16. Plasma engineering analysis of a small torsatron reactor

    International Nuclear Information System (INIS)

    Lacatski, J.T.; Houlberg, W.A.; Uckan, N.A.

    1985-10-01

    This study examines the plasma physics and reactor engineering feasibility of a small, medium aspect ratio, high-beta, l = 2, D-T torsatron power reactor, based on the magnetic configuration of the Advanced Toroidal Facility, Oak Ridge National Laboratory. Plasma analyses are performed to assess whether confinement in a small, average radius plasma is sufficient to yield an ignited or high-Q driven device. Much of the physics assessment focuses on an evaluation of the radial electric field created by the nonambipolar particle flux. Detailed transport simulations are done with both fixed and self-consistent evolution of the radial electric field. Basic reactor engineering considerations taken into account are neutron wall loading, maximum magnetic field at the helical coils, coil shield thickness, and tritium breeding blanket-shield thickness

  17. Plasma core reactor applications

    International Nuclear Information System (INIS)

    Latham, T.S.; Rodgers, R.J.

    1976-01-01

    Analytical and experimental investigations are being conducted to demonstrate the feasibility of fissioning uranium plasma core reactors and to characterize space and terrestrial applications for such reactors. Uranium hexafluoride (UF 6 ) fuel is injected into core cavities and confined away from the surface by argon buffer gas injected tangentially from the peripheral walls. Power, in the form of thermal radiation emitted from the high-temperature nuclear fuel, is transmitted through fused-silica transparent walls to working fluids which flow in axial channels embedded in segments of the cavity walls. Radiant heat transfer calculations were performed for a six-cavity reactor configuration; each cavity is approximately 1 m in diameter by 4.35 m in length. Axial working fluid channels are located along a fraction of each cavity peripheral wall

  18. Investigation of rf plasma light sources for dye laser excitation

    International Nuclear Information System (INIS)

    Kendall, J.S.; Jaminet, J.F.

    1975-06-01

    Analytical and experimental studies were performed to assess the applicability of radio frequency (rf) induction heated plasma light sources for potential excitation of continuous dye lasers. Experimental efforts were directed toward development of a continuous light source having spectral flux and emission characteristics approaching that required for pumping organic dye lasers. Analytical studies were performed to investigate (1) methods of pulsing the light source to obtain higher radiant intensity and (2) methods of integrating the source with a reflective cavity for pumping a dye cell. (TFD)

  19. Plasma polymer films rf sputtered from PTFE under various argon pressures

    Czech Academy of Sciences Publication Activity Database

    Stelmashuk, Vitaliy; Biederman, H.; Slavinská, D.; Zemek, Josef; Trchová, Miroslava

    2005-01-01

    Roč. 77, č. 2 (2005), s. 131-137 ISSN 0042-207X R&D Projects: GA MŠk(CZ) OC 527.10; GA MŠk(CZ) OC 527.90 Grant - others:EUREKAΣ2080(XE) OE57 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z20430508 Keywords : RF sputtering * PTFE * fluorcarbon plasma polymers * thin film * teflon * deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.909, year: 2005

  20. RF plasma deposition of thin Si{sub x}Ge{sub y}C{sub z}:H films using a combination of organometallic source materials

    Energy Technology Data Exchange (ETDEWEB)

    Rapiejko, C. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland); Gazicki-Lipman, M. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland)]. E-mail: gazickim@p.lodz.pl; Klimek, L. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland); Szymanowski, H. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland); Strojek, M. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland)

    2004-12-22

    Elements of the IV group of periodic table have been strongly present in the fast development of PECVD techniques for the last two decades at least. As a result, deposition technologies of such materials as a-Si:H, a-C:H, m{mu}-C:H or DLC have been successfully established. What has followed is an ever growing interest in binary systems of the A{sub x}(IV)B{sub y}(IV):H kind. One possible way to deposit such systems is to use organosilicon compounds (to deposit Si{sub x}C{sub y}:H films) or organogermanium compounds (to deposit Ge{sub x}C{sub y}:H films), as source substances. The present paper reports on a RF plasma deposition of a Si{sub x}Ge{sub y}C{sub z}:H ternary system, using a combination of organosilicon and organogermanium compounds. Thin Si/Ge/C films have been fabricated in a small volume (ca. 2 dm{sup 3}) parallel plate RF plasma reactor using, as a source material, a combination of tetramethylsilane (TMS) and tetramethylgermanium (TMG) vapours carried by argon. SEM investigations reveal a continuous compact character of the coatings and their uniform thickness. The elemental composition of the films has been studied using EDX analysis. The results of the analysis show that the elemental composition of the films can be controlled by both the TMG/TMS ratio of the initial mixture and the RF power input. Ellipsometric measurements show good homogeneity of these materials. Chemical bonding in the films has been studied using the FTIR technique. Bandgap calculations have been carried out using ellipsometric data and by applying both the Tauc law and the Moss approach.

  1. Plasma flow around and charge distribution of a dust cluster in a rf discharge

    Science.gov (United States)

    Schleede, J.; Lewerentz, L.; Bronold, F. X.; Schneider, R.; Fehske, H.

    2018-04-01

    We employ a particle-in-cell Monte Carlo collision/particle-particle particle-mesh simulation to study the plasma flow around and the charge distribution of a three-dimensional dust cluster in the sheath of a low-pressure rf argon discharge. The geometry of the cluster and its position in the sheath are fixed to the experimental values, prohibiting a mechanical response of the cluster. Electrically, however, the cluster and the plasma environment, mimicking also the experimental situation, are coupled self-consistently. We find a broad distribution of the charges collected by the grains. The ion flux shows on the scale of the Debye length strong focusing and shadowing inside and outside the cluster due to the attraction of the ions to the negatively charged grains, whereas the electron flux is characterized on this scale only by a weak spatial modulation of its magnitude depending on the rf phase. On the scale of the individual dust potentials, however, the electron flux deviates in the vicinity of the cluster strongly from the laminar flow associated with the plasma sheath. It develops convection patterns to compensate for the depletion of electrons inside the dust cluster.

  2. RF induction plasma spheroidization of tungsten powders

    International Nuclear Information System (INIS)

    Gu Zhogntao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2009-01-01

    Irregularly-shaped tungsten powders (average granular sizes of 512 μm) have been spheroidized by radio frequency (RF)induction plasma. The effects of feed rate, mode of material dispersion, particle size on spheroidization efficiency are investigated. Experimental results show that the spheroidization efficiency decreases rapidly when the feed rate increases to more than 95 g/min. Only 30% spheroidization efficiency is gained at the feed rate of 135.75 g/min. The spheroidization efficiency is also affected by the flow rate of carrier gas. When the flow rate of carrier gas is 0.12 m 3 /h, the dispersion effect is the best, and the spheroidization efficiency is almost 100%. The apparent density of tungsten powders increases a bit with the increase of spheroidization efficiency. And the particle size uniformity of spheroidized tungsten powders is in accordance with that of original powders. (authors)

  3. RF sheaths for arbitrary B field angles

    Science.gov (United States)

    D'Ippolito, Daniel; Myra, James

    2014-10-01

    RF sheaths occur in tokamaks when ICRF waves encounter conducting boundaries and accelerate electrons out of the plasma. Sheath effects reduce the efficiency of ICRF heating, cause RF-specific impurity influxes from the edge plasma, and increase the plasma-facing component damage. The rf sheath potential is sensitive to the angle between the B field and the wall, the ion mobility and the ion magnetization. Here, we obtain a numerical solution of the non-neutral rf sheath and magnetic pre-sheath equations (for arbitrary values of these parameters) and attempt to infer the parametric dependences of the Child-Langmuir law. This extends previous work on the magnetized, immobile ion regime. An important question is how the rf sheath voltage distributes itself between sheath and pre-sheath for various B field angles. This will show how generally previous estimates of the rf sheath voltage and capacitance were reasonable, and to improve the RF sheath BC. Work supported by US DOE grants DE-FC02-05ER54823 and DE-FG02-97ER54392.

  4. Plasma spheroidization of nickel powders in a plasma reactor

    Indian Academy of Sciences (India)

    Unknown

    and size of the particles are among critical parameters ... shape components, which helps to conserve scarce raw materials. There are several methods of producing rapidly solidi- ... spherical nickel powders using the d.c. plasma reactor is.

  5. A study on the fusion reactor - Study of ICRF coupling in the KAIST tokamak plasma

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Duk In; Chang, Hong Young; Lee, Sun Chil; Jun, Sang Jin; Kwon, Gi Chung; Seo, Sung Hun; Heo, Sung Hoi; You, Kwang Il; Song, Soo Bin; Lee, Sung Chul; Kim, Min Chul; Lee, Chan Hui [Korea Advanced Institute of Science and Technology, Taejon (Korea, Republic of)

    1996-09-01

    Research objectives are to design and fabricate the antenna, measure t property of absorption transmitted to the plasma, and research the physical phenomena about the ICRF coupling, Main heating method is ohmic heating at the KAIST tokamak. The power of the plasma produced by ohmic heating is about 100 kW. Because the toroidal field is 5 {approx} 8 kG, the RF system`s output power is about 10 kW and frequency range is 7 {approx} 30 MHz. In the first year, a 1 kW RF preamplifier was bought. In this year, a CW 2 kW RF main amp. and RF power monitoring system was bought. In the research on antenna, we study the method how to measure electric field emitted from antenna using piezo elements. The matching network composed of two VVC (35 kV), 100 {approx} 1000 pF match firmly up to 50 kW power. We studied the measurement method of antenna impedance theoretically, and measured power efficiency and antenna impedance in the helicon plasma. 32 refs., 5 tabs., 29 figs. (author)

  6. Investigation of parameters of the working substance - low temperature plasma in the ionization resonator chamber of the RF reactive engine

    International Nuclear Information System (INIS)

    Vdovin, V.S.; Zajtzev, B.V.; Kobetz, A.F.; Bomko, V.A.; Rashkovan, V.M.; Bazyma, L.A.; Belokon, V.I.

    2003-01-01

    This paper is the extension of investigations of the RF engine designed for orientation and stabilization of the spacecrafts orbit, and it is undertaken for measuring of plasma parameters of RF discharge in the ionization resonator chamber. The experiments were performed at the frequency of 80 MHz on the model engine, in which a length of coaxial line with shortening capacities at the ends was used as the ionization resonator chamber. As the result of the experiments, conditions of the RF discharge ignition in the resonator chamber are studied; dependencies of plasma density and temperature versus applied power and working body pressure are obtained for various gases. The measurements of the thrust were performed at the special-purpose test bench

  7. Oak Ridge rf Test Facility

    International Nuclear Information System (INIS)

    Gardner, W.L.; Hoffman, D.J.; McCurdy, H.C.; McManamy, T.J.; Moeller, J.A.; Ryan, P.M.

    1985-01-01

    The rf Test Facility (RFTF) of Oak Ridge National Laboratory (ORNL) provides a national facility for the testing and evaluation of steady-state, high-power (approx.1.0-MW) ion cyclotron resonance heating (ICRH) systems and components. The facility consists of a vacuum vessel and two fully tested superconducting development magnets from the ELMO Bumpy Torus Proof-of-Principle (EBT-P) program. These are arranged as a simple mirror with a mirror ratio of 4.8. The axial centerline distance between magnet throat centers is 112 cm. The vacuum vessel cavity has a large port (74 by 163 cm) and a test volume adequate for testing prototypic launchers for Doublet III-D (DIII-D), Tore Supra, and the Tokamak Fusion Test Reactor (TFTR). Attached to the internal vessel walls are water-cooled panels for removing the injected rf power. The magnets are capable of generating a steady-state field of approx.3 T on axis in the magnet throats. Steady-state plasmas are generated in the facility by cyclotron resonance breakdown using a dedicated 200-kW, 28-GHz gyrotron. Available rf sources cover a frequency range of 2 to 200 MHz at 1.5 kW and 3 to 18 MHz at 200 kW, with several sources at intermediate parameters. Available in July 1986 will be a >1.0-MW, cw source spanning 40 to 80 MHz. 5 figs

  8. RF plasma cleaning of silicon substrates with high-density polyethylene contamination

    Science.gov (United States)

    Cagomoc, Charisse Marie D.; De Leon, Mark Jeffry D.; Ebuen, Anna Sophia M.; Gilos, Marlo Nicole R.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    Upon contact with a polymeric material, microparticles from the polymer may adhere to a silicon (Si) substrate during device processing. The adhesion contaminates the surface and, in turn, leads to defects in the fabricated Si-based microelectronic devices. In this study, Si substrates with artificially induced high-density polyethylene (HDPE) contamination was exposed to 13.56 MHz radio frequency (RF) plasma utilizing argon and oxygen gas admixtures at a power density of 5.6 W/cm2 and a working pressure of 110 Pa for up to 6 min of treatment. Optical microscopy studies revealed the removal of up to 74% of the polymer contamination upon plasma exposure. Surface free energy (SFE) increased owing to the removal of contaminants as well as the formation of polar groups on the Si surface after plasma treatment. Atomic force microscopy scans showed a decrease in surface roughness from 12.25 nm for contaminated samples to 0.77 nm after plasma cleaning. The smoothening effect can be attributed to the removal of HDPE particles from the surface. In addition, scanning electron microscope images showed that there was a decrease in the amount of HDPE contaminants adhering onto the surface after plasma exposure.

  9. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  10. Sputter deposition of tantalum-nitride films on copper using an rf-plasma

    International Nuclear Information System (INIS)

    Walter, K.C.; Fetherston, R.P.; Sridharan, K.; Chen, A.; Shamim, M.M.; Conrad, J.R.

    1994-01-01

    A tantalum-nitride film was successfully deposited at ambient temperature on copper with a modified ion-assisted-deposition (IAD) technique. The process uses an argon and nitrogen plasma to sputter deposit from a tantalum rf-cathode and ion implant the deposited film simultaneously. Both argon and nitrogen ions are used for sputtering and ion implantation. Auger spectroscopy and x-ray diffraction were used to characterize the resulting film

  11. About of the Electrostatic fields excitation theory by a RF wave in a plasma; Acerca de la teoria de excitacion de campos electrostaticos por una onda de rf en un plasma

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez T, C.R

    1991-01-15

    In an unidimensional model is shown in the cases of a semi limited plasma and a layer of plasma the excitement mechanism of electrostatic fields for a radiofrequency wave (RF) polarized lineally. This phenomenon depends strongly on the combined action of the Miller force and that of impulsion. It is shown that the action of these forces is carried out in different characteristic times when the front of wave crosses through the plasma. The cases of a semi limited plasma and of a layer of plasma without and with current are analyzed. It is shown that near the frontiers of the plasma where the field is sufficiently big arise oscillations of the width of the field that are slowly muffled in the space in an exponential way. In the cases of a plasma layer its are shown that the processes that arise near the frontier x = L are similar to the processes that arise near the frontier x = 0. The existence of current in the plasma layer leads to the blockade of the excited perturbations in the frontier x = L. (Author)

  12. Temporally resolved ozone distribution of a time modulated RF atmospheric pressure argon plasma jet: flow, chemical reaction, and transient vortex

    International Nuclear Information System (INIS)

    Zhang, S; Sobota, A; Van Veldhuizen, E M; Bruggeman, P J

    2015-01-01

    The ozone density distribution in the effluent of a time modulated RF atmospheric pressure plasma jet (APPJ) is investigated by time and spatially resolved by UV absorption spectroscopy. The plasma jet is operated with an averaged dissipated power of 6.5 W and gas flow rate 2 slm argon  +2% O 2 . The modulation frequency of the RF power is 50 Hz with a duty cycle of 50%. To investigate the production and destruction mechanism of ozone in the plasma effluent, the atomic oxygen and gas temperature is also obtained by TALIF and Rayleigh scattering, respectively. A temporal increase in ozone density is observed close to the quartz tube exit when the plasma is switched off due to the decrease in O density and gas temperature. Ozone absorption at different axial positions indicates that the ozone distribution is dominated by the convection induced by the gas flow and allows estimating the on-axis local gas velocity in the jet effluent. Transient vortex structures occurring during the switch on and off of the RF power also significantly affect the ozone density in the far effluent. (paper)

  13. Dependence of beam emittance on plasma electrode temperature and rf-power, and filter-field tuning with center-gapped rod-filter magnets in J-PARC rf-driven H− ion source

    International Nuclear Information System (INIS)

    Ueno, A.; Koizumi, I.; Ohkoshi, K.; Ikegami, K.; Takagi, A.; Yamazaki, S.; Oguri, H.

    2014-01-01

    The prototype rf-driven H − ion-source with a nickel plated oxygen-free-copper (OFC) plasma chamber, which satisfies the Japan Proton Accelerator Research Complex (J-PARC) 2nd stage requirements of a H − ion beam current of 60 mA within normalized emittances of 1.5 π mm mrad both horizontally and vertically, a flat top beam duty factor of 1.25% (500 μs × 25 Hz) and a life-time of more than 50 days, was reported at the 3rd international symposium on negative ions, beams, and sources (NIBS2012). The experimental results of the J-PARC ion source with a plasma chamber made of stainless-steel, instead of nickel plated OFC used in the prototype source, are presented in this paper. By comparing these two sources, the following two important results were acquired. One was that the about 20% lower emittance was produced by the rather low plasma electrode (PE) temperature (T PE ) of about 120 °C compared with the typically used T PE of about 200 °C to maximize the beam current for the plasma with the abundant cesium (Cs). The other was that by using the rod-filter magnets with a gap at each center and tuning the gap-lengths, the filter-field was optimized and the rf-power necessary to produce the J-PARC required H − ion beam current was reduced typically 18%. The lower rf-power also decreases the emittances

  14. DOE planning workshop on rf theory and computations

    International Nuclear Information System (INIS)

    1984-01-01

    The purpose of the two-day workshop-meeting was to review the status of rf heating in magnetic fusion plasmas and to determine the outstanding problems in this area. The term rf heating was understood to encompass not only bulk plasma heating by externally applied electromagnetic power but also current generation in toroidal plasmas and generation of thermal barriers in tandem mirror plasmas

  15. Time-Domain Modeling of RF Antennas and Plasma-Surface Interactions

    Directory of Open Access Journals (Sweden)

    Jenkins Thomas G.

    2017-01-01

    Full Text Available Recent advances in finite-difference time-domain (FDTD modeling techniques allow plasma-surface interactions such as sheath formation and sputtering to be modeled concurrently with the physics of antenna near- and far-field behavior and ICRF power flow. Although typical sheath length scales (micrometers are much smaller than the wavelengths of fast (tens of cm and slow (millimeter waves excited by the antenna, sheath behavior near plasma-facing antenna components can be represented by a sub-grid kinetic sheath boundary condition, from which RF-rectified sheath potential variation over the surface is computed as a function of current flow and local plasma parameters near the wall. These local time-varying sheath potentials can then be used, in tandem with particle-in-cell (PIC models of the edge plasma, to study sputtering effects. Particle strike energies at the wall can be computed more accurately, consistent with their passage through the known potential of the sheath, such that correspondingly increased accuracy of sputtering yields and heat/particle fluxes to antenna surfaces is obtained. The new simulation capabilities enable time-domain modeling of plasma-surface interactions and ICRF physics in realistic experimental configurations at unprecedented spatial resolution. We will present results/animations from high-performance (10k-100k core FDTD/PIC simulations of Alcator C-Mod antenna operation.

  16. Numerical modeling of disperse material evaporation in axisymmetric thermal plasma reactor

    Directory of Open Access Journals (Sweden)

    Stefanović Predrag Lj.

    2003-01-01

    Full Text Available A numerical 3D Euler-Lagrangian stochastic-deterministic (LSD model of two-phase flow laden with solid particles was developed. The model includes the relevant physical effects, namely phase interaction, panicle dispersion by turbulence, lift forces, particle-particle collisions, particle-wall collisions, heat and mass transfer between phases, melting and evaporation of particles, vapour diffusion in the gas flow. It was applied to simulate the processes in thermal plasma reactors, designed for the production of the ceramic powders. Paper presents results of extensive numerical simulation provided (a to determine critical mechanism of interphase heat and mass transfer in plasma flows, (b to show relative influence of some plasma reactor parameters on solid precursor evaporation efficiency: 1 - inlet plasma temperature, 2 - inlet plasma velocity, 3 - particle initial diameter, 4 - particle injection angle a, and 5 - reactor wall temperature, (c to analyze the possibilities for high evaporation efficiency of different starting solid precursors (Si, Al, Ti, and B2O3 powder, and (d to compare different plasma reactor configurations in conjunction with disperse material evaporation efficiency.

  17. Improvement of In-Flight Alumina Spheroidization Process Using a Small Power Argon DC-RF Hybrid Plasma Flow System by Helium Mixture

    Science.gov (United States)

    Takana, Hidemasa; Jang, Juyong; Igawa, Junji; Nakajima, Tomoki; Solonenko, Oleg P.; Nishiyama, Hideya

    2011-03-01

    For the further improvement of in-flight alumina spheroidization process with a low-power direct-current radiofrequency (DC-RF) hybrid plasma flow system, the effect of a small amount of helium gas mixture in argon main gas and also the effect of increasing DC nozzle diameter on powder spheroidization ratio have been experimentally clarified with correlating helium gas mixture percentage, plasma enthalpy, powder in-flight velocity, and temperature. The alumina spheroidization ratio increases by helium gas mixture as a result of enhancement of plasma enthalpy. The highest spheroidization ratio is obtained by 4% mixture of helium in central gas with enlarging nozzle diameter from 3 to 4 mm, even under the constant low input electric power given to a DC-RF hybrid plasma flow system.

  18. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  19. Modeling of polarization phenomena due to RF sheaths and electron beams in magnetized plasma; Modelisation de phenomenes de polarisation par des gaines rf et des faisceaux electroniques dans un plasma magnetise

    Energy Technology Data Exchange (ETDEWEB)

    Faudot, E

    2005-07-01

    This work investigates the problematic of hot spots induced by accelerated particle fluxes in tokamaks. It is shown that the polarization due to sheaths in the edge plasma in which an electron beam at a high level of energy is injected, can reach several hundreds volts and thus extend the deposition area. The notion of obstructed sheath is introduced and explains the acceleration of energy deposition by the decreasing of the sheath potential. Then, a 2-dimensional fluid modeling of flux tubes in front of ICRF antennae allows us to calculate the rectified potentials taking into account RF polarization currents transverse to magnetic field lines. The 2-dimensional fluid code designed validates the analytical results which show that the DC rectified potential is 50% greater with polarization currents than without. Finally, the simultaneous application of an electron beam and a RF potential reveals that the potentials due to each phenomenon are additives when RF potential is much greater than beam polarization. The density depletion of polarized flux tubes in 2-dimensional PIC (particles in cells) simulations is characterized but not yet explained. (author)

  20. Iterative Addition of Kinetic Effects to Cold Plasma RF Wave Solvers

    Science.gov (United States)

    Green, David; Berry, Lee; RF-SciDAC Collaboration

    2017-10-01

    The hot nature of fusion plasmas requires a wave vector dependent conductivity tensor for accurate calculation of wave heating and current drive. Traditional methods for calculating the linear, kinetic full-wave plasma response rely on a spectral method such that the wave vector dependent conductivity fits naturally within the numerical method. These methods have seen much success for application to the well-confined core plasma of tokamaks. However, quantitative prediction of high power RF antenna designs for fusion applications has meant a requirement of resolving the geometric details of the antenna and other plasma facing surfaces for which the Fourier spectral method is ill-suited. An approach to enabling the addition of kinetic effects to the more versatile finite-difference and finite-element cold-plasma full-wave solvers was presented by where an operator-split iterative method was outlined. Here we expand on this approach, examine convergence and present a simplified kinetic current estimator for rapidly updating the right-hand side of the wave equation with kinetic corrections. This research used resources of the Oak Ridge Leadership Computing Facility at the Oak Ridge National Laboratory, which is supported by the Office of Science of the U.S. Department of Energy under Contract No. DE-AC05-00OR22725.

  1. Spatio-temporal powder formation and trapping in RF silane plasmas using 2-D polarization-sensitive laser scattering

    International Nuclear Information System (INIS)

    Dorier, J.L.; Hollenstein, C.; Howling, A.A.

    1994-09-01

    Powder formation studies in deposition plasmas are motivated by the need to reduce contamination in the plasma and films. Models for the force acting upon particles in rf discharges suffer from a lack of quantitative experimental data for comparison in the case of silane-containing plasmas. In this work, a cross-section of the parallel-plate capacitor discharge is illuminated with a polarized beam-expanded laser and global spatio-temporal scattered light and extinction are recorded by CCD cameras. Spatially-regular periodic bright/dark zones due to constructive/destructive Mie interference are visible over large regions of the powder layers, which shows the uniform nature of particle growth in silane plasmas. For particles trapped in an argon plasma, as for steady-state conditions in silane, spatial size segregation is demonstrated by fringes which reverse according to the polarisation of scattered light. The method allow a self-consistent estimation of particle size and number density throughout the discharge volume from which strong particle Coulomb coupling (Γ>40) is suggested to influence powder dynamics. Correction must be made to the plasma emission profile for the extinction by powder. In conclusion, this global diagnostics improves understanding of particle growth and dynamics in silane rf discharges and provides experimental input for testing the validity of models. (author) 6 figs., 43 refs

  2. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    Science.gov (United States)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  3. Open-ended fusion devices and reactors

    International Nuclear Information System (INIS)

    Kawabe, T.; Nariai, H.

    1983-01-01

    Conceptual design studies on fusion reactors based upon open-ended confinement schemes, such as the tandem mirror and rf plugged cusp, have been carried out in Japan. These studies may be classified into two categories: near-term devices (Fusion Engineering Test Facility), and long-term fusion power recators. In the first category, a two-component cusp neutron source was proposed. In the second category, the GAMMA-R, a tandem-mirror power reactor, and the RFC-R, an axisymetric mirror and cusp, reactor studies are being conducted at the University of Tsukuba and the Institute of Plasma Physics. Mirror Fusion Engineering Facility parameters and a schematic are shown. The GAMMA-R central-cell design schematic is also shown

  4. About of the Electrostatic fields excitation theory by a RF wave in a plasma

    International Nuclear Information System (INIS)

    Gutierrez T, C.R.

    1991-01-01

    In an unidimensional model is shown in the cases of a semi limited plasma and a layer of plasma the excitement mechanism of electrostatic fields for a radiofrequency wave (RF) polarized lineally. This phenomenon depends strongly on the combined action of the Miller force and that of impulsion. It is shown that the action of these forces is carried out in different characteristic times when the front of wave crosses through the plasma. The cases of a semi limited plasma and of a layer of plasma without and with current are analyzed. It is shown that near the frontiers of the plasma where the field is sufficiently big arise oscillations of the width of the field that are slowly muffled in the space in an exponential way. In the cases of a plasma layer its are shown that the processes that arise near the frontier x = L are similar to the processes that arise near the frontier x = 0. The existence of current in the plasma layer leads to the blockade of the excited perturbations in the frontier x = L. (Author)

  5. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    Science.gov (United States)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  6. Filtering peripheral high temperature electrons in a cylindrical rf-driven plasmas by an axisymmetric radial magnetic field

    Science.gov (United States)

    Akahoshi, Hikaru; Takahashi, Kazunori; Ando, Akira

    2018-03-01

    High temperature electrons generated near a radial wall of a cylindrical source tube in a radiofrequency (rf) inductively-coupled plasma is filtered by an axisymmetric radial magnetic field formed near the source exit by locating annular permanent magnets, where the axial magnetic field strength in the radially central region is fairly uniform inside the source tube and is close to zero near the source exit. The source is operated at 3 mTorr in argon and the rf antenna is powered by a 13.56 MHz and 400 W rf generator. Measurement of electron energy probability functions shows the presence of the peripheral high temperature electrons inside the source, while the temperature of the peripheral electrons downstream of the source is observed to be reduced.

  7. Characterization of diamond-like carbon thin film synthesized by RF atmospheric pressure plasma Ar/CH4 jet

    Science.gov (United States)

    Sohbatzadeh, Farshad; Safari, Reza; Etaati, G. Reza; Asadi, Eskandar; Mirzanejhad, Saeed; Hosseinnejad, Mohammad Taghi; Samadi, Omid; Bagheri, Hanieh

    2016-01-01

    The growth of diamond like carbon (DLC) on a Pyrex glass was investigated by a radio frequency (RF) atmospheric pressure plasma jet (APPJ). The plasma jet with capacitive configuration ran by a radio frequency power supply at 13.56 MHz. Alumina ceramic was used as dielectric barrier. Ar and CH4 were used in atmospheric pressure as carrier and precursor gases, respectively. Diamond like carbon thin films were deposited on Pyrex glass at substrate temperature and applied power of 130 °C and 250 Watts, respectively. Performing field emission scanning electron microscope (FE-SEM) and laser Raman spectroscopy analysis resulted in deposition rate and the ID/IG ratio of 21.31 nm/min and 0.47, respectively. The ID/IG ratio indicated that the coating possesses relative high sp3 content The optical emission spectroscopy (OES) diagnostic was applied to diagnose plasma jet species. Estimating electron temperature and density of the RF-APPJ resulted in 1.36 eV and 2.75 × 1014 cm-3 at the jet exit, respectively.

  8. Numerical Modelling of Wood Gasification in Thermal Plasma Reactor

    Czech Academy of Sciences Publication Activity Database

    Hirka, Ivan; Živný, Oldřich; Hrabovský, Milan

    2017-01-01

    Roč. 37, č. 4 (2017), s. 947-965 ISSN 0272-4324 Institutional support: RVO:61389021 Keywords : Plasma modelling * CFD * Thermal plasma reactor * Biomass * Gasification * Syngas Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 2.355, year: 2016 https://link.springer.com/article/10.1007/s11090-017-9812-z

  9. Profiles of plasma parameters and density of negative hydrogen ions by laser detachment measurements in RF-driven ion sources

    International Nuclear Information System (INIS)

    Christ-Koch, Sina

    2007-01-01

    This work shows the application of the Laserdetachment method for spatially resolved measurements of negative Hydrogen/Deuterium ion density. It was applied on a high power low pressure RF-driven ion source. The Laser detachment method is based on the measurement of electron currents on a positively biased Langmuir probe before and during/after a laser pulse. The density ratio of negative ions to electrons can be derived from the ratio of currents to the probe. The absolute density of negative ions can be obtained when the electron density is measured with the standard Langmuir probe setup. Measurements with the Langmuir probe additionally yield information about the floating and plasma potential, the electron temperature and the density of positive ions. The Laser detachment setup had to be adapted to the special conditions of the RF-driven source. In particular the existence of RF fields (1 MHz), high source potential (-20 kV), magnetic fields (∝ 7 mT) and caesium inside the source had to be considered. The density of negative ions could be identified in the range of n(H - )=1.10 17 1/m 3 , which is in the same order of magnitude as the electron density. Only the application of the Laser detachment method with the Langmuir probe measurements will yield spatially resolved plasma parameters and H- density profiles. The influence of diverse external parameters, such as pressure, RF-power, magnetic fields on the plasma parameters and their profiles were studied and explained. Hence, the measurements lead to a detailed understanding of the processes inside the source. (orig.)

  10. International school of plasma physics course on instabilities and confinement in toroidal plasmas. Varenna (Italy), September 27-October 9, 1971

    International Nuclear Information System (INIS)

    1974-11-01

    The lectures of a Varenna Summer School about the theme Instabilities and Confinement in toroidal Plasmas are given. The topics included are: high-beta toroidal pinches, non-MHD instabilities and anomalous transport, analogy between turbulent transfer in velocity space and plasma collisioned transport in real space, the magnetohydrodynamic approach of plasma confinement in closed magnetic configurations, properties of isodynamical equilibrium configurations and their generalization, transport theory for toroidal plasmas, plasma physics, low-β toroidal machines, the neoclassical theory of transit time magnetic pumping, radio frequency heating of toroidal plasmas, plasma heating at lower hybrid frequency, RF-plasma heating with L-structures, numerical simulation, dynamical stabilization of low frequency waves in inhomogeneous plasmas, dynamic and feedback stabilization of plasmas and problems with nuclear fusion reactors

  11. How to increase the hydrophobicity of PTFE surfaces using an r.f. atmospheric-pressure plasma torch

    NARCIS (Netherlands)

    Carbone, E.A.D.; Boucher, N.; Sferrazza, M.; Reniers, F.

    2010-01-01

    An experimental investigation of the surface modification of polytetrafluoroethylene (PTFE) by an Ar and Ar/O2 plasma created with an atmospheric-pressure radio frequency (r.f.) torch is presented here. The surfaces were analyzed by atomic force microscopy (AFM), XPS and water contact angle (WCA) to

  12. Modeling of polarization phenomena due to RF sheaths and electron beams in magnetized plasma

    International Nuclear Information System (INIS)

    Faudot, E.

    2005-01-01

    This work investigates the problematic of hot spots induced by accelerated particle fluxes in tokamaks. It is shown that the polarization due to sheaths in the edge plasma in which an electron beam at a high level of energy is injected, can reach several hundreds volts and thus extend the deposition area. The notion of obstructed sheath is introduced and explains the acceleration of energy deposition by the decreasing of the sheath potential. Then, a 2-dimensional fluid modeling of flux tubes in front of ICRF antennae allows us to calculate the rectified potentials taking into account RF polarization currents transverse to magnetic field lines. The 2-dimensional fluid code designed validates the analytical results which show that the DC rectified potential is 50% greater with polarization currents than without. Finally, the simultaneous application of an electron beam and a RF potential reveals that the potentials due to each phenomenon are additives when RF potential is much greater than beam polarization. The density depletion of polarized flux tubes in 2-dimensional PIC (particles in cells) simulations is characterized but not yet explained. (author)

  13. Thermal energy and bootstrap current in fusion reactor plasmas

    International Nuclear Information System (INIS)

    Becker, G.

    1993-01-01

    For DT fusion reactors with prescribed alpha particle heating power P α , plasma volume V and burn temperature i > ∼ 10 keV specific relations for the thermal energy content, bootstrap current, central plasma pressure and other quantities are derived. It is shown that imposing P α and V makes these relations independent of the magnitudes of the density and temperature, i.e. they only depend on P α , V and shape factors or profile parameters. For model density and temperature profiles analytic expressions for these shape factors and for the factor C bs in the bootstrap current formula I bs ∼ C bs (a/R) 1/2 β p I p are given. In the design of next-step devices and fusion reactors, the fusion power is a fixed quantity. Prescription of the alpha particle heating power and plasma volume results in specific relations which can be helpful for interpreting computer simulations and for the design of fusion reactors. (author) 5 refs

  14. Metal doped fluorocarbon polymer films prepared by plasma polymerization using an RF planar magnetron target

    International Nuclear Information System (INIS)

    Biederman, H.; Holland, L.

    1983-01-01

    Fluorocarbon films have been prepared by plasma polymerization of CF 4 using an RF planar magnetron with an aluminium target. More than one order of magnitude higher deposition rate has been achieved in comparison with an r.f. diode system operated under similar conditions of monomer pressure and flow rate and power input. A glow discharge in a CF 4 [25%]-argon[75%] mixture was used to incorporate aluminium from a target electrode into the polymer films. The foregoing mixture and another based on CF 4 [87%]-argon[13%] were used in the RF discharge with a copper target. Some experiments with a gold target and pure CF 4 as the inlet gas were also made. The film structure was examined by SEM and TEM and characteristic micrographs are presented here. The composition of the films was estimated from an EAS study. The sheet resistivity of the metal/polymer film complexes was determined. (orig.)

  15. Metal doped fluorocarbon polymer films prepared by plasma polymerization using an RF planar magnetron target

    Energy Technology Data Exchange (ETDEWEB)

    Biederman, H.; Holland, L. (Sussex Univ., Brighton (UK). Lab. for Plasma Materials Processing)

    1983-07-01

    Fluorocarbon films have been prepared by plasma polymerization of CF/sub 4/ using an RF planar magnetron with an aluminium target. More than one order of magnitude higher deposition rate has been achieved in comparison with an R.F. diode system operated under similar conditions of monomer pressure and flow rate and power input. A glow discharge in a CF/sub 4/(25%)-argon(75%) mixture was used to incorporate aluminium from a target electrode into the polymer films. The foregoing mixture and another based on CF/sub 4/(87%)-argon(13%) were used in the RF discharge with a copper target. Some experiments with a gold target and pure CF/sub 4/ as the inlet gas were also made. The film structure was examined by SEM and TEM and characteristic micrographs are presented here. The composition of the films was estimated from an EAS study. The sheet resistivity of the metal/polymer film complexes was determined.

  16. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    International Nuclear Information System (INIS)

    Luan, P; Knoll, A J; Wang, H; Oehrlein, G S; Kondeti, V S S K; Bruggeman, P J

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O 2 and 1% air plasma and OH for Ar/1% H 2 O plasma, play an essential role for polymer etching. For O 2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10 −4 to 10 −3 is consistent with low pressure plasma research. We also find that adding O 2 and H 2 O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O 2 /H 2 O plasma. (letter)

  17. CoPt/TiN films nanopatterned by RF plasma etching towards dot-patterned magnetic media

    Science.gov (United States)

    Szívós, János; Pothorszky, Szilárd; Soltys, Jan; Serényi, Miklós; An, Hongyu; Gao, Tenghua; Deák, András; Shi, Ji; Sáfrán, György

    2018-03-01

    CoPt thin films as possible candidates for Bit Patterned magnetic Media (BPM) were prepared and investigated by electron microscopy techniques and magnetic measurements. The structure and morphology of the Direct Current (DC) sputtered films with N incorporation were revealed in both as-prepared and annealed state. Nanopatterning of the samples was carried out by means of Radio Frequency (RF) plasma etching through a Langmuir-Blodgett film of silica nanospheres that is a fast and high throughput technique. As a result, the samples with hexagonally arranged 100 nm size separated dots of fct-phase CoPt were obtained. The influence of the order of nanopatterning and anneling on the nanostructure formation was revealed. The magnetic properties of the nanopatterned fct CoPt films were investigated by Vibrating Sample Magnetometer (VSM) and Magnetic Force Microscopy (MFM). The results show that CoPt thin film nanopatterned by means of the RF plasma etching technique is promising candidate to a possible realization of BPM. Furthermore, this technique is versatile and suitable for scaling up to technological and industrial applications.

  18. The characteristics of RF modulated plasma boundary sheaths: An analysis of the standard sheath model

    Science.gov (United States)

    Naggary, Schabnam; Brinkmann, Ralf Peter

    2015-09-01

    The characteristics of radio frequency (RF) modulated plasma boundary sheaths are studied on the basis of the so-called ``standard sheath model.'' This model assumes that the applied radio frequency ωRF is larger than the plasma frequency of the ions but smaller than that of the electrons. It comprises a phase-averaged ion model - consisting of an equation of continuity (with ionization neglected) and an equation of motion (with collisional ion-neutral interaction taken into account) - a phase-resolved electron model - consisting of an equation of continuity and the assumption of Boltzmann equilibrium -, and Poisson's equation for the electrical field. Previous investigations have studied the standard sheath model under additional approximations, most notably the assumption of a step-like electron front. This contribution presents an investigation and parameter study of the standard sheath model which avoids any further assumptions. The resulting density profiles and overall charge-voltage characteristics are compared with those of the step-model based theories. The authors gratefully acknowledge Efe Kemaneci for helpful comments and fruitful discussions.

  19. Study on the RF inductively coupled plasma spheroidization of refractory W and W-Ta alloy powders

    Science.gov (United States)

    Chenfan, YU; Xin, ZHOU; Dianzheng, WANG; Neuyen VAN, LINH; Wei, LIU

    2018-01-01

    Spherical powders with good flowability and high stacking density are mandatory for powder bed additive manufacturing. Nevertheless, the preparation of spherical refractory tungsten and tungsten alloy powders is a formidable task. In this paper, spherical refractory metal powders processed by high-energy stir ball milling and RF inductively coupled plasma were investigated. By utilizing the technical route, pure spherical tungsten powders were prepared successfully, the flowability increased from 10.7 s/50 g to 5.5 s/50 g and apparent density increased from 6.916 g cm-3 to 11.041 g cm-3. Alloying element tantalum can reduce the tendency to micro-crack during tungsten laser melting and rapid solidification process. Spherical W-6Ta (%wt) powders were prepared in this way, homogeneous dispersion of tantalum in a tungsten matrix occurred but a small amount of flake-like shape particles appeared after high-energy stir ball milling. The flake-like shape particles can hardly be spheroidized in subsequent RF inductively coupled plasma process, might result from the unique suspended state of flaky particles under complex electric and magnetic fields as well as plasma-particle heat exchange was different under various turbulence models. As a result, the flake-like shape particles cannot pass through the high-temperature area of thermal plasma torch and cannot be spheroidized properly.

  20. Plasma startup patterns in tokamak reactors

    International Nuclear Information System (INIS)

    Maki, Koichi; Tone, Tatsuzo.

    1983-01-01

    Plasma startup patterns are studied from the viewpoint of net power loss represented by the total power loss less the α-particle heating power. The existence is shown of a critical temperature of plasma at which the net power loss becomes independent of plasma density. Observations are made which indicate that the net power loss decreases with lowering plasma density in the range below the critical temperature and vice versa, whether governed by empirical or trapped-ion scaling laws. A startup pattern is presented which minimizes the net power loss during startup, and which prescribes that: (1) The plasma density should be kept as low as possible until the plasma is heated up to the critical temperature; (2) thereafter, the plasma density should be increased to its steady state value while retaining the critical temperature; and (3) finally, with the density kept constant, the temperature should be further raised to its steady state value. The net power loss at critical temperature represents the lower limit of heating power required to bring the plasma to steady state in tokamak reactors. (author)

  1. Measurement of ion temperature and flow in RF start-up plasmas in TST-2 and LATE

    International Nuclear Information System (INIS)

    Tsuda, Shintaro; Ejiri, Akira; Takase, Yuichi; Tsujii, Naoto; Takeuchi, Toshihiro; Tanaka, Hitoshi; Uchida, Masaki; Maekawa, Takashi

    2015-01-01

    The ion temperature and flow of RF start-up plasmas in TST-2 and LATE were measured using a visible spectrometer. The plasma currents were 9 kA and 8 kA, respectively. The typical ion temperatures T i and toroidal flow V ϕ were 4 eV and 1 km/s, respectively, in the TST-2 plasma sustained by the lower hybrid wave (20 kW) and T i ∼ 10 eV and V ϕ ∼ 5 km/s in the LATE plasma sustained by the electron cyclotron wave (50 kW). The poloidal flow velocities were comparable to the toroidal velocities. The ion temperatures were relatively high and the ion orbit loss can be significant. (author)

  2. Plasma cleaning of ITER first mirrors

    Science.gov (United States)

    Moser, L.; Marot, L.; Steiner, R.; Reichle, R.; Leipold, F.; Vorpahl, C.; Le Guern, F.; Walach, U.; Alberti, S.; Furno, I.; Yan, R.; Peng, J.; Ben Yaala, M.; Meyer, E.

    2017-12-01

    Nuclear fusion is an extremely attractive option for future generations to compete with the strong increase in energy consumption. Proper control of the fusion plasma is mandatory to reach the ambitious objectives set while preserving the machine’s integrity, which requests a large number of plasma diagnostic systems. Due to the large neutron flux expected in the International Thermonuclear Experimental Reactor (ITER), regular windows or fibre optics are unusable and were replaced by so-called metallic first mirrors (FMs) embedded in the neutron shielding, forming an optical labyrinth. Materials eroded from the first wall reactor through physical or chemical sputtering will migrate and will be deposited onto mirrors. Mirrors subject to net deposition will suffer from reflectivity losses due to the deposition of impurities. Cleaning systems of metallic FMs are required in more than 20 optical diagnostic systems in ITER. Plasma cleaning using radio frequency (RF) generated plasmas is currently being considered the most promising in situ cleaning technique. An update of recent results obtained with this technique will be presented. These include the demonstration of cleaning of several deposit types (beryllium, tungsten and beryllium proxy, i.e. aluminium) at 13.56 or 60 MHz as well as large scale cleaning (mirror size: 200 × 300 mm2). Tests under a strong magnetic field up to 3.5 T in laboratory and first experiments of RF plasma cleaning in EAST tokamak will also be discussed. A specific focus will be given on repetitive cleaning experiments performed on several FM material candidates.

  3. Characterization of Carbon-Contaminated B4C-Coated Optics after Chemically Selective Cleaning with Low-Pressure RF Plasma.

    Science.gov (United States)

    Moreno Fernández, H; Rogler, D; Sauthier, G; Thomasset, M; Dietsch, R; Carlino, V; Pellegrin, E

    2018-01-22

    Boron carbide (B 4 C) is one of the few materials that is expected to be most resilient with respect to the extremely high brilliance of the photon beam generated by free electron lasers (FELs) and is thus of considerable interest for optical applications in this field. However, as in the case of many other optics operated at light source facilities, B 4 C-coated optics are subject to ubiquitous carbon contaminations. Carbon contaminations represent a serious issue for the operation of FEL beamlines due to severe reduction of photon flux, beam coherence, creation of destructive interference, and scattering losses. A variety of B 4 C cleaning technologies were developed at different laboratories with varying success. We present a study regarding the low-pressure RF plasma cleaning of carbon contaminated B 4 C test samples via inductively coupled O 2 /Ar, H 2 /Ar, and pure O 2 RF plasma produced following previous studies using the same ibss GV10x downstream plasma source. Results regarding the chemistry, morphology as well as other aspects of the B 4 C optical coating before and after the plasma cleaning are reported. We conclude that among the above plasma processes only plasma based on pure O 2 feedstock gas exhibits the required chemical selectivity for maintaining the integrity of the B 4 C optical coatings.

  4. TREATMENT OF REFRACTORY OXIDES IN HF-PLASMA REACTORS

    OpenAIRE

    Bakhvalov , A.; Dresvin , S.; Levitskaya , T.; Paskalov , G.; Philippov , A.

    1990-01-01

    Results of theoretical and experimental studies of SiO2 NaBSi, MgO, W and some other materials treatment in induction type high-frequency plasma under atmospheric pressure are presented. Key study objective - optimization of plasma installation operating modes with maximum efficiency -0.6 -0.7 ; spheroidization extent -90-99%, size of treated particles 1-500 mkm. Diagnostics of thermophysical and gasodynamical plasma reactor specifications has been presented.

  5. Development of a new plasma reactor for propene removal

    Science.gov (United States)

    Oukacine, Linda; Tatibouët, Jean-Michel

    2008-10-01

    The purpose of the study is to develop a new plasma reactor being applied to gas phase pollution abatement, involving a surface dielectric barrier discharge (SDBD) at atmospheric pressure. Propene was chosen as a model pollutant. The system can associate a SDBD with a volume dielectric barrier discharge (VDBD). A specific catalyst can be placed in post-plasma site in order to destroy the residual ozone after use it as a strong oxidant for total oxidation of propene and by-products formed by the plasma reactor. A comparative study has been established between the propene removal efficiency of these two plasma geometries. The results demonstrate that SDBD is a promising system for gas cleaning. The experiments show that ozone production depends on plasma system configuration and indicate the effectiveness of combining SDBD and VDBD. The NOx formation remains very low, whereas ozone formation is the highest for the SDBD. The influence of some materials on the propene removal and the ozone production were studied.

  6. Alpha Channeling in a Rotating Plasma

    International Nuclear Information System (INIS)

    Abraham J. Fetterman; Nathaniel J. Fisch

    2008-01-01

    The wave-particle α-channeling effect is generalized to include rotating plasma. Specifically, radio frequency waves can resonate with α particles in a mirror machine with E x B rotation to diffuse the α particles along constrained paths in phase space. Of major interest is that the α-particle energy, in addition to amplifying the RF waves, can directly enhance the rotation energy which in turn provides additional plasma confinement in centrifugal fusion reactors. An ancillary benefit is the rapid removal of alpha particles, which increases the fusion reactivity

  7. α Channeling in a Rotating Plasma

    International Nuclear Information System (INIS)

    Fetterman, Abraham J.; Fisch, Nathaniel J.

    2008-01-01

    The wave-particle α-channeling effect is generalized to include rotating plasma. Specifically, radio frequency waves can resonate with α particles in a mirror machine with ExB rotation to diffuse the α particles along constrained paths in phase space. Of major interest is that the α-particle energy, in addition to amplifying the rf waves, can directly enhance the rotation energy which in turn provides additional plasma confinement in centrifugal fusion reactors. An ancillary benefit is the rapid removal of alpha particles, which increases the fusion reactivity

  8. Filtering peripheral high temperature electrons in a cylindrical rf-driven plasmas by an axisymmetric radial magnetic field

    Directory of Open Access Journals (Sweden)

    Hikaru Akahoshi

    2018-03-01

    Full Text Available High temperature electrons generated near a radial wall of a cylindrical source tube in a radiofrequency (rf inductively-coupled plasma is filtered by an axisymmetric radial magnetic field formed near the source exit by locating annular permanent magnets, where the axial magnetic field strength in the radially central region is fairly uniform inside the source tube and is close to zero near the source exit. The source is operated at 3 mTorr in argon and the rf antenna is powered by a 13.56 MHz and 400 W rf generator. Measurement of electron energy probability functions shows the presence of the peripheral high temperature electrons inside the source, while the temperature of the peripheral electrons downstream of the source is observed to be reduced.

  9. Catalytic-Dielectric Barrier Discharge Plasma Reactor For Methane and Carbon Dioxide Conversion

    Directory of Open Access Journals (Sweden)

    Istadi Istadi

    2007-10-01

    Full Text Available A catalytic - DBD plasma reactor was designed and developed for co-generation of synthesis gas and C2+ hydrocarbons from methane. A hybrid Artificial Neural Network - Genetic Algorithm (ANN-GA was developed to model, simulate and optimize the reactor. Effects of CH4/CO2 feed ratio, total feed flow rate, discharge voltage and reactor wall temperature on the performance of catalytic DBD plasma reactor was explored. The Pareto optimal solutions and corresponding optimal operating parameters ranges based on multi-objectives can be suggested for catalytic DBD plasma reactor owing to two cases, i.e. simultaneous maximization of CH4 conversion and C2+ selectivity, and H2 selectivity and H2/CO ratio. It can be concluded that the hybrid catalytic DBD plasma reactor is potential for co-generation of synthesis gas and higher hydrocarbons from methane and carbon dioxide and showed better than the conventional fixed bed reactor with respect to CH4 conversion, C2+ yield and H2 selectivity for CO2 OCM process. © 2007 BCREC UNDIP. All rights reserved.[Presented at Symposium and Congress of MKICS 2007, 18-19 April 2007, Semarang, Indonesia][How to Cite: I. Istadi, N.A.S. Amin. (2007. Catalytic-Dielectric Barrier Discharge Plasma Reactor For Methane and Carbon Dioxide Conversion. Bulletin of Chemical Reaction Engineering and Catalysis, 2 (2-3: 37-44.  doi:10.9767/bcrec.2.2-3.8.37-44][How to Link/DOI: http://dx.doi.org/10.9767/bcrec.2.2-3.8.37-44 || or local: http://ejournal.undip.ac.id/index.php/bcrec/article/view/8][Cited by: Scopus 1 |

  10. Design and Preparation of RF System for the Lower Hybrid Fast Wave Heating and Current Drive Research on VEST

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun Ho; Jeong, Seung Ho [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of); Lee, Hyun Woo; Lee, Byung Je [Kwang Woon University, Chuncheon (Korea, Republic of); Jo, Jong Gab; Lee, Hyun Young; Hwang, Yong Seok [Seoul National University, Seoul (Korea, Republic of)

    2015-10-15

    Continuous current drive is one of the key issues for tokamak to be a commercial fusion reactor. As a part of new and efficient current drive concept research by using a Lower Hybrid Fast Wave (LHFW), the experimental study is planned on Versatile Experiment Spherical Torus (VEST) and a RF system is being developed in collaboration with Kwang Woon University (KWU), Korea Accelerator Plasma Research Association (KAPRA) and Seoul National University (SNU). The LHFW RF system includes UHF band klystron, inter-digital antenna, RF diagnostics and power transmission sub components such as circulator, DC breaker, vacuum feed-thru. The design and preparation status of the RF system will be presented in the meeting in detail. A RF system has been designed and prepared for the experimental study of efficient current drive by using Lower Hybrid Fast Wave. Overall LHFW RF system including diagnostics is designed to deliver about 10 kW in UHF band. And the key hardware components including klystron and antenna are being prepared and designed through the collaboration with KWU, KAPRA and SNU.

  11. MESSENGER Spacecraft Phase Scintillation due to Plasma ductting effect on RF beam propagation at Superior Solar Conjunction

    Science.gov (United States)

    Mosavi, N.; Sequeira, H.; Copeland, D.; Menyuk, C.

    2017-12-01

    We investigate the evolution of a radio frequency (RF) X-band signal as it propagates through the solar corona turbulence in superior solar conjunction at low Sun-Earth-Probe (SEP) angles.Data that was obtained during several MESSENGER (MErcury Surface, Space ENivornment, GEochmeisty, and Ranging) conjunctions reveal a short-term and long-term effect. Amplitude scintillation is evident on a short time scale. Phase scintillations are stronger, but occur over a longer time scale. We examine different possible phenomena in the solar plasma that could be the source of the different time scales of the amplitude and phase scintillations. We propose a theoretical model in which the amplitude scintillations are due to local fluctuations of the index of refraction that scatter the RF signal. These rapidly varying fluctuations randomly attenuate the signal without affecting its phase. By contrast, we propose a model in which phase fluctuations are due to long ducts in the solar plasma, streaming from the sun, that trap some parts of the RF signal. These ducts act as waveguides, changing the phase velocity of the RF beam as it travels a zigzag path inside a duct. When the radiated wave exits from a duct, its phase is changed with respect to the signal that did not pass through the duct, which can lead to destructive interference and carrier suppression. The trapping of the wave is random in nature and can be either a fast or slow process. The predictions of this model are consistent with observations.

  12. Converting a Microwave Oven into a Plasma Reactor: A Review

    Directory of Open Access Journals (Sweden)

    Victor J. Law

    2018-01-01

    Full Text Available This paper reviews the use of domestic microwave ovens as plasma reactors for applications ranging from surface cleaning to pyrolysis and chemical synthesis. This review traces the developments from initial reports in the 1980s to today’s converted ovens that are used in proof-of-principle manufacture of carbon nanostructures and batch cleaning of ion implant ceramics. Information sources include the US and Korean patent office, peer-reviewed papers, and web references. It is shown that the microwave oven plasma can induce rapid heterogeneous reaction (solid to gas and liquid to gas/solid plus the much slower plasma-induced solid state reaction (metal oxide to metal nitride. A particular focus of this review is the passive and active nature of wire aerial electrodes, igniters, and thermal/chemical plasma catalyst in the generation of atmospheric plasma. In addition to the development of the microwave oven plasma, a further aspect evaluated is the development of methodologies for calibrating the plasma reactors with respect to microwave leakage, calorimetry, surface temperature, DUV-UV content, and plasma ion densities.

  13. Charge plasma based source/drain engineered Schottky Barrier MOSFET: Ambipolar suppression and improvement of the RF performance

    Science.gov (United States)

    Kale, Sumit; Kondekar, Pravin N.

    2018-01-01

    This paper reports a novel device structure for charge plasma based Schottky Barrier (SB) MOSFET on ultrathin SOI to suppress the ambipolar leakage current and improvement of the radio frequency (RF) performance. In the proposed device, we employ dual material for the source and drain formation. Therefore, source/drain is divided into two parts as main source/drain and source/drain extension. Erbium silicide (ErSi1.7) is used as main source/drain material and Hafnium metal is used as source/drain extension material. The source extension induces the electron plasma in the ultrathin SOI body resulting reduction of SB width at the source side. Similarly, drain extension also induces the electron plasma at the drain side. This significantly increases the SB width due to increased depletion at the drain end. As a result, the ambipolar leakage current can be suppressed. In addition, drain extension also reduces the parasitic capacitances of the proposed device to improve the RF performance. The optimization of length and work function of metal used in the drain extension is performed to achieve improvement in device performance. Moreover, the proposed device makes fabrication simpler, requires low thermal budget and free from random dopant fluctuations.

  14. RF Sheath-Enhanced Plasma Surface Interaction Studies using Beryllium Optical Emission Spectroscopy in JET ITER-Like Wall

    Energy Technology Data Exchange (ETDEWEB)

    Agarici, G. [Fusion for Energy (F4E), Barcelona, Spain; Klepper, C Christopher [ORNL; Colas, L. [French Atomic Energy Commission (CEA); Krivska, Alena [Ecole Royale Militaire, Brussels Belgium; Bobkov, V. [Max-Planck-Institut fur Plasmaphysik, EURATOM Association, Garching, Germany; Jacquet, P. [Culham Centre for Fusion Energy (CCFE), Abingdon, UK; Delabie, Ephrem G. [ORNL; Giroud, C. [EURATOM / UKAEA, UK; Kirov, K K. [Association EURATOM-CCFE, Abingdon, UK; Lasa Esquisabel, Ane [ORNL; Lerche, E. [ERM-KMS, Association EURATOM-Belgian State, Brussels, Belgium; Dumortier, P. [ERM-KMS, Association EURATOM-Belgian State, Brussels, Belgium; Durodie, Frederic [Ecole Royale Militaire, Brussels Belgium

    2017-10-01

    A dedicated study on JET-ILW, deploying two types of ICRH antennas and spectroscopic observation spots at two outboard, beryllium limiters, has provided insight on long-range (up to 6m) RFenhanced plasma-surface interactions (RF-PSI) due to near-antenna electric fields. To aid in the interpretation of optical emission measurements of these effects, the antenna near-fields are computed using the TOPICA code, specifically run for the ITER-like antenna (ILA); similar modelling already existed for the standard JET antennas (A2). In the experiment, both antennas were operated in current drive mode, as RF-PSI tends to be higher in this phasing and at similar power (∼0.5 MW). When sweeping the edge magnetic field pitch angle, peaked RF-PSI effects, in the form of 2-4 fold increase in the local Be source,are consistently measured with the observation spots magnetically connect to regions of TOPICAL-calculated high near-fields, particularly at the near-antenna limiters. It is also found that similar RF-PSI effects are produced by the two types of antenna on similarly distant limiters. Although this mapping of calculated near-fields to enhanced RF-PSI gives only qualitative interpretion of the data, the present dataset is expected to provide a sound experimental basis for emerging RF sheath simulation model validation.

  15. Measurement of plasma-surface energy fluxes in an argon rf-discharge by means of calorimetric probes and fluorescent microparticles

    International Nuclear Information System (INIS)

    Maurer, H. R.; Kersten, H.; Hannemann, M.; Basner, R.

    2010-01-01

    Measured energy influx densities toward a tungsten dummy substrate in an argon rf-plasma are presented and a model for the description of the energy influx density based on plasma parameters, which have been obtained by Langmuir probe measurements, is applied. Furthermore, temperature measurements of microparticles are presented, which are confined in the plasma sheath. An extension of the model is developed for the description of the energy influx density to the particles. The comparison of model and experimental results offer the possibility to obtain an improved understanding of plasma-surface interactions.

  16. Conceptual design of a Tokamak hybrid power reactor (THPR)

    International Nuclear Information System (INIS)

    Matsuoka, F.; Imamura, Y.; Inoue, M.; Asami, N.; Kasai, M.; Yanagisawa, I.; Ida, T.; Takuma, T.; Yamaji, K.; Akita, S.

    1987-01-01

    A conceptual design of a fusion-fission hybrid tokamak reactor has been carried out to investigate the engineering feasibility and promising scale of a commercial hybrid reactor power plant. A tokamak fusion driver based on the recent plasma scaling law is introduced in this design study. The major parameters and features of the reactor are R=6.06 m, a=1.66 m, Ip=11.8 MA, Pf=668 MW, double null divertor plasma and steady state burning with RF current drive. The fusion power has been determined with medium energy multiplication in the blanket so as to relieve thermal design problems and produce electric power around 1000 MW. Uranium silicide is used for the fast fission blanket material to promise good nuclear performance. The coolant of the blanket is FLIBE and the tritium breeding blanket material is Li 2 O ceramics providing breeding ratio above unity

  17. Battery-Powered RF Pre-Ionization System for the Caltech Magnetohydrodynamically-Driven Jet Experiment: RF Discharge Properties and MHD-Driven Jet Dynamics

    Science.gov (United States)

    Chaplin, Vernon H.

    This thesis describes investigations of two classes of laboratory plasmas with rather different properties: partially ionized low pressure radiofrequency (RF) discharges, and fully ionized high density magnetohydrodynamically (MHD)-driven jets. An RF pre-ionization system was developed to enable neutral gas breakdown at lower pressures and create hotter, faster jets in the Caltech MHD-Driven Jet Experiment. The RF plasma source used a custom pulsed 3 kW 13.56 MHz RF power amplifier that was powered by AA batteries, allowing it to safely float at 4-6 kV with the cathode of the jet experiment. The argon RF discharge equilibrium and transport properties were analyzed, and novel jet dynamics were observed. Although the RF plasma source was conceived as a wave-heated helicon source, scaling measurements and numerical modeling showed that inductive coupling was the dominant energy input mechanism. A one-dimensional time-dependent fluid model was developed to quantitatively explain the expansion of the pre-ionized plasma into the jet experiment chamber. The plasma transitioned from an ionizing phase with depressed neutral emission to a recombining phase with enhanced emission during the course of the experiment, causing fast camera images to be a poor indicator of the density distribution. Under certain conditions, the total visible and infrared brightness and the downstream ion density both increased after the RF power was turned off. The time-dependent emission patterns were used for an indirect measurement of the neutral gas pressure. The low-mass jets formed with the aid of the pre-ionization system were extremely narrow and collimated near the electrodes, with peak density exceeding that of jets created without pre-ionization. The initial neutral gas distribution prior to plasma breakdown was found to be critical in determining the ultimate jet structure. The visible radius of the dense central jet column was several times narrower than the axial current channel

  18. Hydrogen retention in carbon-tungsten co-deposition layer formed by hydrogen RF plasma

    International Nuclear Information System (INIS)

    Katayama, K.; Kawasaki, T.; Manabe, Y.; Nagase, H.; Takeishi, T.; Nishikawa, M.

    2006-01-01

    Carbon-tungsten co-deposition layers (C-W layers) were formed by sputtering method using hydrogen or deuterium RF plasma. The deposition rate of the C-W layer by deuterium plasma was faster than that by hydrogen plasma, where the increase of deposition rate of tungsten was larger than that of carbon. This indicates that the isotope effect on sputtering-depositing process for tungsten is larger than that for carbon. The release curve of hydrogen from the C-W layer showed two peaks at 400 deg. C and 700 deg. C. Comparing the hydrogen release from the carbon deposition layer and the tungsten deposition layer, it is considered that the increase of the release rate at 400 deg. C is affected by tungsten and that at 700 deg. C is affected by carbon. The obtained hydrogen retention in the C-W layers which have over 60 at.% of carbon was in the range between 0.45 and 0.16 as H/(C + W)

  19. Status of fusion technology development in JAERI stressing steady-state operation for future reactors

    International Nuclear Information System (INIS)

    Matsuda, Shinzaburo

    2000-01-01

    This paper reports on the progress of the fusion reactor technologies developed at the Japan Atomic Energy Research Institute (JAERI) and expected to lead to a future steady state operation reactor. In particular, superconducting coil technology for plasma confinement, NBI and RF systems technology for plasma control and current drive, fueling and pumping systems technology for particle control, heat removal technology, and development of long life materials are highlighted as the important key elements for the future steady state operation. It will be discussed how these key technologies have already been developed by the ITER (International Thermonuclear Experimental Reactor) technology R and D as well as by the Japanese domestic program, and which technologies are planned for the near future

  20. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    Science.gov (United States)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  1. RF plasma production and heating below ion-cyclotron frequencies in Uragan torsatrons

    International Nuclear Information System (INIS)

    Moiseenko, V.E.; Berezhnyj, V.L.; Bondarenko, V.N.; Burchenko, P.Ya.; Chechkin, V.V.; Chernyshenko, V.Ya.; Dreval, M.B.; Garkusha, I.E.; Glazunov, G.P.; Grigor'eva, L.I.; Konovalov, V.G.; Kotsubanov, V.D.; Kramskoi, Ye.D.; Kulaga, A.E.; Lozin, A.V.; Castejon, F.; Hidalgo, C.; Hartmann, D.; Koch, R.; Lyssoivan, A.I.

    2011-01-01

    In the IPP-Kharkiv there are two torsatrons (stellarators) in operation, and in both of them Alfven resonance heating under high-k || conditions is used. This method of heating is advantageous for small-size devices, since in contrast to the minority and second-harmonic heating it can be realized at lower plasma densities. A series of experiments has been performed at the Uragan-3M torsatron with an aim to investigate the features of the discharge with a three-half-turn antenna. Electron temperatures in the T-bar = 0.2-0.5 keV range are achieved at plasma densities n-bar e approx. (0.5-1.5) x 10 13 cm -3 . The plasma energy content has increased by a factor of 2 with respect to the plasma produced with the frame antenna. A new four-strap shielded antenna has been manufactured and installed in the Uragan-2M. A high-frequency discharge for wall conditioning is introduced in the Uragan-2M torsatron. The discharge is sustained by a specially designed small frame antenna, and efficient hydrogen dissociation is achieved. A self-consistent model has been developed for simulation of plasma production in ICRF. The model includes a set of particle and energy-balance equations for the electrons, and the boundary problem for the Maxwell equations. The first calculation results on RF plasma production in the Uragan-2M stellarator with the frame-type antenna are presented.

  2. Fusion reactor technology studies. Final report for period August 1, 1972 - October 31, 1978

    International Nuclear Information System (INIS)

    Kulcinski, G.L.; Maynard, C.W.

    1984-04-01

    Major accomplishments for the period August 1, 1972 - October 31, 1978 include the publishing of four comprehensive fusion reactor conceptual design studies; experimental studies in the areas of radiation damage, plasma-wall interactions, superconducting magnets and 14-MeV neutron cross sections; development of the concepts of carbon curtains and ISSEC's for use in fusion reactors; development of a neutron and gamma heating computer code, a radioactivity and afterheat computer code and a neutral transport computer code; and studies in the areas of RF heating for tokamaks and resource assessment for fusion reactors

  3. Technological issues of ion cyclotron heating of fusion plasmas

    International Nuclear Information System (INIS)

    Hwang, D.Q.; Fortgang, C.M.

    1985-01-01

    With the recent promising results of plasma heating using electromagnetic waves (EM waves) in the ion cyclotron range of frequency (ICRF) on the Princeton Large Torus (PLT) tokamak the feasibility of employing ICRF heating to a reactor-like magnetic confinement device is increasing. The high power ICRF experiments funded on JET (Joint European Torus in England) and JT-60 (in Japan) will have rf source power in the range of 10-30 MW. The time scale for the duration of the RF pulse will range from seconds up to steady-state. The development of new RF components that can transmit and launch such high power, long pulse length, EM waves in a plasma environment is a major technological task. In general, the technology issues may be divided into two categories. The first category concerns the region where the plasma comes in contact with the wave launchers. The problems here are dominated by plasmamaterial interaction, heat deposition by the plasma onto the wave launcher, and erosion of the launcher material. It is necessary to minimize the heat deposition from the plasma, the losses of the RF wave energy in the structure, and to prevent sputtering of the antenna components. A solution involves a combined design using special materials and optimal shaping of the Faraday shield (the electrostatic shields which can be used both for an EM wave polarization adjustment and as a particle shield for the launcher). Recent studies by PPPL and McDonnell Douglas Corp. on the Faraday shield designs will be discussed. The second important area where technology development will be necessary is the transmission of high power RF waves through a gas/vacuum interface region. In the past, the vacuum feedthrough has been the bottle neck which prevented high power operation of the PLT antenna

  4. The Use of Multi-Reactor Cascade Plasma Electrolysis for Linear Alkylbenzene Sulfonate Degradation

    Science.gov (United States)

    Saksono, Nelson; Ibrahim; Zainah; Budikania, Trisutanti

    2018-03-01

    Plasma electrolysis is a method that can produce large amounts of hydroxyl radicals to degrade organic waste. The purpose of this study is to improve the effectiveness of Linear alkylbenzene sulfonate (LAS) degradation by using multi-reactor cascade plasma electrolysis. The reactor which operated in circulation system, using 3 reactors series flow and 6 L of LAS with initial concentration of 100 ppm. The results show that the LAS degradation can be improved multi-reactor cascade plasma electrolysis. The greatest LAS degradation is achieved up to 81.91% with energy consumption of 2227.34 kJ/mmol that is obtained during 120 minutes by using 600 Volt, 0.03 M of KOH, and 0.5 cm of the anode depth.

  5. Non-equilibrium plasma reactor for natrual gas processing

    International Nuclear Information System (INIS)

    Shair, F.H.; Ravimohan, A.L.

    1974-01-01

    A non-equilibrium plasma reactor for natural gas processing into ethane and ethylene comprising means of producing a non-equilibrium chemical plasma wherein selective conversion of the methane in natural gas to desired products of ethane and ethylene at a pre-determined ethane/ethylene ratio in the chemical process may be intimately controlled and optimized at a high electrical power efficiency rate by mixing with a recycling gas inert to the chemical process such as argon, helium, or hydrogen, reducing the residence time of the methane in the chemical plasma, selecting the gas pressure in the chemical plasma from a wide range of pressures, and utilizing pulsed electrical discharge producing the chemical plasma. (author)

  6. Abatement of fluorinated compounds using a 2.45 GHz microwave plasma torch with a reverse vortex plasma reactor

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J.H.; Cho, C.H.; Shin, D.H. [Plasma Technology Research Center, National Fusion Research Institute, 814-2 Oxikdo-dong, Gunsan-city, Jeollabuk-do (Korea, Republic of); Hong, Y.C., E-mail: ychong@nfri.re.kr [Plasma Technology Research Center, National Fusion Research Institute, 814-2 Oxikdo-dong, Gunsan-city, Jeollabuk-do (Korea, Republic of); Shin, Y.W. [Plasma Technology Research Center, National Fusion Research Institute, 814-2 Oxikdo-dong, Gunsan-city, Jeollabuk-do (Korea, Republic of); School of Advanced Green Energy and Environments, Handong Global University, Heunghae-eup, Buk-gu, Pohang-city, Gyeongbuk (Korea, Republic of)

    2015-08-30

    Highlights: • We developed a microwave plasma torch with reverse vortex reactor (RVR). • We calculated a volume fraction and temperature distribution of discharge gas and waste. • The performance of reverse vortex reactor increased from 29% to 43% than conventional vortex reactor. - Abstract: Abatement of fluorinated compounds (FCs) used in semiconductor and display industries has received an attention due to the increasingly stricter regulation on their emission. We have developed a 2.45 GHz microwave plasma torch with reverse vortex reactor (RVR). In order to design a reverse vortex plasma reactor, we calculated a volume fraction and temperature distribution of discharge gas and waste gas in RVR by ANSYS CFX of computational fluid dynamics (CFD) simulation code. Abatement experiments have been performed with respect to SF{sub 6}, NF{sub 3} by varying plasma power and N{sub 2} flow rates, and FCs concentration. Detailed experiments were conducted on the abatement of NF{sub 3} and SF{sub 6} in terms of destruction and removal efficiency (DRE) using Fourier transform infrared (FTIR). The DRE of 99.9% for NF{sub 3} was achieved without an additive gas at the N{sub 2} flow rate of 150 liter per minute (L/min) by applying a microwave power of 6 kW with RVR. Also, a DRE of SF{sub 6} was 99.99% at the N{sub 2} flow rate of 60 L/min using an applied microwave power of 6 kW. The performance of reverse vortex reactor increased about 43% of NF{sub 3} and 29% of SF{sub 6} abatements results definition by decomposition energy per liter more than conventional vortex reactor.

  7. Conceptual design of Fusion Experimental Reactor (FER)

    International Nuclear Information System (INIS)

    Tone, T.; Fujisawa, N.

    1983-01-01

    Conceptual design studies of the Fusion Experimental Reactor (FER) have been performed. The FER has an objective of achieving selfignition and demonstrating engineering feasibility as a next generation tokamak to JT-60. Various concepts of the FER have been considered. The reference design is based on a double-null divertor. Optional design studies with some attractive features based on advanced concepts such as pumped limiter and RF current drive have been carried out. Key design parameters are; fusion power of 440 MW, average neutron wall loading of 1MW/m 2 , major radius of 5.5m, plasma minor radius of 1.1m, plasma elongation of 1.5, plasma current of 5.3MA, toroidal beta of 4%, toroidal field on plasma axis of 5.7T and tritium breeding ratio of above unity

  8. A conceptual fusion reactor based on the high-plasma-density Z-pinch

    International Nuclear Information System (INIS)

    Hartman, C.W.; Carlson, G.; Hoffman, M.; Werner, R.

    1977-01-01

    Conceptual DT and DD fusion reactors are discussed based on magnetic confinement with the high-plasma-density Z-pinch. The reactor concepts have no ''first wall'', the fusion neutrons and plasma energy being absorbed directly into a surrounding lithium vortex blanket. Efficient systems with low re-circulated power are projected, based on a flow-through pinch cycle for which overall Q values can approach 10. The conceptual reactors are characterized by simplicity, small minimum size (100MW(e)) and by the potential for minimal radioactivity hazards. (author)

  9. Study of effect of grain size on dust charging in an RF plasma using three-dimensional PIC-MCC simulations

    International Nuclear Information System (INIS)

    Ikkurthi, V. R.; Melzer, A.; Matyash, K.; Schneider, R.

    2008-01-01

    A 3-dimensional Particle-Particle Particle-Mesh (P 3 M) code is applied to study the charging process of micrometer size dust grains confined in a capacitive RF discharge. In our model, particles (electrons and ions) are treated kinetically (Particle-in-Cell with Monte Carlo Collisions (PIC-MCC)). In order to accurately resolve the plasma particles' motion close to the dust grain, the PIC technique is supplemented with Molecular Dynamics (MD), employing an an analytic electrostatic potential for the interaction with the dust grain. This allows to self-consistently resolve the dust grain charging due to absorption of plasma electrons and ions. The charging of dust grains confined above lower electrode in a capacitive RF discharge and its dependence on the size and position of the dust is investigated. The results have been compared with laboratory measurements

  10. ICH antenna development on the ORNL RF Test Facility

    International Nuclear Information System (INIS)

    Gardner, W.L.; Bigelow, T.S.; Haste, G.R.; Hoffman, D.J.; Livesey, R.L.

    1987-01-01

    A compact resonant loop antenna is installed on the ORNL Radio Frequency Test Facility (RFTF). Facility characteristics include a steady-state magnetic field of ∼ 0.5 T at the antenna, microwave-generated plasmas with n e ∼ 10 12 cm -3 and T e ∼ 8 eV, and 100 kW of 25-MHz rf power. The antenna is tunable from ∼22--75 MHz, is designed to handle ≥1 MW of rf power, and can be moved 5 cm with respect to the port flange. Antenna characteristics reported and discussed include the effect of magnetic field on rf voltage breakdown at the capacitor, the effects of magnetic field and plasma on rf voltage breakdown between the radiating element and the Faraday shield, the effects of graphite on Faraday shield losses, and the efficiency of coupling to the plasma. 2 refs., 4 figs

  11. D-D tokamak reactor assessment

    International Nuclear Information System (INIS)

    Baxter, D.C.; Dabiri, A.E.

    1983-01-01

    A quantitative comparison of the physics and technology requirements, and the cost and safety performance of a d-d tokamak relative to a d-t tokamak has been performed. The first wall/blanket and energy recovery cycle for the d-d tokamak is simpler, and has a higher efficiency than the d-t tokamak. In most other technology areas (such as magnets, RF, vacuum, etc.) d-d requirements are more severe and the systems are more complex, expensive and may involve higher technical risk than d-t tokamak systems. Tritium technology for processing the plasma exhaust, and tritium refueling technology are required for d-d reactors, but no tritium containment around the blanket or heat transport system is needed. Cost studies show that for high plasma beta and high magnetic field the cost of electricity from d-d and d-t tokamaks is comparable. Safety analysis shows less radioactivity in a d-d reactor but larger amounts of stored energy and thus higher potential for energy release. Consequences of all postulated d-d accidents are significantly smaller than those from d-t reactor tritium releases

  12. Development of the DC-RF Hybrid Plasma Source and the Application to the Etching and Texturing of the Silicon Surface

    International Nuclear Information System (INIS)

    Kim, Ji Hun

    2011-02-01

    the vacuum chamber for vacuum processing. The experiment was provided on the mono-crystalline silicon wafer. The etching was carried out with plasma consisting of SF 6 (50 sccm) as a reactive etching gas with O 2 (300 sccm) as a supporting gas and Argon (2000 ∼ 3000 sccm) as a cathode protecting gas. Etching rates were 60 μm/min at low pressure (3-5 torr) and 300 μm/min at a atmospheric pressure. The sample was positioned in such as way that the plasma flow axis would coincide with the side facet of the silicon crystal. A texturing process was performed on a crystalline silicon (c-Si) wafer to increase the efficiency of a solar cell by using a high durability DC arc plasma source at atmospheric pressure and low pressure. CF 4 and SF 6 were used as the reactive etching gases at flow rates 2 as the supporting gas in the range of the 5 - 15 %. To survey the characteristics of the pyramid formation process, plasma texturing experiments were performed by varying the working time. The optimal operating conditions of the gas flow (Ar, O 2 , CF 4 , SF 6 ), plasmatron current and processing time were determined. The pyramid angle was approximately 50 .deg. to 60 .deg. when a single-crystalline silicon surface was textured in a vacuum whereas it was approximately 75 .deg. to 90 .deg. when textured at atmospheric pressure. The reflectance decreases with decreasing pyramid angle. The reflectance of the bare silicon ranged from 40 % to the 60 % but that of the textured silicon was approximately 5 % to 20 %. This reflectance is quite low, approximately half that reported by other studies using wet and reactive ion etching (RIE) texturing. Even though DC arc plasmatron has many advantages, it is difficult to apply an industry due to the small applied area. To increase an effective processing area, we suggest a DC-RF hybrid plasma system. The DC-RF hybrid plasma system was designed and made. This system consists of a DC arc plasmatron, RF parts, reaction chamber, power feeder

  13. Model of inductive plasma production assisted by radio-frequency wave in tokamaks

    International Nuclear Information System (INIS)

    Hasegawa, Makoto; Hanada, Kazuaki; Sato, Kohnosuke

    2007-01-01

    For initial plasma production, an induction electric field generated by applying voltage to a poloidal field (PF) coil system is used to produce a Townsend avalanche breakdown. When the avalanche margins are small, as for the International Thermonuclear Experimental Reactor (ITER) in which the induction electric field is about 0.3 V/m, the assistance of radio-frequency waves (RF) is provided to reduce the induction electric field required for reliable breakdown. However, the conditions of RF-assisted breakdown are not clear. Here, the effects of both RF and induction electric field on the RF-assisted breakdown are evaluated considering the electron loss. When traveling loss is the dominant loss, a simple model of an extended Townsend avalanche is proposed. In this model, the induction electric field required for RF-assisted breakdown can be decreased to half that required for induction breakdown. (author)

  14. High power RF transmission line component development

    International Nuclear Information System (INIS)

    Hong, B. G.; Hwang, C. K.; Bae, Y. D.; Yoon, J. S.; Wang, S. J.; Gu, S. H.; Yang, J. R.; Hahm, Y. S.; Oh, G. S.; Lee, J. R.; Lee, W. I.; Park, S. H.; Kang, M. S.; Oh, S. H.; Lee, W.I.

    1999-12-01

    We developed the liquid stub and phase shifter which are the key high RF power transmission line components. They show reliable operation characteristics and increased insulation capability, and reduced the size by using liquid (silicon oil, dielectric constant ε=2.72) instead of gas for insulating dielectric material. They do not have finger stock for the electric contact so the local temperature rise due to irregular contact and RF breakdown due to scratch in conductor are prevented. They can be utilized in broadcasting, radar facility which require high RF power transmission. Moreover, they are key components in RF heating system for fusion reactor. (author)

  15. High power RF transmission line component development

    Energy Technology Data Exchange (ETDEWEB)

    Hong, B. G.; Hwang, C. K.; Bae, Y. D.; Yoon, J. S.; Wang, S. J.; Gu, S. H.; Yang, J. R.; Hahm, Y. S.; Oh, G. S.; Lee, J. R.; Lee, W. I.; Park, S. H.; Kang, M. S.; Oh, S. H.; Lee, W.I

    1999-12-01

    We developed the liquid stub and phase shifter which are the key high RF power transmission line components. They show reliable operation characteristics and increased insulation capability, and reduced the size by using liquid (silicon oil, dielectric constant {epsilon}=2.72) instead of gas for insulating dielectric material. They do not have finger stock for the electric contact so the local temperature rise due to irregular contact and RF breakdown due to scratch in conductor are prevented. They can be utilized in broadcasting, radar facility which require high RF power transmission. Moreover, they are key components in RF heating system for fusion reactor. (author)

  16. RF plasma-driven hydrogen permeation through a biased iron membrane

    International Nuclear Information System (INIS)

    Banno, T.; Waelbroeck, F.; Winter, J.

    1984-01-01

    The steady-state RF plasma-driven hydrogen permeation through an electrically biased iron membrane has been investigated as a function of the bias potential Vsub(M) for membrane temperatures in the range of 150-400 0 C. Vsub(M) has been gradually increased positively from the floating potential of the membrane. The permeation flux decreases when Vsub(M) increases at low voltages: positive hydrogen ions are repelled. The membrane temperature does not influence this effect measurably. The permeation flux starts to increase when Vsub(M) is raised higher, i.e. when energetic electrons strike the surface. This phenomenon shows a pronounced temperature dependence - the enhancement is largest for the lowest temperatures. The effect is interpreted in terms of an electron-induced dissociation of hydrogen molecules on the membrane surface. (orig.)

  17. Numerical calculation of high frequency fast wave current drive in a reactor grade tokamak

    International Nuclear Information System (INIS)

    Ushigusa, Kenkichi; Hamamatsu, Kiyotaka

    1988-02-01

    A fast wave current drive with a high frequency is estimated for a reactor grade tokamak by the ray tracing and the quasi-linear Fokker-Planck calculations with an assumption of single path absorption. The fast wave can drive RF current with the drive efficiency of η CD = n-bar e (10 19 m -3 )I RC (A)R(m)/P RF (W) ∼ 3.0 when the wave frequency is selected to be f/f ci > 7. A sharp wave spectrum and a ph|| >/υ Te ∼ 3.0 are required to obtain a good efficiency. A center peaked RF current profile can be formed with an appropriate wave spectrum even in the high temperature plasma. (author)

  18. ''SensArray'' voltage sensor analysis in an inductively coupled plasma

    International Nuclear Information System (INIS)

    Titus, M. J.; Hsu, C. C.; Graves, D. B.

    2010-01-01

    A commercially manufactured PlasmaVolt sensor wafer was studied in an inductively coupled plasma reactor in an effort to validate sensor measurements. A pure Ar plasma at various powers (25-420 W), for a range of pressures (10-80 mT), and bias voltages (0-250 V) was utilized. A numerical sheath simulation was simultaneously developed in order to interpret experimental results. It was found that PlasmaVolt sensor measurements are proportional to the rf-current through the sheath. Under conditions such that the sheath impedance is dominantly capacitive, sensor measurements follow a scaling law derived from the inhomogeneous sheath model of Lieberman and Lichtenberg, [Principles of Plasma Discharges and Materials Processing (Wiley, New York, 2005)]. Under these conditions, sensor measurements are proportional to the square root of the plasma density at the plasma-sheath interface, the one-fourth root of the electron temperature, and the one-fourth root of the rf bias voltage. When the sheath impedance becomes increasingly resistive, the sensor measurements deviate from the scaling law and tend to be directly proportional to the plasma density. The measurements and numerical sheath simulation demonstrate the scaling behavior as a function of changing sheath impedance for various plasma conditions.

  19. RF study and 3-D simulations of a side-coupling thermionic RF-gun

    International Nuclear Information System (INIS)

    Rimjaem, S.; Kusoljariyakul, K.; Thongbai, C.

    2014-01-01

    A thermionic RF-gun for generating ultra-short electron bunches was optimized, developed and used as a source at a linac-based THz radiation research laboratory of the Plasma and Beam Physics Research Facility, Chiang Mai University, Thailand. The RF-gun is a π/2-mode standing wave structure, which consists of two S-band accelerating cells and a side-coupling cavity. The 2856 MHz RF wave is supplied from an S-band klystron to the gun through the waveguide input-port at the cylindrical wall of the second cell. A fraction of the RF power is coupled from the second cell to the first one via a side-coupling cavity. Both the waveguide input-port and the side-coupling cavity lead to an asymmetric geometry of the gun. RF properties and electromagnetic field distributions inside the RF-gun were studied and numerically simulated by using computer codes SUPERFISH 7.19 and CST Microwave Studio 2012 © . RF characterizations and tunings of the RF-gun were performed to ensure the reliability of the gun operation. The results from 3D simulations and measurements are compared and discussed in this paper. The influence of asymmetric field distributions inside the RF-gun on the electron beam properties was investigated via 3D beam dynamics simulations. A change in the coupling-plane of the side-coupling cavity is suggested to improve the gun performance

  20. RF study and 3-D simulations of a side-coupling thermionic RF-gun

    Science.gov (United States)

    Rimjaem, S.; Kusoljariyakul, K.; Thongbai, C.

    2014-02-01

    A thermionic RF-gun for generating ultra-short electron bunches was optimized, developed and used as a source at a linac-based THz radiation research laboratory of the Plasma and Beam Physics Research Facility, Chiang Mai University, Thailand. The RF-gun is a π/2-mode standing wave structure, which consists of two S-band accelerating cells and a side-coupling cavity. The 2856 MHz RF wave is supplied from an S-band klystron to the gun through the waveguide input-port at the cylindrical wall of the second cell. A fraction of the RF power is coupled from the second cell to the first one via a side-coupling cavity. Both the waveguide input-port and the side-coupling cavity lead to an asymmetric geometry of the gun. RF properties and electromagnetic field distributions inside the RF-gun were studied and numerically simulated by using computer codes SUPERFISH 7.19 and CST Microwave Studio 2012©. RF characterizations and tunings of the RF-gun were performed to ensure the reliability of the gun operation. The results from 3D simulations and measurements are compared and discussed in this paper. The influence of asymmetric field distributions inside the RF-gun on the electron beam properties was investigated via 3D beam dynamics simulations. A change in the coupling-plane of the side-coupling cavity is suggested to improve the gun performance.

  1. Application of new simulation algorithms for modeling rf diagnostics of electron clouds

    International Nuclear Information System (INIS)

    Veitzer, Seth A.; Smithe, David N.; Stoltz, Peter H.

    2012-01-01

    Traveling wave rf diagnostics of electron cloud build-up show promise as a non-destructive technique for measuring plasma density and the efficacy of mitigation techniques. However, it is very difficult to derive an absolute measure of plasma density from experimental measurements for a variety of technical reasons. Detailed numerical simulations are vital in order to understand experimental data, and have successfully modeled build-up. Such simulations are limited in their ability to reproduce experimental data due to the large separation of scales inherent to the problem. Namely, one must resolve both rf frequencies in the GHz range, as well as the plasma modulation frequency of tens of MHz, while running for very long simulations times, on the order of microseconds. The application of new numerical simulation techniques allow us to bridge the simulation scales in this problem and produce spectra that can be directly compared to experiments. The first method is to use a plasma dielectric model to measure plasma-induced phase shifts in the rf wave. The dielectric is modulated at a low frequency, simulating the effects of multiple bunch crossings. This allows simulations to be performed without kinetic particles representing the plasma, which both speeds up the simulations as well as reduces numerical noise from interpolation of particle charge and currents onto the computational grid. Secondly we utilize a port boundary condition model to simultaneously absorb rf at the simulation boundaries, and to launch the rf into the simulation. This method improves the accuracy of simulations by restricting rf frequencies better than adding an external (finite) current source to drive rf, and absorbing layers at the boundaries. We also explore the effects of non-uniform plasma densities on the simulated spectra.

  2. PLASMA POLYMER FILMS AS ADHESION PROMOTING PRIMERS FOR ALUMINUM SUBSTRATES. PART I: CHARACTERIZATION OF FILMS AND FILM/SUBSTRATE INTERFACES

    Science.gov (United States)

    Plasma polymerized hexamethyldisiloxane (HMDSO) films (~800 Å in thickness) were deposited onto aluminum substrates (6111-T4 alloy) in radio frequency (RF) and microwave (MW) powered reactors to be used as primers for structural adhesive bonding. Processing variables such as sub...

  3. rf driven multicusp H- ion source

    International Nuclear Information System (INIS)

    Leung, K.N.; DeVries, G.J.; DiVergilio, W.F.; Hamm, R.W.; Hauck, C.A.; Kunkel, W.B.; McDonald, D.S.; Williams, M.D.

    1991-01-01

    An rf driven multicusp source capable of generating 1-ms H - beam pulses with a repetition rate as high as 150 Hz has been developed. This source can be operated with a filament or other types of starter. There is almost no lifetime limitation and a clean plasma can be maintained for a long period of operation. It is demonstrated that rf power as high as 25 kW could be coupled inductively to the plasma via a glass-coated copper-coil antenna. The extracted H - current density achieved is about 200 mA/cm 2

  4. Degradation of Acid Orange 7 Dye in Two Hybrid Plasma Discharge Reactors

    International Nuclear Information System (INIS)

    Shen Yongjun; Ding Jiandong; Lei Lecheng; Zhang Xingwang

    2014-01-01

    To get an optimized pulsed electrical plasma discharge reactor and to increase the energy utilization efficiency in the removal of pollutants, two hybrid plasma discharge reactors were designed and optimized. The reactors were compared via the discharge characteristics, energy transfer efficiency, the yields of the active species and the energy utilization in dye wastewater degradation. The results showed that under the same AC input power, the characteristics of the discharge waveform of the point-to-plate reactor were better. Under the same AC input power, the two reactors both had almost the same peak voltage of 22 kV. The peak current of the point-to-plate reactor was 146 A, while that of the wire-to-cylinder reactor was only 48.8 A. The peak powers of the point-to-plate reactor and the wire-to-cylinder reactor were 1.38 MW and 1.01 MW, respectively. The energy per pulse of the point-to-plate reactor was 0.2221 J, which was about 29.4% higher than that of the wire-to-cylinder reactor (0.1716 J). To remove 50% Acid Orange 7 (AO7), the energy utilizations of the point-to-plate reactor and the wire-to-cylinder reactor were 1.02 × 10 −9 mol/L and 0.61 × 10 −9 mol/L, respectively. In the point-to-plate reactor, the concentration of hydrogen peroxide in pure water was 3.6 mmol/L after 40 min of discharge, which was higher than that of the wire-to-cylinder reactor (2.5 mmol/L). The concentration of liquid phase ozone in the point-to-plate reactor (5.7 × 10 −2 mmol/L) was about 26.7% higher than that in the wire-to-cylinder reactor (4.5 × 10 −2 mmol/L). The analysis results of the variance showed that the type of reactor and reaction time had significant impacts on the yields of the hydrogen peroxide and ozone. The main degradation intermediates of AO7 identified by gas chromatography and mass spectrometry (GCMS) were acetic acid, maleic anhydride, p-benzoquinone, phenol, benzoic acid, phthalic anhydride, coumarin and 2-naphthol. Proposed degradation

  5. RF ion source development for neutral beam application

    International Nuclear Information System (INIS)

    Leung, K.N.; Ehlers, K.W.; Kippenhan, D.; Vella, M.C.

    1983-11-01

    At Lawrence Berkeley Laboratory, a 24 x 24 cm 2 RF source has been tested with beam acceleration. Recently, we have been investigating the characteristics of plasmas generated with different kinds of antenna coatings. The antenna coil was installed inside a cylindrical multicusp source (20-cm diam by 24-cm long) and was driven by a 500 W amplifier. A tiny light bulb filament was used to start a background plasma. The RF was then switched on and a steady-state hydrogen plasma of moderate density (n approx. = 10 11 /cm 3 ) could be sustained even with the filament turned off

  6. Dismantling of JPDR reactor internals by underwater plasma arc cutting technique using robotic manipulator

    International Nuclear Information System (INIS)

    Yokota, M.

    1988-01-01

    The actual dismantling of JPDR started on December 4, 1986. As of now, equipment that surrounds the reactor has mostly been removed to provide working space in reactor containment prior to the dismantling of reactor internals. Some reactor internals have been successfully dismantled using the underwater arc cutting system with a robotic manipulator during the period of January to March 1988. The cutting system is composed of an underwater plasma arc cutting device and a robotic manipulator. The cut off reactor internals were core spray block, feedwater sparger and stabilizers for fuel upper grid tube. The plasma arc cutting device was developed to dismantle the reactor internals underwater. It mainly consists of a plasma torch, power and gas supply systems for the torch, and by-product treatment systems. It has the cutting ability of 130 mm thickness stainless steel underwater. The robotic manipulator has seven degrees of freedom of movement, enabling it to move in almost the same way as the arm of a human being. The arm of the robot is mounted on a supporting device which is suspended by three chains from the support structure set on a service floor. A plasma torch is griped by the robotic hand; its position to the structure to be cut is controlled from a remote control room, about 100 meters outside the reactor containment

  7. Multilayered metal oxide thin film gas sensors obtained by conventional and RF plasma-assisted laser ablation

    International Nuclear Information System (INIS)

    Mitu, B.; Marotta, V.; Orlando, S.

    2006-01-01

    Multilayered thin films of In 2 O 3 and SnO 2 have been deposited by conventional and RF plasma-assisted reactive pulsed laser ablation, with the aim to evaluate their behaviour as toxic gas sensors. The depositions have been carried out by a frequency doubled Nd-YAG laser (λ = 532 nm, τ = 7 ns) on Si(1 0 0) substrates, in O 2 atmosphere. The thin films have been characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and electrical resistance measurements. A comparison of the electrical response of the simple (indium oxide, tin oxide) and multilayered oxides to toxic gas (nitric oxide, NO) has been performed. The influence on the structural and electrical properties of the deposition parameters, such as substrate temperature and RF power is reported

  8. Redefinition of the self-bias voltage in a dielectrically shielded thin sheath RF discharge

    Science.gov (United States)

    Ho, Teck Seng; Charles, Christine; Boswell, Rod

    2018-05-01

    In a geometrically asymmetric capacitively coupled discharge where the powered electrode is shielded from the plasma by a layer of dielectric material, the self-bias manifests as a nonuniform negative charging in the dielectric rather than on the blocking capacitor. In the thin sheath regime where the ion transit time across the powered sheath is on the order of or less than the Radiofrequency (RF) period, the plasma potential is observed to respond asymmetrically to extraneous impedances in the RF circuit. Consequently, the RF waveform on the plasma-facing surface of the dielectric is unknown, and the behaviour of the powered sheath is not easily predictable. Sheath circuit models become inadequate for describing this class of discharges, and a comprehensive fluid, electrical, and plasma numerical model is employed to accurately quantify this behaviour. The traditional definition of the self-bias voltage as the mean of the RF waveform is shown to be erroneous in this regime. Instead, using the maxima of the RF waveform provides a more rigorous definition given its correlation with the ion dynamics in the powered sheath. This is supported by a RF circuit model derived from the computational fluid dynamics and plasma simulations.

  9. Energy confinement in the torsatron URAGAN-3M during the rf-heating mode

    International Nuclear Information System (INIS)

    Pashnev, V.K.; Burchenko, P.Ya.; Lozin, A.V. and others

    2008-01-01

    Energy confinement time of plasma in torsatron U-3M was measured both during quasi-stationary study of RF-discharge and after RF-power cut-off. Power absorbed by plasma in the confinement region was estimated. A mechanism which explain the plasma density behavior in the confinement region is proposed

  10. Plasma methods for metals recovery from metal-containing waste.

    Science.gov (United States)

    Changming, Du; Chao, Shang; Gong, Xiangjie; Ting, Wang; Xiange, Wei

    2018-04-27

    Metal-containing waste, a kind of new wastes, has a great potential for recycling and is also difficult to deal with. Many countries pay more and more attention to develop the metal recovery process and equipment of this kind of waste as raw material, so as to solve the environmental pollution and comprehensively utilize the discarded metal resources. Plasma processing is an efficient and environmentally friendly way for metal-containing waste. This review mainly discuss various metal-containing waste types, such as printed circuit boards (PCBs), red mud, galvanic sludge, Zircon, aluminium dross and incinerated ash, and the corresponding plasma methods, which include DC extended transferred arc plasma reactor, DC non-transferred arc plasma torch, RF thermal plasma reactor and argon and argon-hydrogen plasma jets. In addition, the plasma arc melting technology has a better purification effect on the extraction of useful metals from metal-containing wastes, a great capacity of volume reduction of waste materials, and a low leaching toxicity of solid slag, which can also be used to deal with all kinds of metal waste materials, having a wide range of applications. Copyright © 2018 Elsevier Ltd. All rights reserved.

  11. RF study and 3-D simulations of a side-coupling thermionic RF-gun

    Energy Technology Data Exchange (ETDEWEB)

    Rimjaem, S., E-mail: sakhorn.rimjaem@cmu.ac.th [Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics (ThEP), Commission on Higher Education, Bangkok 10400 (Thailand); Kusoljariyakul, K.; Thongbai, C. [Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics (ThEP), Commission on Higher Education, Bangkok 10400 (Thailand)

    2014-02-01

    A thermionic RF-gun for generating ultra-short electron bunches was optimized, developed and used as a source at a linac-based THz radiation research laboratory of the Plasma and Beam Physics Research Facility, Chiang Mai University, Thailand. The RF-gun is a π/2-mode standing wave structure, which consists of two S-band accelerating cells and a side-coupling cavity. The 2856 MHz RF wave is supplied from an S-band klystron to the gun through the waveguide input-port at the cylindrical wall of the second cell. A fraction of the RF power is coupled from the second cell to the first one via a side-coupling cavity. Both the waveguide input-port and the side-coupling cavity lead to an asymmetric geometry of the gun. RF properties and electromagnetic field distributions inside the RF-gun were studied and numerically simulated by using computer codes SUPERFISH 7.19 and CST Microwave Studio 2012{sup ©}. RF characterizations and tunings of the RF-gun were performed to ensure the reliability of the gun operation. The results from 3D simulations and measurements are compared and discussed in this paper. The influence of asymmetric field distributions inside the RF-gun on the electron beam properties was investigated via 3D beam dynamics simulations. A change in the coupling-plane of the side-coupling cavity is suggested to improve the gun performance.

  12. Design and RF test result of High Power Hybrid Combiner for Helicon Wave Current Drive in KSTAR Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Park, S. Y.; Kim, H. J.; Wi, H. H.; Wang, S. J.; Kwak, J. G. [NFRI, Daejeon (Korea, Republic of)

    2016-05-15

    200 kW RF power will be injected to plasmas through the traveling wave antenna after combining four klystrons output powers using three hybrid combiners. Each klystron produces 60 kW output at the frequency of 500 MHz. RF power combiners commonly used to divide or combine output powers for various rf and microwave applications. It is divided into several types according to the design type such as Wilkinson combiner, radial and quadrature hybrid combiner. We designed high power hybrid combiners using 6-1/8 inch coaxial line. The power combiner has many advantages such as high isolation, low insertion loss and high power handling capability. In this paper design and rf test results of high power combiners will be described. High power combiners using three coaxial hybrid couplers will be utilized for effectively combining of 500 MHz, 200 kW output powers generated by four klystrons. We have designed, fabricated, and tested a 6-1/8 inch coaxial hybrid combiners at 500 MHz for efficiently off-axis Helicon wave current drive in KSTAR. Simulation and test results of high power coaxial hybrid combiners are good agreement.

  13. Emission spectroscopy of argon ferrocene mixture jet in a low pressure plasma reactor

    International Nuclear Information System (INIS)

    Tiwari, N.; Tak, A.K.; Chakravarthy, Y.; Shukla, A.; Meher, K.C.; Ghorui, S.; Thiyagarajan, T.K.

    2015-01-01

    Emission spectroscopy is employed to measure the plasma temperature and species identification in a reactor used for studying homogenous nucleation and growth of iron nano particle. Reactor employs segmented non transferred plasma torch mounted on water cooled cylindrical chamber. The plasma jet passes through graphite nozzle and expands in low pressure reactor. Ferrocene is fed into the nozzle where it mixes with Argon plasma jet. A high resolution spectrograph (SHAMROCK 303i, resolution 0.06 nm) has been used to record the spectra over a wide range. Identification of different emission lines has been done using NIST database. Lines from (700 to 860nm) were considered for calculation of temperature. Spectra were recorded for different axial location, pressure and power. Temperature was calculated using Maxwell Boltzman plot method. Variation in temperature with pressure and location is presented and possible reasons for different behaviour are explored. (author)

  14. Performance of the BATMAN RF source with a large racetrack shaped driver

    Science.gov (United States)

    Kraus, W.; Schiesko, L.; Wimmer, C.; Fantz, U.; Heinemann, B.

    2017-08-01

    In the negative ion sources in neutral beam injection systems (NBI) of future fusion reactors the plasma is generated in up to eight cylindrical RF sources ("drivers") from which it expands into the main volume. For these large sources, in particular those used in the future DEMO NBI, a high RF efficiency and operational reliability is required. To achieve this it could be favorable to substitute each pair of drivers by one larger one. To investigate this option the cylindrical driver of the BATMAN source at IPP Garching has been replaced by a large source with a racetrack shaped base area and tested using the same extraction system. The main differences are a five times larger source volume and another position of the Cs oven which is mounted onto the driver`s back plate and not onto the expansion volume. The conditioning characteristics and the plasma symmetry in front of the plasma grid were very similar. The extracted H- current densities jex are comparable to that achieved with the small driver at the same power. Because no saturation of jex occurred at 0.6 Pa at high power and the source allows high power operation, a maximum value 45.1 mA/cm2 at 103 kW has been reached. Sputtered Cu from the walls of the expansion volume affected the performance at low pressure, particularly in deuterium. The experiments will be therefore continued with Mo coating of all inner walls.

  15. Studies on the Extraction Region of the Type VI RF Driven H- Ion Source

    Science.gov (United States)

    McNeely, P.; Bandyopadhyay, M.; Franzen, P.; Heinemann, B.; Hu, C.; Kraus, W.; Riedl, R.; Speth, E.; Wilhelm, R.

    2002-11-01

    IPP Garching has spent several years developing a RF driven H- ion source intended to be an alternative to the current ITER (International Thermonuclear Experimental Reactor) reference design ion source. A RF driven source offers a number of advantages to ITER in terms of reduced costs and maintenance requirements. Although the RF driven ion source has shown itself to be competitive with a standard arc filament ion source for positive ions many questions still remain on the physics behind the production of the H- ion beam extracted from the source. With the improvements that have been implemented to the BATMAN (Bavarian Test Machine for Negative Ions) facility over the last two years it is now possible to study both the extracted ion beam and the plasma in the vicinity of the extraction grid in greater detail. This paper will show the effect of changing the extraction and acceleration voltage on both the current and shape of the beam as measured on the calorimeter some 1.5 m downstream from the source. The extraction voltage required to operate in the plasma limit is 3 kV. The perveance optimum for the extraction system was determined to be 2.2 x 10-6 A/V3/2 and occurs at 2.7 kV extraction voltage. The horizontal and vertical beam half widths vary as a function of the extracted ion current and the horizontal half width is generally smaller than the vertical. The effect of reducing the co-extracted electron current via plasma grid biasing on the H- current extractable and the beam profile from the source is shown. It is possible in the case of a silver contaminated plasma to reduce the co-extracted electron current to 20% of the initial value by applying a bias of 12 V. In the case where argon is present in the plasma, biasing is observed to have minimal effect on the beam half width but in a pure hydrogen plasma the beam half width increases as the bias voltage increases. New Langmuir probe studies that have been carried out parallel to the plasma grid (in the

  16. Plasma enhanced RF power deposition on ICRF antennas in Tore Supra

    International Nuclear Information System (INIS)

    Goulding, R.H.; Harris, J.H.; Carter, M.D.; Hoffman, D.J.; Hogan, J.T.; Ryan, P.M.; Beaumont, B.; Bremond, S.; Hutter, T.

    1997-01-01

    The dual-strap Tore Supra ICRF antennas have been very successful in coupling high power fluxes > 16 MW/m2 to the plasma. In many cases it has been found that the power is limited not by the voltages and currents that can be sustained on antenna components, but rather by localized increases in antenna surface temperatures which are correlated with increased impurity levels. Hot spots have been observed using an IR imaging system with peak temperatures as high as 1,100 C after 2 s, and as little as 1.5 MW power coupled from a single launcher. The maximum temperature observed is highly dependent on antenna phasing, and is lowest with dipole (π) phasing of the relative antenna currents. Both toroidal and poloidal asymmetries in hot spot distribution have been observed, and interestingly, the toroidal asymmetry has been found to vary when the phase is changed from +π/2 to -π/2. Significant differences in the temperature profiles have been seen on the two types of Faraday shield in use, which appears to be related to the fact that one type has a recessed center septum between straps while the other does not. In some cases, the peak temperature has been observed to increase as the antenna/plasma gap is increased, while the peak remains in the same location. This behavior suggests that voltages generated by currents flowing in the Faraday shield structure itself may play a role in generating potentials responsible for the hot spots, in addition to rf fields in the plasma. In this paper data on antenna surface heating and loading data as a function of plasma density, antenna/plasma gap, and phasing will be presented. Calculations from the RANT3D electromagnetic code together with bench measurements of electric fields near the antenna surface will also be shown

  17. Progress in the Development of a High Power Helicon Plasma Source for the Materials Plasma Exposure Experiment

    Energy Technology Data Exchange (ETDEWEB)

    Goulding, Richard Howell [ORNL; Caughman, John B. [ORNL; Rapp, Juergen [ORNL; Biewer, Theodore M. [ORNL; Bigelow, Tim S. [ORNL; Campbell, Ian H. [ORNL; Caneses Marin, Juan F. [ORNL; Donovan, David C. [ORNL; Kafle, Nischal [ORNL; Martin, Elijah H. [ORNL; Ray, Holly B. [ORNL; Shaw, Guinevere C. [ORNL; Showers, Melissa A. [ORNL

    2017-09-01

    Proto-MPEX is a linear plasma device being used to study a novel RF source concept for the planned Material Plasma Exposure eXperiment (MPEX), which will address plasma-materials interaction (PMI) for nuclear fusion reactors. Plasmas are produced using a large diameter helicon source operating at a frequency of 13.56 MHz at power levels up to 120 kW. In recent experiments the helicon source has produced deuterium plasmas with densities up to ~6 × 1019 m–3 measured at a location 2 m downstream from the antenna and 0.4 m from the target. Previous plasma production experiments on Proto-MPEX have generated lower density plasmas with hollow electron temperature profiles and target power deposition peaked far off axis. The latest experiments have produced flat Te profiles with a large portion of the power deposited on the target near the axis. This and other evidence points to the excitation of a helicon mode in this case.

  18. Development of the reactor lithium ampoule device for research of spectral-luminescent characteristics of nuclear-excited plasma

    Energy Technology Data Exchange (ETDEWEB)

    Batyrbekov, E.G. [National Nuclear Center of RK, Kurchatov (Kazakhstan); Gordienko, Yu. N., E-mail: gordienko@nnc.kz [National Nuclear Center of RK, Kurchatov (Kazakhstan); Ponkratov, Yu. V. [National Nuclear Center of RK, Kurchatov (Kazakhstan); Khasenov, M.U. [PI “National Laboratory Astana”, Astana (Kazakhstan); Tazhibayeva, I.L.; Barsukov, N.I.; Kulsartov, T.V.; Zaurbekova, Zh. A.; Tulubayev, Ye. Yu.; Skakov, M.K. [National Nuclear Center of RK, Kurchatov (Kazakhstan)

    2017-04-15

    Highlights: • The development procedure of the ampoule device for experiments with nuclear-excited plasma under neutron irradiation is described. • The methods of nuclear reactions’ energy conversion into the energy of optical radiation of nuclear-excited plasma are presented. • A scheme of reactor experiments, the experimental facility and experimental device to carry out the reactor experiments are considered. - Abstract: This paper describes the development procedure of the reactor ampoule device to perform the experiments on study of spectral luminescence characteristics of nuclear-excited plasma formed by products of {sup 6}Li(n,α){sup 3}H reaction under neutron irradiation at the IVG.1 M research reactor. The methods of nuclear reactions’ energy conversion into the energy of optical radiation of nuclear-excited plasma are presented. A scheme of reactor experiments, the experimental facility and experimental device to carry out the reactor experiments are considered in paper. The designed ampoule device is totally meets the requirements of irradiation experiments on the IVG.1M reactor.

  19. Optical constants of silicon-like (Si:Ox:Cy:Hz) thin films deposited on quartz using hexamethyldisiloxane in a remote RF hollow cathode discharge plasma

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2008-01-01

    Deposition of amorphous silicon-like (Si:O x :C y :H z ) thin films in a remote RF hollow cathode discharge plasma using Hexamethyldisoloxane as monomer and Ar as feed gas; has been investigated for films optical constants and plasma diagnostic as a function of RF power (100-300 W) and precursor flow rate (1-10 sccm). Plasma diagnostic has been performed using optical emission spectroscopy (OES). The optical constants (refractive index, extinction coefficient and dielectric constant) have been obtained by reflection/transmission measurements in the range 300-700 nm. It is found that the refractive index increases from 1.92 to 1.97 with increasing power from 100 to 300 W, and from 1.70 to 1.92 with increasing precursor flow rate from 1 to 10 sccm. The optical energy-band gap E g and the optical-absorption tail ΔE have been estimated from optical absorption spectra, it is found that E g decreases from 3.28 eV to 3.14 eV with power increase from 100 to 300 W, and from 3.54 eV to 3.28 eV with precursor flow rate increase from 1 to 10 sccm. ΔE is found to increase with applied RF power and precursor flow rate increase. The dependence of optical constants on deposition parameters has been correlated to plasma OES. (author)

  20. Study of carbon dioxide gas treatment based on equations of kinetics in plasma discharge reactor

    Science.gov (United States)

    Abedi-Varaki, Mehdi

    2017-08-01

    Carbon dioxide (CO2) as the primary greenhouse gas, is the main pollutant that is warming earth. CO2 is widely emitted through the cars, planes, power plants and other human activities that involve the burning of fossil fuels (coal, natural gas and oil). Thus, there is a need to develop some method to reduce CO2 emission. To this end, this study investigates the behavior of CO2 in dielectric barrier discharge (DBD) plasma reactor. The behavior of different species and their reaction rates are studied using a zero-dimensional model based on equations of kinetics inside plasma reactor. The results show that the plasma reactor has an effective reduction on the CO2 density inside the reactor. As a result of reduction in the temporal variations of reaction rate, the speed of chemical reactions for CO2 decreases and very low concentration of CO2 molecules inside the plasma reactor is generated. The obtained results are compared with the existing experimental and simulation findings in the literature.

  1. About the EDF formation in a capacitively coupled argon plasma

    International Nuclear Information System (INIS)

    Tatanova, M; Thieme, G; Basner, R; Hannemann, M; Golubovskii, Yu B; Kersten, H

    2006-01-01

    The formation of the electron distribution function (EDF) in the bulk plasma of a capacitively coupled radio-frequency (rf) discharge in argon generated in the plasma-chemical reactor PULVA-INP is investigated experimentally and theoretically. Measurements of the EDF and internal plasma parameters were performed by means of a Langmuir probe at pressures of 0.5-100 Pa and discharge powers of 5-100 W. The observed EDFs have revealed a two-temperature behaviour at low pressures and evolved into a Maxwellian distribution at high gas pressures and large discharge powers. Theoretical determination of the EDF is based on the numerical solution of the Boltzmann kinetic equation in the local and non-local approaches under experimental conditions. The model includes elastic and inelastic electron-atom collisions and electron-electron interactions. Low electron temperatures and relatively high ionization degrees are the features of the PULVA-INP rf discharge. This leads to significant influence of the electron-electron collisions on the EDF formation. The modelled and measured distributions show good agreement in a wide range of discharge parameters, except for a range of low gas pressures, where the stochastic electron heating is intense. Additionally, mechanisms of the EDF formation in the dc and rf discharge were compared under similar discharge conditions

  2. About the EDF formation in a capacitively coupled argon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Tatanova, M [Institute of Physics, Saint-Petersburg State University, ul. Ulianovskaja 1, 198504 Saint-Petersburg (Russian Federation); Thieme, G [Institut fur Niedertemperatur-Plasmaphysik, Friedrich-Ludwig-Jahn-Str 19, D-17489 Greifswald (Germany); Basner, R [Institut fur Niedertemperatur-Plasmaphysik, Friedrich-Ludwig-Jahn-Str 19, D-17489 Greifswald (Germany); Hannemann, M [Institut fur Niedertemperatur-Plasmaphysik, Friedrich-Ludwig-Jahn-Str 19, D-17489 Greifswald (Germany); Golubovskii, Yu B [Institute of Physics, Saint-Petersburg State University, ul. Ulianovskaja 1, 198504 Saint-Petersburg (Russian Federation); Kersten, H [Institut fur Niedertemperatur-Plasmaphysik, Friedrich-Ludwig-Jahn-Str 19, D-17489 Greifswald (Germany)

    2006-08-01

    The formation of the electron distribution function (EDF) in the bulk plasma of a capacitively coupled radio-frequency (rf) discharge in argon generated in the plasma-chemical reactor PULVA-INP is investigated experimentally and theoretically. Measurements of the EDF and internal plasma parameters were performed by means of a Langmuir probe at pressures of 0.5-100 Pa and discharge powers of 5-100 W. The observed EDFs have revealed a two-temperature behaviour at low pressures and evolved into a Maxwellian distribution at high gas pressures and large discharge powers. Theoretical determination of the EDF is based on the numerical solution of the Boltzmann kinetic equation in the local and non-local approaches under experimental conditions. The model includes elastic and inelastic electron-atom collisions and electron-electron interactions. Low electron temperatures and relatively high ionization degrees are the features of the PULVA-INP rf discharge. This leads to significant influence of the electron-electron collisions on the EDF formation. The modelled and measured distributions show good agreement in a wide range of discharge parameters, except for a range of low gas pressures, where the stochastic electron heating is intense. Additionally, mechanisms of the EDF formation in the dc and rf discharge were compared under similar discharge conditions.

  3. Fueling moving ring field-reversed mirror reactor plasmas

    International Nuclear Information System (INIS)

    Felber, F.S.

    1980-01-01

    The concept of small fusion reactors is being studied jointly by Lawrence Livermore Laboratory General Atomic Company, and Pacific Gas and Electric Company. The objective is to investigate alternatives and then to develop a conceptual design for a small reactor that could produce useful, though not necessarily economical, energy by the late 1980s. Three methods of fueling a small moving ring field-reversed mirror are considered: injection of fuel pellets accelerated by laser ablation, injection of fuel pellets accelerated by deflagration-gun ablation, and direct injection of plasma by a deflagration gun. 13 refs

  4. RF current generation near the ion cyclotron frequency

    International Nuclear Information System (INIS)

    Watkins, J.G.

    1982-01-01

    An experiment has been conducted to measure unipolar currents driven by directional radio frequency waves in a cylindrical plasma mirror machine near the ion cyclotron frequency. The directional waves were launched using a four phase helical coupler which allowed the selection of both azimuthal mode number (m = +1) and direction of wave propagation. Plasma diagnostics include electron density measurements (4 mm microwave interferometer), electron temperature measurements (floating double probe), wave amplitude and coupling measurements (magnetic probes). RF power measurements (RF voltage and current probes) and RF driven plasma current measurements (Rogowski loops and current transformers). End electrodes provided a necessary external return path and an alternate method for measuring the current. Theoretical work includes an analytic approximation to the nonlinear problem of a particle in a traveling wave and computer simulations that extend this result. Nonlinear particle drifts other than trapping were found both with and without the presence of particle collisions

  5. ORNL TNS Program: plasma engineering considerations and innovations for a medium field tokamak fusion reactor

    International Nuclear Information System (INIS)

    Peng, Y.K.M.; Attenberger, S.E.; Houlberg, W.A.; Mense, A.T.; Rome, J.A.; Uckan, N.A.

    1977-12-01

    Recent plasma engineering studies have ascertained a viable concept for The Next Step (TNS) reactor based on medium toroidal fields between 4 T and 7 T at the plasma center, plasma anti β values up to 10%, and averaged densities between 0.6 x 10 14 cm -3 and 2.5 x 10 14 cm -3 . Plasma engineering innovations that can substantially reduce the size, cost, and complexity of the TNS reactor have been explored and are summarized. It is shown that the previously anticipated requirement of high pellet velocities can be substantially reduced; the toroidal field (TF) ripple requirements may be relaxed to reduce the number of TF coils and improve machine access; hybrid equilibrium field (EF) coils have been shown to require building only small interior coils and to reduce the power supply required by the exterior coils; proper approaches of microwave plasma preheating may reduce the peak loop voltage for start-up by an order of magnitude. The medium-field TNS reactor concepts and the plasma engineering innovations discussed should be applicable to other designs of tokamak reactors; some of the suggested innovations will be tested in upcoming experiments

  6. Synthesis of Ni2B nanoparticles by RF thermal plasma for fuel cell catalyst

    International Nuclear Information System (INIS)

    Cheng, Y; Tanaka, M; Watanabe, T; Choi, S Y; Shin, M S; Lee, K H

    2014-01-01

    The catalyst of Ni 2 B nanoparticles was successfully prepared using nickel and boron as precursors with the quenching gas in radio frequency thermal plasmas. The generating of Ni 2 B needs adequate reaction temperature and boron content in precursors. The quenching gas is beneficial for the synthesis of Ni 2 B in RF thermal plasma. The effect of quenching rate, powder feed rate and boron content in feeding powders on the synthesis of nickel boride nanoparticles was studied in this research. The high mass fraction of 28 % of Ni 2 B nanoparticles can be generated at the fixed initial composition of Ni:B = 2:3. Quenching gas is necessary in the synthesis of Ni 2 B nanoaprticles. In addition, the mass fraction of Ni 2 B increases with the increase of quenching gas flow rate and powder feed rate

  7. Radio frequency plasma excitation

    International Nuclear Information System (INIS)

    Burden, M.St.J.; Cross, K.B.

    1979-01-01

    An investigation into the use of rf sputtering for ion cleaning of insulating substrates before ion plating is reported. Initial experiments consisted of sputtering metals with rf power followed by the deposition of copper onto glass slides using rf plasma excitation and biasing supply. It was found that good quality films were obtained by rf ion plating onto plastics with excellent adhesion over a wide operating pressure range. A block schematic of the rf plasma excitation system is shown. (UK)

  8. Development of solid oxide fuel cells by applying DC and RF plasma deposition technologies

    Energy Technology Data Exchange (ETDEWEB)

    Schiller, G.; Henne, R.; Lang, M.; Mueller, M. [Deutsches Zentrum fuer Luft- und Raumfahrt (DLR), Institut fuer Technische Thermodynamik, Postfach 800370, 70503 Stuttgart (Germany)

    2004-04-01

    Based on advanced plasma deposition technology with both DC and RF plasmas DLR Stuttgart has developed a concept of a planar SOFC with consecutive deposition of all layers of a thin-film cell onto a porous metallic substrate support. This concept is an alternative approach to conventionally used sintering techniques for SOFC fabrication without needing any sintering steps or other thermal post-treatment. Furthermore, is has the potential to be developed into an automated continous production process. For both stationary and mobile applications, adequate stack designs and stack technologies have been developed. Future development work will focus on light-weight stacks to be applied as an Auxillary Power Unit (APU) for on-board electricity supply in passenger cars and airplanes. This paper describes the plasma deposition technologies used for cell fabrication and the DLR spray concept including the resulting stack designs. The current status of development and recent progress with respect to materials development and electrochemical characterization of single cells and short-stacks is presented. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  9. A versatile ray-tracing code for studying rf wave propagation in toroidal magnetized plasmas

    International Nuclear Information System (INIS)

    Peysson, Y; Decker, J; Morini, L

    2012-01-01

    A new ray-tracing code named C3PO has been developed to study the propagation of arbitrary electromagnetic radio-frequency (rf) waves in magnetized toroidal plasmas. Its structure is designed for maximum flexibility regarding the choice of coordinate system and dielectric model. The versatility of this code makes it particularly suitable for integrated modeling systems. Using a coordinate system that reflects the nested structure of magnetic flux surfaces in tokamaks, fast and accurate calculations inside the plasma separatrix can be performed using analytical derivatives of a spline-Fourier interpolation of the axisymmetric toroidal MHD equilibrium. Applications to reverse field pinch magnetic configuration are also included. The effects of 3D perturbations of the axisymmetric toroidal MHD equilibrium, due to the discreteness of the magnetic coil system or plasma fluctuations in an original quasi-optical approach, are also studied. Using a Runge–Kutta–Fehlberg method for solving the set of ordinary differential equations, the ray-tracing code is extensively benchmarked against analytical models and other codes for lower hybrid and electron cyclotron waves. (paper)

  10. Characterization and properties of plasma polymerized 2-vinylpyridine

    International Nuclear Information System (INIS)

    Bieg, K.W.; Ottesen, D.K.; Brower, K.L.

    1979-11-01

    The chemical structure, aging, thermal, and adhesive behavior of plasma-deposited 2-vinylpyridine has been investigated. The molecular structure of the plasma polymer is significantly different from the conventional, linear polymer and is strongly dependent on plasma reactor variables. Additional cyano, methyl, and olefinic groups were identified in the plasma polymer, and aromaticity retention was reduced at the more severe (low pressure, high rf power) reactor conditions studied. Post-deposition oxidation occurred, which followed approximately first order kinetics initially (ΔE approx. 11.6 Kcal/mole, with approx. 25% conversion of aromatic rings to an aromatic ketone in 4.5 months at 23 0 C). Oxidation was significantly reduced in vacuum, inert gas, and hydrogen atmospheres. Thermal weight loss began at relatively low temperatures and appeared to accompany an exothermic, irreversible cross-linking reaction which began at about 100 0 C. Principle low temperature decomposition products were low molecular weight gases (primarily, CO 2 ) and 2-methylpyridine. A quantitative tensile-pull adhesion test was developed. Using this technique, the plasma polymer-aluminum cohesive bond strength was found to be 480 psi and was degraded at high humidity levels

  11. Plasma-material interactions in current tokamaks and their implications for next step fusion reactors

    International Nuclear Information System (INIS)

    Federici, G.; Skinner, C.H.; Brooks, J.N.

    2001-01-01

    The major increase in discharge duration and plasma energy in a next step DT fusion reactor will give rise to important plasma-material effects that will critically in influence its operation, safety and performance. Erosion will increase to a scale of several centimetres from being barely measurable at a micron scale in today's tokamaks. Tritium co-deposited with carbon will strongly affect the operation of machines with carbon plasma facing components. Controlling plasma-wall interactions is critical to achieving high performance in present day tokamaks, and this is likely to continue to be the case in the approach to practical fusion reactors. Recognition of the important consequences of these phenomena stimulated an internationally co-ordinated effort in the part of plasma-surface interactions supporting the Engineering Design Activities of the International Thermonuclear Experimental Reactor project (ITER), and significant progress has been made in better understanding these issues. The paper reviews the underlying physical processes and the existing experimental database of plasma-material inter actions both in tokamaks and laboratory simulation facilities for conditions of direct relevance to next step fusion reactors. Two main topical groups of interaction are considered: (i) erosion/redeposition from plasma sputtering and disruptions, including dust and flake generation and (ii) tritium retention and removal. The use of modelling tools to interpret the experimental results and make projections for conditions expected in future devices is explained. Outstanding technical issues and specific recommendations on potential R and D avenues for their resolution are presented. (author)

  12. Plasma-material interactions in current tokamaks and their implications for next-step fusion reactors

    International Nuclear Information System (INIS)

    Federici, G.; Skinner, C.H.; Brooks, J.N.

    2001-01-01

    The major increase in discharge duration and plasma energy in a next-step DT fusion reactor will give rise to important plasma-material effects that will critically influence its operation, safety and performance. Erosion will increase to a scale of several cm from being barely measurable at a micron scale in today's tokamaks. Tritium co-deposited with carbon will strongly affect the operation of machines with carbon plasma-facing components. Controlling plasma wall interactions is critical to achieving high performance in present-day tokamaks and this is likely to continue to be the case in the approach to practical fusion reactors. Recognition of the important consequences of these phenomena has stimulated an internationally co-ordinated effort in the field of plasma-surface interactions supporting the engineering design activities of the international thermonuclear experimental reactor project (ITER) and significant progress has been made in better understanding these issues. This paper reviews the underlying physical processes and the existing experimental database of plasma-material interactions both in tokamaks and laboratory simulation facilities for conditions of direct relevance to next-step fusion reactors. Two main topical groups of interactions are considered: (i) erosion/re-deposition from plasma sputtering and disruptions, including dust and flake generation, (ii) tritium retention and removal. The use of modelling tools to interpret the experimental results and make projections for conditions expected in future devices is explained. Outstanding technical issues and specific recommendations on potential R and D avenues for their resolution are presented. (orig.)

  13. Coincident ion acceleration and electron extraction for space propulsion using the self-bias formed on a set of RF biased grids bounding a plasma source

    International Nuclear Information System (INIS)

    Rafalskyi, D; Aanesland, A

    2014-01-01

    We propose an alternative method to accelerate ions in classical gridded ion thrusters and ion sources such that co-extracted electrons from the source may provide beam space charge neutralization. In this way there is no need for an additional electron neutralizer. The method consists of applying RF voltage to a two-grid acceleration system via a blocking capacitor. Due to the unequal effective area of the two grids in contact with the plasma, a dc self-bias is formed, rectifying the applied RF voltage. As a result, ions are continuously accelerated within the grid system while electrons are emitted in brief instants within the RF period when the RF space charge sheath collapses. This paper presents the first experimental results and a proof-of-principle. Experiments are carried out using the Neptune thruster prototype which is a gridded Inductively Coupled Plasma (ICP) source operated at 4 MHz, attached to a larger beam propagation chamber. The RF power supply is used both for the ICP discharge (plasma generation) and powering the acceleration grids via a capacitor for ion acceleration and electron extraction without any dc power supplies. The ion and electron energies, particle flux and densities are measured using retarding field energy analyzers (RFEA), Langmuir probes and a large beam target. The system operates in Argon and N 2 . The dc self-bias is found to be generated within the gridded extraction system in all the range of operating conditions. Broad quasi-neutral ion-electron beams are measured in the downstream chamber with energies up to 400 eV. The beams from the RF acceleration method are compared with classical dc acceleration with an additional external electron neutralizer. It is found that the two acceleration techniques provide similar performance, but the ion energy distribution function from RF acceleration is broader, while the floating potential of the beam is lower than for the dc accelerated beam. (paper)

  14. Acceleration of relativistic electrons in plasma reactors and non-linear spectra of cosmic radio sources

    International Nuclear Information System (INIS)

    Kaplan, S.A.; Lomadze, R.D.

    1978-01-01

    A second approximation to the theory of turbulent plasma reactors in connection with the problem of interpretation of the non-linear spectra of cosmic radio sources has been investigated by the authors (Kaplan and Lomadze, 1977; Lomadze, 1977). The present paper discusses the basic results received for a Compton reactor with plasma waves of phase velocities smaller than the velocity of light, as well as for the synchrotron reactor. The distortion of the distribution function of relativistic electrons caused by their diffusion from the reactor is also presented as an example. (Auth.)

  15. Continued development of modeling tools and theory for RF heating

    International Nuclear Information System (INIS)

    1998-01-01

    Mission Research Corporation (MRC) is pleased to present the Department of Energy (DOE) with its renewal proposal to the Continued Development of Modeling Tools and Theory for RF Heating program. The objective of the program is to continue and extend the earlier work done by the proposed principal investigator in the field of modeling (Radio Frequency) RF heating experiments in the large tokamak fusion experiments, particularly the Tokamak Fusion Test Reactor (TFTR) device located at Princeton Plasma Physics Laboratory (PPPL). An integral part of this work is the investigation and, in some cases, resolution of theoretical issues which pertain to accurate modeling. MRC is nearing the successful completion of the specified tasks of the Continued Development of Modeling Tools and Theory for RF Heating project. The following tasks are either completed or nearing completion. (1) Anisotropic temperature and rotation upgrades; (2) Modeling for relativistic ECRH; (3) Further documentation of SHOOT and SPRUCE. As a result of the progress achieved under this project, MRC has been urged to continue this effort. Specifically, during the performance of this project two topics were identified by PPPL personnel as new applications of the existing RF modeling tools. These two topics concern (a) future fast-wave current drive experiments on the large tokamaks including TFTR and (c) the interpretation of existing and future RF probe data from TFTR. To address each of these topics requires some modification or enhancement of the existing modeling tools, and the first topic requires resolution of certain theoretical issues to produce self-consistent results. This work falls within the scope of the original project and is more suited to the project's renewal than to the initiation of a new project

  16. Study of hydroxylation of benzene and toluene using a micro-DBD plasma reactor

    International Nuclear Information System (INIS)

    Sekiguchi, H; Ando, M; Kojima, H

    2005-01-01

    The hydroxylation behaviour of benzene and toluene were studied using a micro-plasma reactor, where an atmospheric non-thermal plasma was generated by a dielectric barrier discharge (DBD). The results indicated that oxidation products primarily consisted of phenol and C 4 -compounds for benzene hydroxylation, whereas cresol, benzaldehyde, benzylalcohol and C 4 -compounds were detected for toluene hydroxylation. By taking into consideration the reaction mechanism in the plasma reactor, these products were classified into (1) oxidation of the aromatic ring and functional group on the ring and (2) cleavage of the aromatic ring or dissociation of the functional group on the ring

  17. Plasma Etching of superconducting radio frequency cavity by Ar/Cl2 capacitively coupled Plasma

    Science.gov (United States)

    Upadhyay, Janardan; Popovic, Svetozar; Valente-Feliciano, Anne-Marie; Phillips, Larry; Vuskovic, Lepsha

    2016-09-01

    We are developing plasma processing technology of superconducting radio frequency (SRF) cavities. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the asymmetry was studied by changing the contour of the inner electrode. The optimized contour of the electrode based on these measurements was chosen for SRF cavity processing. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity is used, which previously mechanically polished, buffer chemically etched afterwards and rf tested at cryogenic temperatures for a baseline test. Plasma processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise manner to establish segmented plasma processing. The cavity is rf tested afterwards at cryogenic temperatures. The rf test and surface condition results are presented.

  18. Effect of single aerosol droplets on plasma impedance in the inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Chan, George C.-Y., E-mail: gcchan@indiana.edu; Zhu, Zhenli; Hieftje, Gary M.

    2012-10-15

    The impedance of an inductively coupled plasma was indirectly monitored by two different means-through a RF-probe coil placed inside the torch housing and from tapping the phase-detector signal of the impedance-matching network. During single-droplet introduction, temporal spikes in both the RF-probe coil and the phase-detector signals were readily observed, indicating a momentary change in plasma impedance. The changes in plasma impedance were found to be due solely to plasma perturbation by droplet introduction, and not to an artifact caused by imperfect automatic impedance matching. The temporal changes in plasma impedance were found to be directly proportional to the temporally integrated atomic emission of hydrogen, which is assumed in turn to be directly proportional to the volume of the introduced droplet. A small satellite droplet, with an estimated diameter of 27 {mu}m (i.e., {approx} 10 pL in volume), caused a readily measurable change in plasma impedance. By assuming that the change in RF-probe voltage is directly proportional to the variation in RF power delivered by the load coil, the instantaneous power change coupled to the plasma during single-droplet introduction was estimated. Typical increases in peak RF power and total energy coupled to the plasma, for a single 50-{mu}m droplet introduction, were thereby estimated to be around 8 to 11 W and 0.03 to 0.04 J, respectively. This impedance change was also exploited as a trigger to signal the droplet-introduction event into the plasma. This trigger signal was obtained through a combination of the RF-probe and the phase-detector signals and offered typical jitter from 1 to 2 ms. With the proper choice of a trigger threshold, no trigger misfire resulted and the achievable efficiencies of the trigger signal were 99.95, 97.18 and 74.33% for plasma forward power levels of 900, 1200, and 1500 W, respectively. The baseline noise on the RF-probe coil and the phase-detector signals, which increase with plasma

  19. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  20. Self-consistent calculation of the effects of RF injection in the HHFW heating regimes on the evolution of fast ions in toroidal plasmas

    Directory of Open Access Journals (Sweden)

    Bertelli Nicola

    2017-01-01

    Full Text Available A critical question for the use of ion cyclotron range of frequency (ICRF heating in the ITER device and beyond is interaction of fast waves with energetic ion populations from neutral beam injection (NBI, fusion reactions, and minority ions accelerated by the RF waves themselves. Several experiments have demonstrated that the interaction between fast waves and fast ions can indeed be strong enough to significantly modify the NB ion population. To model the RF/fast ion interaction and the resulting fast ion distribution, a recent extension of the full wave solver TORIC v.5 that includes non-Maxwellian effects has been combined with the Monte Carlo NUBEAM code through an RF “kick” operator. In this work, we present an initial verification of the NUBEAM RF “kick” operator for high harmonic fast wave (HHFW heating regime in NSTX plasma.

  1. Calculation of gas-flow in plasma reactor for carbon partial oxidation

    Science.gov (United States)

    Bespala, Evgeny; Myshkin, Vyacheslav; Novoselov, Ivan; Pavliuk, Alexander; Makarevich, Semen; Bespala, Yuliya

    2018-03-01

    The paper discusses isotopic effects at carbon oxidation in low temperature non-equilibrium plasma at constant magnetic field. There is described routine of experiment and defined optimal parameters ensuring maximum enrichment factor at given electrophysical, gas-dynamic, and thermodymanical parameters. It has been demonstrated that at high-frequency generator capacity of 4 kW, supply frequency of 27 MHz and field density of 44 mT the concentration of paramagnetic heavy nuclei 13C in gaseous phase increases up to 1.78 % compared to 1.11 % for natural concentration. Authors explain isotopic effect decrease during plasmachemical separation induced by mixing gas flows enriched in different isotopes at the lack of product quench. With the help of modeling the motion of gas flows inside the plasma-chemical reactor based on numerical calculation of Navier-Stokes equation authors determine zones of gas mixing and cooling speed. To increase isotopic effects and proportion of 13C in gaseous phase it has been proposed to use quench in the form of Laval nozzle of refractory steel. The article represents results on calculation of optimal Laval Nozzle parameters for plasma-chemical reactor of chosen geometry of. There are also given dependences of quench time of products on pressure at the diffuser output and on critical section diameter. Authors determine the location of quench inside the plasma-chemical reactor in the paper.

  2. Plasma-material Interactions in Current Tokamaks and their Implications for Next-step Fusion Reactors

    International Nuclear Information System (INIS)

    Federici, G.; Skinner, C.H.; Brooks, J.N.; Coad, J.P.; Grisolia, C.

    2001-01-01

    The major increase in discharge duration and plasma energy in a next-step DT (deuterium-tritium) fusion reactor will give rise to important plasma-material effects that will critically influence its operation, safety, and performance. Erosion will increase to a scale of several centimeters from being barely measurable at a micron scale in today's tokamaks. Tritium co-deposited with carbon will strongly affect the operation of machines with carbon plasma-facing components. Controlling plasma wall interactions is critical to achieving high performance in present-day tokamaks and this is likely to continue to be the case in the approach to practical fusion reactors. Recognition of the important consequences of these phenomena has stimulated an internationally coordinated effort in the field of plasma-surface interactions supporting the Engineering Design Activities of the International Thermonuclear Experimental Reactor (ITER) project and significant progress has been made in better under standing these issues. This paper reviews the underlying physical processes and the existing experimental database of plasma-material interactions both in tokamaks and laboratory simulation facilities for conditions of direct relevance to next-step fusion reactors. Two main topical groups of interactions are considered: (i) erosion/redeposition from plasma sputtering and disruptions, including dust and flake generation, (ii) tritium retention and removal. The use of modeling tools to interpret the experimental results and make projections for conditions expected in future devices is explained. Outstanding technical issues and specific recommendations on potential R and D (Research and Development) avenues for their resolution are presented

  3. Plasma-material Interactions in Current Tokamaks and their Implications for Next-step Fusion Reactors

    Energy Technology Data Exchange (ETDEWEB)

    Federici, G.; Skinner, C.H.; Brooks, J.N.; Coad, J.P.; Grisolia, C. [and others

    2001-01-10

    The major increase in discharge duration and plasma energy in a next-step DT [deuterium-tritium] fusion reactor will give rise to important plasma-material effects that will critically influence its operation, safety, and performance. Erosion will increase to a scale of several centimeters from being barely measurable at a micron scale in today's tokamaks. Tritium co-deposited with carbon will strongly affect the operation of machines with carbon plasma-facing components. Controlling plasma wall interactions is critical to achieving high performance in present-day tokamaks and this is likely to continue to be the case in the approach to practical fusion reactors. Recognition of the important consequences of these phenomena has stimulated an internationally coordinated effort in the field of plasma-surface interactions supporting the Engineering Design Activities of the International Thermonuclear Experimental Reactor (ITER) project and significant progress has been made in better under standing these issues. This paper reviews the underlying physical processes and the existing experimental database of plasma-material interactions both in tokamaks and laboratory simulation facilities for conditions of direct relevance to next-step fusion reactors. Two main topical groups of interactions are considered: (i) erosion/redeposition from plasma sputtering and disruptions, including dust and flake generation, (ii) tritium retention and removal. The use of modeling tools to interpret the experimental results and make projections for conditions expected in future devices is explained. Outstanding technical issues and specific recommendations on potential R and D [Research and Development] avenues for their resolution are presented.

  4. Plasma behaviour in large reversed-field pinches and reactors

    International Nuclear Information System (INIS)

    Christiansen, J.P.; Bodin, H.A.B.; Carolan, P.G.; Johnston, J.W.; Newton, A.A.; Roberts, K.V.; Robinson, D.C.; Watts, M.R.C.; Piotrowicz, V.A.

    1981-01-01

    Recent analytic and numerical results on large reversed-field-pinch (RFP) systems and RFP reactors are presented. Predictions are made of the plasma behaviour in Eta Beta II, HBTXIA (under construction) and RFX (planned). The setting-up phase of an RFP is studied by using turbulence theory in transport equilibrium calculations, and estimates are made of the volt-seconds consumption for four different modes of field control. A prescription is given for a dynamo producing self-reversal which yields finite-β configurations. Residual instabilities of these equilibria may be resistive pressure-driven g-modes, and a new study of these modes that includes parallel viscosity indicates stability for anti β approximately 10%. The sustainment phase of the RFP is examined with tokamak scaling laws assumed for the energy confinement time. Temperatures in excess of 1keV are predicted for currents of 2MA in RFX. An operating cycle for a pulsed RFP reactor including gas puffing to reach ignition is proposed following a study of the energy replacement time for an Ohmically heated plasma. The scaling of the reactor parameters with minor radius is also investigated. (author)

  5. Ion cyclotron transmission spectroscopy in the Tokamak Fusion Test Reactor

    Energy Technology Data Exchange (ETDEWEB)

    Greene, G.J.

    1993-09-01

    The propagation of waves in the ion cyclotron range of frequencies has been investigated experimentally in the Tokamak Fusion Test Reactor. A small, broadband, radiofrequency (rf) magnetic probe located outside the plasma limiter, at a major radius near that of the plasma center, was excited with a low power, frequency swept source (1--200 MHz). Waves propagating to a distant location were detected with a second, identical probe. The rf transmission spectrum revealed a region of attenuation over a band of frequencies for which the minority fundamental resonance was located between the outer plasma edge and the major radius of the probe location. Distinct, non-overlapping attenuation bands were observed from hydrogen and helium-3 minority species; a distinct tritium band should be observed in future DT experiments. Rapid spectrum acquisition during a helium-3 gas puff experiment showed that the wave attenuation involved the plasma core and was not a surface effect. A model in which the received power varied exponentially with the minority density, averaged over the resonance region, fit the time evolution of the probe signal relatively well. Estimation of a 1-d tunneling parameter from the experimental observations is discussed. Minority concentrations of less than 0.5 % can be resolved with this measurement.

  6. Ion cyclotron transmission spectroscopy in the Tokamak Fusion Test Reactor

    International Nuclear Information System (INIS)

    Greene, G.J.

    1993-09-01

    The propagation of waves in the ion cyclotron range of frequencies has been investigated experimentally in the Tokamak Fusion Test Reactor. A small, broadband, radiofrequency (rf) magnetic probe located outside the plasma limiter, at a major radius near that of the plasma center, was excited with a low power, frequency swept source (1--200 MHz). Waves propagating to a distant location were detected with a second, identical probe. The rf transmission spectrum revealed a region of attenuation over a band of frequencies for which the minority fundamental resonance was located between the outer plasma edge and the major radius of the probe location. Distinct, non-overlapping attenuation bands were observed from hydrogen and helium-3 minority species; a distinct tritium band should be observed in future DT experiments. Rapid spectrum acquisition during a helium-3 gas puff experiment showed that the wave attenuation involved the plasma core and was not a surface effect. A model in which the received power varied exponentially with the minority density, averaged over the resonance region, fit the time evolution of the probe signal relatively well. Estimation of a 1-d tunneling parameter from the experimental observations is discussed. Minority concentrations of less than 0.5 % can be resolved with this measurement

  7. Optimization of OH coil recharging scenario of quasi-steady operation in tokamak fusion reactor by lower hybrid wave current drive

    International Nuclear Information System (INIS)

    Sugihara, M.; Fujisawa, N.; Nishio, S.; Iida, H.

    1984-01-01

    Using simple physical model equations optimum plasma and rf parameters for an OH coil recharging scenario of quasi-steady operation in tokamak fusion reactors by lower hybrid wave current drive are studied. In this operation scenario, the minimization of the recharge time of OH coils or stored energy for it will be essential and can be realized by driving sufficient current without increasing the plasma temperature too much. Low density and broad spectrum are shown to be favorable for the minimization. In the case of FER (Fusion Experimental Reactor under design study in JAERI) baseline parameters, the minimum recharge time is 3-5 s/V s. (orig.)

  8. Etching of UO2 in NF3 RF Plasma Glow Discharge

    International Nuclear Information System (INIS)

    John M. Veilleux

    1999-01-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO 2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO 2 from stainless steel substrates. Experiments were conducted using NF 3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Depleted UO 2 samples each containing 129.4 Bq were prepared from 100 microliter solutions of uranyl nitrate hexahydrate solution. The amorphous UO 2 in the samples had a relatively low density of 4.8 gm/cm 3 . Counting of the depleted UO 2 on the substrate following plasma immersion was performed using liquid scintillation counting with alpha/beta discrimination due to the presence of confounding beta emitting daughter products, 234 Th and 234 Pa. The alpha emission peak from each sample was integrated using a gaussian and first order polynomial fit to improve quantification. The uncertainties in the experimental measurement of the etched material were estimated at about ± 2%. Results demonstrated that UO 2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO 2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 microm/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO 2 etching was also noted below 50 W in which etching increased up to a maximum pressure, approximately23 Pa, then decreased with further increases in pressure

  9. Some considerations on a plasma in the JAERI experimental fusion reactor

    International Nuclear Information System (INIS)

    Tone, T.; Yamato, H.; Maki, K.

    1976-01-01

    The preliminary analysis of the plasma characteristics for the JAERI tokamak experimental fusion reactor is reported. In order to make the reactor compact, the self-sustaining condition has been removed. Stationary heating by 200 keV neutral deuteron beam to maintain the power balance is applied expecting the power amplification by the TCT effect. The main parameters determined are power output of 100 MW, toroidal field on axis of 6 T, aspect ratio of 4.5 and major radius of 6.75 m. The results of the plasma power balance, fueling by means of the gas blanket scheme, power stabilization with feedback and the start-up are presented

  10. Design of a UHV-compatible rf plasma source and its application to self-assembled layers of CoPt3 nanoparticles

    International Nuclear Information System (INIS)

    Gehl, B.; Leist, U.; Aleksandrovic, V.; Nickut, P.; Zielasek, V.; Weller, H.; Al-Shamery, K.; Baeumer, M.

    2006-01-01

    A compact, versatile, and simple rf plasma source with capacitive coupling compatible to ultrahigh vacuum (UHV) requirements was designed and built to allow sequences of sample surface modification in plasma and surface preparation and analysis in vacuum without breaking the vacuum. The plasma source was operated at working pressures of less than 1 to a few millibars. Sample transfer to UHV was performed at pressures around 10 -9 mbar. For easy integration into an existing UHV setup, the sample recipient and transfer system were made to accept standard commercial sample holders. Preliminary experiments were performed by exposing monolayers of colloidal CoPt 3 nanoparticles to oxygen and hydrogen plasmas. The structural and chemical effects of the plasma treatments were analyzed with scanning electron microscopy and x-ray photoelectron spectroscopy

  11. A 1D ion species model for an RF driven negative ion source

    Science.gov (United States)

    Turner, I.; Holmes, A. J. T.

    2017-08-01

    A one-dimensional model for an RF driven negative ion source has been developed based on an inductive discharge. The RF source differs from traditional filament and arc ion sources because there are no primary electrons present, and is simply composed of an antenna region (driver) and a main plasma discharge region. However the model does still make use of the classical plasma transport equations for particle energy and flow, which have previously worked well for modelling DC driven sources. The model has been developed primarily to model the Small Negative Ion Facility (SNIF) ion source at CCFE, but may be easily adapted to model other RF sources. Currently the model considers the hydrogen ion species, and provides a detailed description of the plasma parameters along the source axis, i.e. plasma temperature, density and potential, as well as current densities and species fluxes. The inputs to the model are currently the RF power, the magnetic filter field and the source gas pressure. Results from the model are presented and where possible compared to existing experimental data from SNIF, with varying RF power, source pressure.

  12. Plasma driving system requirements for commercial tokamak fusion reactors

    International Nuclear Information System (INIS)

    Brooks, J.N.; Kustom, R.C.; Stacey, W.M. Jr.

    1978-01-01

    The plasma driving system for a tokamak reactor is composed of an ohmic heating (OH) coil, equilibrium field (EF) coil, and their respective power supplies. Conceptual designs of an Experimental Power Reactor (EPR) and scoping studies of a Demonstration Power Reactor have shown that the driving system constitutes a significant part of the overall reactor cost. The capabilities of the driving system also set or help set important parameters of the burn cycle, such as the startup time, and the net power output. Previous detailed studies on driving system dynamics have helped to define the required characteristics for fast-pulsed superconducting magnets, homopolar generators, and very high power (GVA) power supplies for an EPR. This paper summarizes results for a single reactor configuration together with several design concepts for the driving system. Both the reactor configuration and the driving system concepts are natural extensions from the EPR. Thus, the new results presented in this paper can be compared with the previous EPR results to obtain a consistent picture of how the driving system requirements will evolve--for one particular design configuration

  13. Plasma driving system requirements for commercial tokamak fusion reactors

    International Nuclear Information System (INIS)

    Brooks, J.N.; Kustom, R.C.; Stacey, W.M. Jr.

    1977-01-01

    The plasma driving system for a tokamak reactor is composed of an ohmic heating (OH) coil, equilibrium field (EF) coil, and their respective power supplies. Conceptual designs of an Experimental Power Reactor (EPR) and scoping studies of a Demonstration Power Reactor have shown that the driving system constitutes a significant part of the overall reactor cost. The capabilities of the driving system also set or help set important parameters of the burn cycle, such as the startup time, and the net power output. Previous detailed studies on driving system dynamics have helped to define the required characteristics for fast-pulsed superconducting magnets, homopolar generators, and very high power (GVA) power supplies for an EPR. This paper summarizes results for a single reactor configuration together with several design concepts for the driving system. Both the reactor configuration and the driving system concepts are natural extensions from the EPR. Thus, the new results can be compared with the previous EPR results to obtain a consistent picture of how the driving system requirements will evolve--for one particular design configuration

  14. Research on plasma core reactors

    International Nuclear Information System (INIS)

    Jarvis, G.A.; Barton, D.M.; Helmick, H.H.; Bernard, W.; White, R.H.

    1977-01-01

    Experiments and theoretical studies are being conducted for NASA on critical assemblies with 1-m-diam by 1-m-long low-density cores surrounded by a thick beryllium reflector. These assemblies make extensive use of existing nuclear propulsion reactor components, facilities, and instrumentation. Due to excessive porosity in the reflector, the initial critical mass was 19 kg U(93.2). Addition of a 17-cm-thick by 89-cm-diam beryllium flux trap in the cavity reduced the critical mass to 7 kg when all the uranium was in the zone just outside the flux trap. A mockup aluminum UF 6 container was placed inside the flux trap and fueled with uranium-graphite elements. Fission distributions and reactivity worths of fuel and structural materials were measured. Finally, an 85,000-cm 3 aluminum canister in the central region was fueled with UF 6 gas and fission density distributions determined. These results will be used to guide the design of a prototype plasma core reactor which will test energy removal by optical radiation

  15. Shapes of agglomerates in plasma etching reactors

    International Nuclear Information System (INIS)

    Huang, F.Y.; Kushner, M.J.

    1997-01-01

    Dust particle contamination of wafers in reactive ion etching (RIE) plasma tools is a continuing concern in the microelectronics industry. It is common to find that particles collected on surfaces or downstream of the etch chamber are agglomerates of smaller monodisperse spherical particles. The shapes of the agglomerates vary from compact, high fractal dimension structures to filamentary, low fractal dimension structures. These shapes are important with respect to the transport of particles in RIE tools under the influence electrostatic and ion drag forces, and the possible generation of polarization forces. A molecular dynamics simulation has been developed to investigate the shapes of agglomerates in plasma etching reactors. We find that filamentary, low fractal dimension structures are generally produced by smaller (<100s nm) particles in low powered plasmas where the kinetic energy of primary particles is insufficient to overcome the larger Coulomb repulsion of a compact agglomerate. This is analogous to the diffusive regime in neutral agglomeration. Large particles in high powered plasmas generally produce compact agglomerates of high fractal dimension, analogous to ballistic agglomeration of neutrals. copyright 1997 American Institute of Physics

  16. Titanium nitride plasma-chemical synthesis with titanium tetrachloride raw material in the DC plasma-arc reactor

    Science.gov (United States)

    Kirpichev, D. E.; Sinaiskiy, M. A.; Samokhin, A. V.; Alexeev, N. V.

    2017-04-01

    The possibility of plasmochemical synthesis of titanium nitride is demonstrated in the paper. Results of the thermodynamic analysis of TiCl4 - H2 - N2 system are presented; key parameters of TiN synthesis process are calculated. The influence of parameters of plasma-chemical titanium nitride synthesis process in the reactor with an arc plasmatron on characteristics on the produced powders is experimentally investigated. Structure, chemical composition and morphology dependencies on plasma jet enthalpy, stoichiometric excess of hydrogen and nitrogen in a plasma jet are determined.

  17. Development of time dependent safety analysis code for plasma anomaly events in fusion reactors

    International Nuclear Information System (INIS)

    Honda, Takuro; Okazaki, Takashi; Bartels, H.W.; Uckan, N.A.; Seki, Yasushi.

    1997-01-01

    A safety analysis code SAFALY has been developed to analyze plasma anomaly events in fusion reactors, e.g., a loss of plasma control. The code is a hybrid code comprising a zero-dimensional plasma dynamics and a one-dimensional thermal analysis of in-vessel components. The code evaluates the time evolution of plasma parameters and temperature distributions of in-vessel components. As the plasma-safety interface model, we proposed a robust plasma physics model taking into account updated data for safety assessment. For example, physics safety guidelines for beta limit, density limit and H-L mode confinement transition threshold power, etc. are provided in the model. The model of the in-vessel components are divided into twenty temperature regions in the poloidal direction taking account of radiative heat transfer between each surface of each region. This code can also describe the coolant behavior under hydraulic accidents with the results by hydraulics code and treat vaporization (sublimation) from plasma facing components (PFCs). Furthermore, the code includes the model of impurity transport form PFCs by using a transport probability and a time delay. Quantitative analysis based on the model is possible for a scenario of plasma passive shutdown. We examined the possibility of the code as a safety analysis code for plasma anomaly events in fusion reactors and had a prospect that it would contribute to the safety analysis of the International Thermonuclear Experimental Reactor (ITER). (author)

  18. Highly Radiative Plasmas for Local Transport Studies and Power and Particle Handling in Reactor Regimes

    International Nuclear Information System (INIS)

    Bell, M.G.; Bell, R.E.; Budny, R.; Bush, C.E.; Hill, K.W.

    1998-01-01

    To study the applicability of artificially enhanced impurity radiation for mitigation of the plasma-limiter interaction in reactor regimes, krypton and xenon gases were injected into the Tokamak Fusion Test Reactor (TFTR) supershots and high-l(subscript) plasmas. At neutral beam injection (NBI) powers P(subscript B) greater than or equal to 30 MW, carbon influxes (blooms) were suppressed, leading to improved energy confinement and neutron production in both deuterium (D) and deuterium-tritium (DT) plasmas, and the highest DT fusion energy production (7.6 MJ) in a TFTR pulse. Comparisons of the measured radiated power profiles with predictions of the MIST impurity transport code have guided studies of highly-radiative plasmas in the International Thermonuclear Experimental Reactor (ITER). The response of the electron and ion temperatures to greatly increased radiative losses from the electrons was used to study thermal transport mechanisms

  19. Fusion reactor physics and technology. Progress report, October 1, 1978-June 30, 1979

    International Nuclear Information System (INIS)

    Conn, R.W.; Kulcinski, G.L.; Maynard, C.W.

    1979-01-01

    During the present contract period, work has been carried out in the following areas: (a) The NUWMAK tokamak reactor design was completed and distributed throughout the community. In particular, specific work was completed on divertorless tokamak operation in NUWMAK, Ti alloy assessment, materials resource implications of NUWMAK style reactors, and an economic analysis; (b) Tandem mirror reactor technology studies were carried out on tandem mirror physics, the role of rf heating, power balance studies, the design of high field magnets, and blanket/shield design in TMR's; (c) work at Wisconsin is contributing to the evolving picture of an optimum TMR; (d) the WHIST tokamak reactor plasma transport code developed at Wisconsin has been extended in two directions; (e) Work on ICRF heating in tokamak reactors, both in terms of physics and launching structure design, has been completed and published

  20. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  1. JET ({sup 3}He)-D scenarios relying on RF heating: survey of selected recent experiments

    Energy Technology Data Exchange (ETDEWEB)

    Van Eester, D; Lerche, E; Andrew, Y; Biewer, T M; Casati, A; Crombe, K; De la Luna, E; Ericsson, G; Felton, R; Giacomelli, L; Giroud, C; Hawkes, N; Hellesen, C; Hjalmarsson, A; Joffrin, E; Kaellne, J; Kiptily, V; Lomas, P; Mantica, P; Marinoni, A [JET-EFDA Culham Science Centre, Abingdon, OX14 3DB (United Kingdom)] (and others)

    2009-04-15

    Recent JET experiments have been devoted to the study of ({sup 3}He)-D plasmas involving radio frequency (RF) heating. This paper starts by discussing the RF heating efficiency theoretically expected in such plasmas, covering both relevant aspects of wave and of particle dynamics. Then it gives a concise summary of the main conclusions drawn from recent experiments that were either focusing on studying RF heating physics aspects or that were adopting RF heating as a tool to study plasma behavior. Depending on the minority concentration chosen, different physical phenomena are observed. At very low concentration (X[{sup 3}He] < 1%), energetic tails are formed which trigger MHD activity and result in loss of fast particles. Alfven cascades were observed and gamma ray tomography indirectly shows the impact of sawtooth crashes on the fast particle orbits. Low concentration (X[{sup 3}He] < 10%) favors minority heating while for X[{sup 3}He] >> 10% electron mode conversion damping becomes dominant. Evidence for the Fuchs et al standing wave effect (Fuchs et al 1995 Phys. Plasmas 2 1637-47) on the absorption is presented. RF induced deuterium tails were observed in mode conversion experiments with large X[{sup 3}He] ({approx}18%). As tentative modeling shows, the formation of these tails can be explained as a consequence of wave power absorption by neutral beam particles that efficiently interact with the waves well away from the cold D cyclotron resonance position as a result of their substantial Doppler shift. As both ion and electron RF power deposition profiles in ({sup 3}He)-D plasmas are fairly narrow-giving rise to localized heat sources-the RF heating method is an ideal tool for performing transport studies. Various of the experiments discussed here were done in plasmas with internal transport barriers (ITBs). ITBs are identified as regions with locally reduced diffusivity, where poloidal spinning up of the plasma is observed. The present know-how on the role of

  2. Wettability, optical properties and molecular structure of plasma polymerized diethylene glycol dimethyl ether

    Energy Technology Data Exchange (ETDEWEB)

    Azevedo, T C A M; Algatti, M A; Mota, R P; Honda, R Y; Kayama, M E; Kostov, K G; Fernandes, R S [FEG-DFQ-UNESP, Av. Ariberto Pereira da Cunha 333, 12516-410 - Guaratingueta, SP (Brazil); Cruz, N C; Rangel, E C, E-mail: algatti@feg.unesp.b [UNESP, Avenida Tres de Marco, 511, 18087-180 Sorocaba, SP (Brazil)

    2009-05-01

    Modern industry has frequently employed ethylene glycol ethers as monomers in plasma polymerization process to produce different types of coatings. In this work we used a stainless steel plasma reactor to grow thin polymeric films from low pressure RF excited plasma of diethylene glycol dimethyl ether. Plasmas were generated at 5W RF power in the range of 16 Pa to 60 Pa. The molecular structure of plasma polymerized films and their optical properties were analyzed by Fourier Transform Infrared Spectroscopy (FTIR) and Ultraviolet-Visible Spectroscopy, respectively. The IR spectra show C-H stretching at 3000-2900 cm{sup -1}, C=O stretching at 1730-1650 cm{sup -1}, C-H bending at 1440-1380 cm{sup -1}, C-O and C-O-C stretching at 1200-1000 cm{sup -1}. The refraction index was around 1.5 and the optical gap calculated from absorption coefficient presented value near 3.8 eV. Water contact angle of the films ranged from 40 deg. to 35 deg. with corresponding surface energy from 66 to 73x10{sup -7} J. Because of its favorable optical and hydrophilic characteristics these films can be used in ophthalmic industries as glass lenses coatings.

  3. The TFTR RF Limiter upgrade design and installation

    International Nuclear Information System (INIS)

    Barnes, G.W.; Fan, H.M.; Ulrickson, M.

    1991-01-01

    The RF Limiters originally installed at Bays K-L and N-O[1] were upgraded to a new configuration and six new limiters of similar design were added. The RF Limiter upgrade protects the (2) existing RF Launchers and with a minor addition will protect the (2) RF Launchers to be installed in FY92 and will permit 50 Megawatts of auxiliary input power for two seconds during plasma operation. Each of the new RF Limiters are comprised of 18 tiles for a total of 108. The design provides for revised and strengthened supporting mounts because of additional forces induced in the tiles. Tile material is a 2D carbon-carbon composite identical to the original tile material. The channel shaped tile is geometrically the same as the original design. Subassembly of the panels took place outside the vessel in order to minimize exposure levels to the workers. Tooling was designed to replicate the vessel hardpoints and ease the subassembly tasks. Installation of the entire system occurred during the FY 91 opening. Integrated into the design are provisions to eliminate plasma damage to the insulators at the mounts. Detail design philosophy and an overview of the project are addressed by this paper. 2 refs., 2 figs

  4. Dense Medium Plasma Water Purification Reactor (DMP WaPR), Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The Dense Medium Plasma Water Purification Reactor offers significant improvements over existing water purification technologies used in Advanced Life Support...

  5. Sequential modelling of ICRF wave near RF fields and asymptotic RF sheaths description for AUG ICRF antennas

    Directory of Open Access Journals (Sweden)

    Jacquot Jonathan

    2017-01-01

    Full Text Available A sequence of simulations is performed with RAPLICASOL and SSWICH to compare two AUG ICRF antennas. RAPLICASOL outputs have been used as input to SSWICH-SW for the AUG ICRF antennas. Using parallel electric field maps and the scattering matrix produced by RAPLICASOL, SSWICH-SW, reduced to its asymptotic part, is able to produce a 2D radial/poloidal map of the DC plasma potential accounting for the antenna input settings (total power, power balance, phasing. Two models of antennas are compared: 2-strap antenna vs 3-strap antenna. The 2D DC potential structures are correlated to structures of the parallel electric field map for different phasing and power balance. The overall DC plasma potential on the 3-strap antenna is lower due to better global RF currents compensation. Spatial proximity between regions of high RF electric field and regions where high DC plasma potentials are observed is an important factor for sheath rectification.

  6. Excitation of surface waves and electrostatic fields by a RF (radiofrequency systems) wave in a plasma sheath with current

    International Nuclear Information System (INIS)

    Gutierrez Tapia, C.

    1990-01-01

    It is shown in a one-dimensional model that when a current in a plasma sheath is present, the excitation of surface waves and electrostatic fields by a RF wave is possible in the sheath. This phenomena depends strongly on the joint action of Miller's and driven forces. It is also shown that the action of these forces are carried out at different characteristic times when the wave front travels through the plasma sheath. The influence of the current, in the steady limit, is taken into account by a small functional variation of the density perturbations and generated electrostatic field. (Author)

  7. Plasma rotation study in Tore Supra radio frequency heated plasmas

    International Nuclear Information System (INIS)

    Chouli, Bilal

    2014-01-01

    Toroidal flows are found to improve the performance of the magnetic confinement devices with increase of the plasma stability and confinement. In ITER or future reactors, the torque from NBI should be less important than in present-day tokamaks. Consequently, it is of interest to study other intrinsic mechanisms that can give rise to plasma rotation in order to predict the rotation profile in experiments. Intriguing observations of plasmas rotation have been made in radio frequency (RF) heated plasmas with little or no external momentum injection. Toroidal rotation in both the direction of the plasma current (co-current) and in the opposite direction (counter-current) has been observed depending on the heating schemes and plasma performance. In Tore Supra, most observations in L-mode plasmas have been in the counter-current direction. However, in this thesis, we show that in lower hybrid current drive (LHCD), the core toroidal rotation increment is in co- or counter-current direction depending on the plasma current amplitude. At low plasma current the rotation change is in the co-current direction while at high plasma current, the change is in the counter-current direction. In both low and high plasma current cases, rotation increments are found to increase linearly with the injected LH power. Several mechanisms in competition which can induce co- or counter-current rotation in Tore Supra LHCD plasmas are investigated and typical order of magnitude are discussed in this thesis. (author) [fr

  8. Analysis of a gas-liquid film plasma reactor for organic compound oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Hsieh, Kevin [Department of Chemical and Biomedical Engineering, Florida State University, Tallahassee, FL 32310 (United States); Wang, Huijuan [School of Environmental and Safety Engineering, Jiangsu University, Zhenjiang 212013 (China); Locke, Bruce R., E-mail: blocke@fsu.edu [Department of Chemical and Biomedical Engineering, Florida State University, Tallahassee, FL 32310 (United States)

    2016-11-05

    Highlights: • Non-homogeneous filamentary plasma discharge formed along gas-liquid interface. • Hydrogen peroxide formed near interface favored over organic oxidation from liquid. • Post-plasma Fenton reactions lead to complete utilization of hydrogen peroxide. - Abstract: A pulsed electrical discharge plasma formed in a tubular reactor with flowing argon carrier gas and a liquid water film was analyzed using methylene blue as a liquid phase hydroxyl radical scavenger and simultaneous measurements of hydrogen peroxide formation. The effects of liquid flow rate, liquid conductivity, concentration of dye, and the addition of ferrous ion on dye decoloration and degradation were determined. Higher liquid flow rates and concentrations of dye resulted in less decoloration percentages and hydrogen peroxide formation due to initial liquid conductivity effects and lower residence times in the reactor. The highest decoloration energy yield of dye found in these studies was 5.2 g/kWh when using the higher liquid flow rate and adding the catalyst. The non-homogeneous nature of the plasma discharge favors the production of hydrogen peroxide in the plasma-liquid interface over the chemical oxidation of the organic in the bulk liquid phase and post-plasma reactions with the Fenton catalyst lead to complete utilization of the plasma-formed hydrogen peroxide.

  9. Startup of reversed-field mirror reactors using coaxial plasma guns

    International Nuclear Information System (INIS)

    Smith, A.C. Jr.; Hartman, C.W.; Carlson, G.A.; Neef, W.S. Jr.; Eddleman, J.L.

    1979-01-01

    Preliminary calculations are given that indicate that a coaxial plasma gun might scale reasonably to reactor-grade operating conditions. Ongoing experiments and numerical simulations should shed some light on the validity of the described scaling laws

  10. Simulation of RF power and multi-cusp magnetic field requirement for H{sup −} ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Pathak, Manish [Ion Source Lab., Proton Linac & Superconducting Cavities Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Senecha, V.K., E-mail: kumarvsen@gmail.com [Ion Source Lab., Proton Linac & Superconducting Cavities Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Homi Bhabha National Institute, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Kumar, Rajnish; Ghodke, Dharmraj V. [Ion Source Lab., Proton Linac & Superconducting Cavities Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India)

    2016-12-01

    A computer simulation study for multi-cusp RF based H{sup −} ion source has been carried out using energy and particle balance equation for inductively coupled uniformly dense plasma considering sheath formation near the boundary wall of the plasma chamber for RF ion source used as high current injector for 1 Gev H{sup −} Linac project for SNS applications. The average reaction rates for different reactions responsible for H{sup −} ion production and destruction have been considered in the simulation model. The RF power requirement for the caesium free H{sup -} ion source for a maximum possible H{sup −} ion beam current has been derived by evaluating the required current and RF voltage fed to the coil antenna using transformer model for Inductively Coupled Plasma (ICP). Different parameters of RF based H{sup −} ion source like excited hydrogen molecular density, H{sup −} ion density, RF voltage and current of RF antenna have been calculated through simulations in the presence and absence of multicusp magnetic field to distinctly observe the effect of multicusp field. The RF power evaluated for different H{sup −} ion current values have been compared with the experimental reported results showing reasonably good agreement considering the fact that some RF power will be reflected from the plasma medium. The results obtained have helped in understanding the optimum field strength and field free regions suitable for volume emission based H{sup −} ion sources. The compact RF ion source exhibits nearly 6 times better efficiency compare to large diameter ion source.

  11. Determination of plasma density from data on the ion current to cylindrical and planar probes

    Energy Technology Data Exchange (ETDEWEB)

    Voloshin, D. G., E-mail: dvoloshin@mics.msu.su; Vasil’eva, A. N.; Kovalev, A. S.; Mankelevich, Yu. A.; Rakhimova, T. V. [Moscow State University, Skobeltsyn Nuclear Physics Institute (Russian Federation)

    2016-12-15

    To improve probe methods of plasma diagnostics, special probe measurements were performed and numerical models describing ion transport to a probe with allowance for collisions were developed. The current–voltage characteristics of cylindrical and planar probes were measured in an RF capacitive discharge in argon at a frequency of 81 MHz and plasma densities of 10{sup 10}–10{sup 11} cm{sup –3}, typical of modern RF reactors. 1D and 2D numerical models based on the particle-in-cell method with Monte Carlo collisions for simulating ion motion and the Boltzmann equilibrium for electrons are developed to describe current collection by a probe. The models were used to find the plasma density from the ion part of the current–voltage characteristic, study the effect of ion collisions, and verify simplified approaches to determining the plasma density. A 1D hydrodynamic model of the ion current to a cylindrical probe with allowance for ion collisions is proposed. For a planar probe, a method to determine the plasma density from the averaged numerical results is developed. A comparative analysis of different approaches to calculating the plasma density from the ion current to a probe is performed.

  12. Plasma engineering design of a compact reversed-field pinch reactor (CRFPR)

    International Nuclear Information System (INIS)

    Bathke, C.G.; Embrechts, M.J.; Hagenson, R.L.; Krakowski, R.A.; Miller, R.L.

    1983-01-01

    The rationale for and the characteristics of the high-power-density Compact Reversed-Field Pinch Reactor (CRFPR) are discussed. Particular emphasis is given to key plasma engineering aspects of the conceptual design, including plasma operations, current drive, and impurity/ash control by means of pumped limiters or magnetic divertors. A brief description of the Fusion-Power-Core integration is given

  13. Mechanism for heating of nitrogen plasmas in an electrodeless rf capacitive discharge at medium pressures

    International Nuclear Information System (INIS)

    Berdichevskii, M.G.; Marusin, V.V.

    1979-01-01

    The possible contributions of several processes to the experimentally observed heating of nitrogen plasmas in an electarodeless rf capacitive discharge at pressures of p=2.7-67 kPa are discussed. These processes are electron-rotational, vibrational--translational (V--T), and nonresonance vibrational--vibrational (V--V) energy exchange and effects due to O 2 , H 2 O, and NO impurities in the gas. It is shown that as the pressure is decreased the heating mechanism changes from quasiequilibrium to nonequilibrium V--T heating caused by overpopulation of high vibrational levels in the ground state of the nitrogen molecule

  14. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  15. The RF voltage dependence of the electron sheath heating in low pressure capacitively coupled rf discharges

    International Nuclear Information System (INIS)

    Buddemeier, U.; Kortshagen, U.; Pukropski, I.

    1995-01-01

    In low pressure capacitively coupled RF discharges two competitive electron heating mechanisms have been discussed for some time now. At low pressures the stochastic sheath heating and for somewhat higher pressures the Joule heating in the bulk plasma have been proposed. When the pressure is increased at constant RF current density a transition from concave electron distribution functions (EDF) with a pronounced cold electron group to convex EDFs with a missing strong population of cold electrons is found. This transition was interpreted as the transition from dominant stochastic to dominant Joule heating. However, a different interpretation has been given by Kaganovich and Tsendin, who attributed the concave shaped EDFs to the spatially inhomogeneous RF field in combination with the nonlocality of the EDF

  16. High Power RF Transmitters for ICRF Applications on EAST

    International Nuclear Information System (INIS)

    Mao Yuzhou; Yuan Shuai; Zhao Yanping; Zhang Xinjun; Chen Gen; Cheng Yan; Wang Lei; Ju Songqing; Deng Xu; Qin Chengming; Yang Lei; Kumazawa, R.

    2013-01-01

    An Ion Cyclotron Range of Frequency (ICRF) system with a radio frequency (RF) power of 4 × 1.5 MW was developed for the Experimental Advanced Superconducting Tokamak (EAST). High RF power transmitters were designed as a part of the research and development (R and D) for an ICRF system with long pulse operation at megawatt levels in a frequency range of 25 MHz to 70 MHz. Studies presented in this paper cover the following parts of the high power transmitter: the three staged high power amplifier, which is composed of a 5 kW wideband solid state amplifier, a 100 kW tetrode drive stage amplifier and a 1.5 MW tetrode final stage amplifier, and the DC high voltage power supply (HVPS). Based on engineering design and static examinations, the RF transmitters were tested using a matched dummy load where an RF output power of 1.5 MW was achieved. The transmitters provide 6 MW RF power in primary phase and will reach a level up to 12 MW after a later upgrade. The transmitters performed successfully in stable operations in EAST and HT-7 devices. Up to 1.8 MW of RF power was injected into plasmas in EAST ICRF heating experiments during the 2010 autumn campaign and plasma performance was greatly improved.

  17. Structural stability analysis considerations in fusion reactor plasma chamber design

    International Nuclear Information System (INIS)

    Delaney, M.J.; Cramer, B.A.

    1978-01-01

    This paper presents an approach to analyzing a toroidal plasma chamber for the prevention of both static and dynamic buckling. Results of stability analyses performed for the doublet shaped plasma chamber of the General Atomic 3.8 meter radius TNS ignition test reactor are presented. Load conditions are the static external atmospheric pressure load and the dynamic plasma disruption pulse load. Methods for analysis of plasma chamber structures are presented for both types of load. Analysis for static buckling is based on idealizing the plasma chamber into standard structural shapes and applying classical cylinder and circular torus buckling equations. Results are verified using the Buckling of Shells of Revolution (BOSOR4) finite difference computer code. Analysis for the dynamic loading is based on a pulse buckling analysis method for circular cylinders

  18. Degradation of Acid Orange 7 Dye in Two Hybrid Plasma Discharge Reactors

    Science.gov (United States)

    Shen, Yongjun; Lei, Lecheng; Zhang, Xingwang; Ding, Jiandong

    2014-11-01

    To get an optimized pulsed electrical plasma discharge reactor and to increase the energy utilization efficiency in the removal of pollutants, two hybrid plasma discharge reactors were designed and optimized. The reactors were compared via the discharge characteristics, energy transfer efficiency, the yields of the active species and the energy utilization in dye wastewater degradation. The results showed that under the same AC input power, the characteristics of the discharge waveform of the point-to-plate reactor were better. Under the same AC input power, the two reactors both had almost the same peak voltage of 22 kV. The peak current of the point-to-plate reactor was 146 A, while that of the wire-to-cylinder reactor was only 48.8 A. The peak powers of the point-to-plate reactor and the wire-to-cylinder reactor were 1.38 MW and 1.01 MW, respectively. The energy per pulse of the point-to-plate reactor was 0.2221 J, which was about 29.4% higher than that of the wire-to-cylinder reactor (0.1716 J). To remove 50% Acid Orange 7 (AO7), the energy utilizations of the point-to-plate reactor and the wire-to-cylinder reactor were 1.02 × 10-9 mol/L and 0.61 × 10-9 mol/L, respectively. In the point-to-plate reactor, the concentration of hydrogen peroxide in pure water was 3.6 mmol/L after 40 min of discharge, which was higher than that of the wire-to-cylinder reactor (2.5 mmol/L). The concentration of liquid phase ozone in the point-to-plate reactor (5.7 × 10-2 mmol/L) was about 26.7% higher than that in the wire-to-cylinder reactor (4.5 × 10-2 mmol/L). The analysis results of the variance showed that the type of reactor and reaction time had significant impacts on the yields of the hydrogen peroxide and ozone. The main degradation intermediates of AO7 identified by gas chromatography and mass spectrometry (GCMS) were acetic acid, maleic anhydride, p-benzoquinone, phenol, benzoic acid, phthalic anhydride, coumarin and 2-naphthol. Proposed degradation pathways were

  19. Nonthermal plasma reactors for the production of light hydrocarbon olefins from heavy oil

    Directory of Open Access Journals (Sweden)

    G. Prieto

    2003-03-01

    Full Text Available During the last decade, nonthermal plasma technology was applied in many different fields, focusing attention on the destruction of harmful compounds in the air. This paper deals with nonthermal plasma reactors for the conversion of heavy oil into light hydrocarbon olefins, to be employed as gasoline components or to be added in small amounts for the catalytic reduction of nitrogen oxide compounds in the treatment of exhaust gas at power plants. For the process, the plate-plate nonthermal plasma reactor driven by AC high voltage was selected. The reactor was modeled as a function of parameter characteristics, using the methodology provided by the statistical experimental design. The parameters studied were gap distance between electrodes, carrier gas flow and applied power. Results indicate that the reactions occurring in the process of heavy oil conversion have an important selective behavior. The products obtained were C1-C4 hydrocarbons with ethylene as the main compound. Operating the parameters of the reactor within the established operative window of the system and close to the optimum conditions, efficiencies as high as 70 (mul/joule were obtained. These values validate the process as an in-situ method to produce light olefins for the treatment of nitrogen oxides in the exhaust gas from diesel engines.

  20. Mini-cavity plasma core reactors for dual-mode space nuclear power/propulsion systems

    International Nuclear Information System (INIS)

    Chow, S.

    1976-01-01

    A mini-cavity plasma core reactor is investigated for potential use in a dual-mode space power and propulsion system. In the propulsive mode, hydrogen propellant is injected radially inward through the reactor solid regions and into the cavity. The propellant is heated by both solid driver fuel elements surrounding the cavity and uranium plasma before it is exhausted out the nozzle. The propellant only removes a fraction of the driver power, the remainder is transferred by a coolant fluid to a power conversion system, which incorporates a radiator for heat rejection. In the power generation mode, the plasma and propellant flows are shut off, and the driver elements supply thermal power to the power conversion system, which generates electricity for primary electric propulsion purposes

  1. Annealing of hydrogen-induced defects in RF-plasma-treated Si wafers: ex situ and in situ transmission electron microscopy studies

    International Nuclear Information System (INIS)

    Ghica, C; Nistor, L C; Vizireanu, S; Dinescu, G

    2011-01-01

    The smart-cut(TM) process is based on inducing and processing structural defects below the free surface of semiconductor wafers. The necessary defects are currently induced by implantation of light elements such as hydrogen or helium. An alternative softer way to induce shallow subsurface defects is by RF-plasma hydrogenation. To facilitate the smart-cut process, the wafers containing the induced defects need to be subjected to an appropriate thermal treatment. In our experiments, (0 0 1) Si wafers are submitted to 200 and 50 W hydrogen RF-plasma and are subsequently annealed. The samples are studied by transmission electron microscopy (TEM), before and after annealing. The plasma-introduced defects are {1 1 1} and {1 0 0} planar-like defects and nanocavities, all of them involving hydrogen. Many nanocavities are aligned into strings almost parallel to the wafer surface. The annealing is performed either by furnace thermal treatment at 550 deg. C, or by in situ heating in the electron microscope at 450, 650 and 800 deg. C during the TEM observations. The TEM microstructural studies indicate a partial healing of the planar defects and a size increase of the nanometric cavities by a coalescence process of the small neighbouring nanocavities. By annealing, the lined up nanometric voids forming chains in the as-hydrogenated sample coalesced into well-defined cracks, mostly parallel to the wafer surface.

  2. Plasma properties in a large-volume, cylindrical and asymmetric radio-frequency capacitively coupled industrial-prototype reactor

    International Nuclear Information System (INIS)

    Lazović, Saša; Puač, Nevena; Spasić, Kosta; Malović, Gordana; Petrović, Zoran Lj; Cvelbar, Uroš; Mozetič, Miran; Radetić, Maja

    2013-01-01

    We have developed a large-volume low-pressure cylindrical plasma reactor with a size that matches industrial reactors for treatment of textiles. It was shown that it efficiently produces plasmas with only a small increase in power as compared with a similar reactor with 50 times smaller volume. Plasma generated at 13.56 MHz was stable from transition to streamers and capable of long-term continuous operation. An industrial-scale asymmetric cylindrical reactor of simple design and construction enabled good control over a wide range of active plasma species and ion concentrations. Detailed characterization of the discharge was performed using derivative, Langmuir and catalytic probes which enabled determination of the optimal sets of plasma parameters necessary for successful industry implementation and process control. Since neutral atomic oxygen plays a major role in many of the material processing applications, its spatial profile was measured using nickel catalytic probe over a wide range of plasma parameters. The spatial profiles show diffusion profiles with particle production close to the powered electrode and significant wall losses due to surface recombination. Oxygen atom densities range from 10 19 m −3 near the powered electrode to 10 17 m −3 near the wall. The concentrations of ions at the same time are changing from 10 16 to the 10 15 m −3 at the grounded chamber wall. (paper)

  3. Radio frequency plasma heating in large tokamak systems near the lower hybrid resonance

    International Nuclear Information System (INIS)

    Deitz, A.; Hooke, W.M.

    1975-01-01

    The frequency range, power, efficiency, and pulse length of a high power rf system are discussed as they might be applied to the TFTR Tokamak facility as well as on a full scale reactor. Comparisons are made of the size, power output, and costs to obtain microwave power sufficient to satisfy the physics requirements. A new microwave feed concept is discussed which will improve the coupling of the microwave energy into the plasma. The unique advantages of waveguide feed systems is apparent when one considers the practical problems associated with coupling supplementary heating energy into a reactor

  4. Preliminary results of a broad beam RF ion source with electron plasma interaction. Vol. 2

    Energy Technology Data Exchange (ETDEWEB)

    Abdelaziz, M E; Zakhary, S G; Ghanem, A A; Abdel-Ghaffar, A M [Ion Sources and Accelerators Department, Nuclear Research Center, Atomic Energy Authority, Cairo, (Egypt)

    1996-03-01

    A new design of a broad beam RF ion source is made to be capable to deliver wide and uniform beam with currents reaching (100 {mu} A up to 30 mA) at extraction voltages (200 V up to 2 kV). Its plasma intensifying system is made with the addition of electrons from an immersed filament in the discharge and axial magnetic field (70 up to 300 G). A uniform beam distribution is made with a planner graphite cathode which has a number of holes arranged to produce perveance matching with the normal Gaussian distribution of the beam density. These holes are arranged in a consequent orbits with equal distance between the adjacent holes in each orbit. These holes increase in diameter with increasing the orbit radius. This allows increasing the extracted ion currents at the source outer edges and decreases its value at the source inner region; producing wide and uniform beam which is suitable for material modifications. The beam profiles are traced with electromechanical scanner and X-Y recorder. The perveance matching is found to produce a beam uniformity of =66% of its width which reaches =6 cm. The variation of the output currents are with the variation of extraction voltages, magnetic field, discharge pressure and electron injection into the plasma. The extracted current increases with the increase of the discharge pressure, RF power and magnetic field intensity. The influence of electron plasma interaction is found to have a great effect on increasing the ion currents to about four times its value without electron interaction, however, this increase is limited due to presence of breakdown at V{sub ex} > 2 kV. The simple design of this source, its cleanness due to the use of pyrex discharge bottle, easy operation and maintenance adds other features to this broad beam type ion source which makes it suitable for metallurgical applications in broad beam accelerators. 6 figs.

  5. Inductive plasma source for the ion treatment of AISI-304 SS

    International Nuclear Information System (INIS)

    Piedad-Beneitez, A de la; Lopez-Callejas, R; Granda-Gutierrez, E E; Rodriguez-Mendez, B G; Perez-Martinez, J A; Flores-Fuentes, A A; Valencia-Alvarado, R; Barocio, S R; Mercado-Cabrera, A; Pena-Eguiluz, R; Munoz-Castro, A E

    2008-01-01

    The design and construction of a simple inductive plasma source is described as constituted by an evacuated Pyrex glass cylinder reactor with 190 mm inner diameter and 500 mm length. This discharge vessel is coaxially surrounded by a cylindrically wound antenna, 240 mm in diameter, made of 3.2 mm wide copper wire. The antenna is supplied by a 13.56 MHz RF generator whose resulting electric field is able to create the plasma. When nitrogen is admitted to the vessel, the plasma generation takes place within the 0.1-50 Pa work pressure and 300-600 W RF power. The plasma density has been established by double Langmuir probes between 3.2 x 10 15 and 2.4 x 10 18 m -3 . This inductive plasma set up is meant to modify the surface of AISI-304 stainless steel by means of ion deposition, thanks to the sample bias provided by an external - 400 V dc supply, in order to improve the steel hardness without compromising its corrosion resistance. Once accelerated by the negative bias, the plasma ions impinge on the sample nitriding it by diffusion. The treated samples were characterized by x-ray diffraction (XRD) indicating the formation of the expanded gamma phase, by scanning electron microscopy (SEM) providing the atomic percentages of nitrogen, and by microhardness (HV) measurement.

  6. Passivation of Ge/high-κ interface using RF Plasma nitridation

    Science.gov (United States)

    Dushaq, Ghada; Nayfeh, Ammar; Rasras, Mahmoud

    2018-01-01

    In this paper, plasma nitridation of a germanium surface using NH3 and N2 gases is performed with a standard RF-PECVD method at a substrate temperature of 250 °C. The structural and optical properties of the Ge surface have been investigated using Atomic Force Microscopy (AFM), Fourier Transform Infrared Spectroscopy (FT-IR), and Variable Angle Spectroscopic Ellipsometery (VASE). Study of the Ge (100) surface revealed that it is nitrated after plasma treatment while the GeO2 regrowth on the surface has been suppressed. Also, stability of the treated surface under air exposure is observed, where all the measurements were performed at room ambient. The electrical characteristics of fabricated Al/Ti/HfO2/GeON/p-Ge capacitors using the proposed surface treatment technique have been investigated. The C-V curves indicated a negligible hysteresis compared to ˜500 mV observed in untreated samples. Additionally, the C-V characteristic is used to extract the high-κ/Ge interface trap density using the most commonly used methods in determining the interface traps. The discussion includes the Dit calculation from the high-low frequency (Castagné-Vapaille) method and Terman (high-frequency) method. The high-low frequency method indicated a low interface trap density of ˜2.5 × 1011 eV-1.cm-2 compared to the Terman method. The J-V measurements revealed more than two orders of magnitude reduction of the gate leakage. This improved Ge interface quality is a promising low-temperature technique for fabricating high-performance Ge MOSFETs.

  7. Plasma Sprayed Coatings for RF Wave Absorption

    Czech Academy of Sciences Publication Activity Database

    Nanobashvili, S.; Matějíček, Jiří; Žáček, František; Stöckel, Jan; Chráska, Pavel; Brožek, Vlastimil

    307-311, - (2002), s. 1334-1338 ISSN 0022-3115 Grant - others: COST (XE) Euratom DV4/04(TWO) Institutional research plan: CEZ:AV0Z2043910 Keywords : boron carbide, thermal spray coatings, fusion materials, RF wave absorption Subject RIV: JK - Corrosion ; Surface Treatment of Materials Impact factor: 1.730, year: 2002

  8. A study on etching of UO2, Co, and Mo surface with R.F. plasma using CF4 and O2

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Seo, Yong Dae

    2003-01-01

    Recently dry decontamination/surface-cleaning technology using plasma etching has been focused in the nuclear industry. In this study, the applicability of this new dry processing technique are experimentally investigated by examining the etching reaction of UO 2 , Co, and Mo in r.f. plasma with the etchant gas of CF 4 /O 2 mixture. UO 2 is chosen as a representing material for uranium and TRU (TRans-Uranic) compounds while metallic Co and Mo are selected because they are the principal contaminants in the used metallic nuclear components such as valves and pipes made of stainless steel or Inconel. Results show that in all cases maximum etching rate is achieved when the mole fraction of O 2 in CF 4 /O 2 mixture gas is 20%, regardless of temperature and r.f. power. In case of UO 2 , the highest etching reaction rate is greater than 1000 monolayers/min. at 370 .deg. C under 150 W r.f. power which is equivalent to 0.4 μm/min. As for Co, etching reaction begins to take place significantly when the temperature exceeds 350 .deg. C. Maximum etching rate achieved at 380 .deg. C is 0.06 μm/min. Mo etching reaction takes place vigorously even at relatively low temperature and the reaction rate increases drastically with increasing temperature. Highest etching rate at 380 .deg. C is 1.9 μm /min. According to OES (Optical Emission Spectroscopy) and AES (Auger Electron Spectroscopy) analysis, primary reaction seems to be a fluorination reaction, but carbonyl compound formation reaction may assist the dominant reaction, especially in case of Co and Mo. Through this basic study, the feasibility and the applicability of plasma decontamination technique are demonstrated

  9. Characterization of In-Flight Processing of Alumina Powder Using a DC-RF Hybrid Plasma Flow System at Constant Low Operating Power

    Science.gov (United States)

    Nishiyama, H.; Onodera, M.; Igawa, J.; Nakajima, T.

    2009-12-01

    The aim of this study is to provide the optimum operating conditions for enhancing in-flight alumina particle heating as much as possible for particle spheroidization and aggregation of melted particles using a DC-RF hybrid plasma flow system even at constant low operating power based on the thermofluid considerations. It is clarified that the swirl flow and higher operating pressure enhance the particle melting and aggregation of melted particles coupled with increasing gas temperature downstream of a plasma uniformly in the radial direction at constant electrical discharge conditions.

  10. Fast wave experiments in LAPD: RF sheaths, convective cells and density modifications

    Science.gov (United States)

    Carter, T. A.; van Compernolle, B.; Martin, M.; Gekelman, W.; Pribyl, P.; van Eester, D.; Crombe, K.; Perkins, R.; Lau, C.; Martin, E.; Caughman, J.; Tripathi, S. K. P.; Vincena, S.

    2017-10-01

    An overview is presented of recent work on ICRF physics at the Large Plasma Device (LAPD) at UCLA. The LAPD has typical plasma parameters ne 1012 -1013 cm-3, Te 1 - 10 eV and B 1000 G. A new high-power ( 150 kW) RF system and fast wave antenna have been developed for LAPD. The source runs at a frequency of 2.4 MHz, corresponding to 1 - 7fci , depending on plasma parameters. Evidence of rectified RF sheaths is seen in large increases ( 10Te) in the plasma potential on field lines connected to the antenna. The rectified potential scales linearly with antenna current. The rectified RF sheaths set up convective cells of local E × B flows, measured indirectly by potential measurements, and measured directly with Mach probes. At high antenna powers substantial modifications of the density profile were observed. The plasma density profile initially exhibits transient low frequency oscillations (10 kHz). The amplitude of the fast wave fields in the core plasma is modulated at the same low frequency, suggesting fast wave coupling is affected by the density rearrangement. Work performed at the Basic Plasma Science Facility, supported jointly by the National Science Foundation and the Department of Energy.

  11. The Multipole Plasma Trap-PIC Modeling Results

    Science.gov (United States)

    Hicks, Nathaniel; Bowman, Amanda; Godden, Katarina

    2017-10-01

    A radio-frequency (RF) multipole structure is studied via particle-in-cell computer modeling, to assess the response of quasi-neutral plasma to the imposed RF fields. Several regimes, such as pair plasma, antimatter plasma, and conventional (ion-electron) plasma are considered. In the case of equal charge-to-mass ratio of plasma species, the effects of the multipole field are symmetric between positive and negative particles. In the case of a charge-to-mass disparity, the multipole RF parameters (frequency, voltage, structure size) may be chosen such that the light species (e.g. electrons) is strongly confined, while the heavy species (e.g. positive ions) does not respond to the RF field. In this case, the trapped negative space charge creates a potential well that then traps the positive species. 2D and 3D particle-in-cell simulations of this concept are presented, to assess plasma response and trapping dependences on multipole order, consequences of the formation of an RF plasma sheath, and the effects of an axial magnetic field. The scalings of trapped plasma parameters are explored in each of the mentioned regimes, to guide the design of prospective experiments investigating each. Supported by U.S. NSF/DOE Partnership in Basic Plasma Science and Engineering Grant PHY-1619615.

  12. Engineering solutions for components facing the plasma in experimental power reactors

    International Nuclear Information System (INIS)

    Casini, G.; Farfaletti-Casali, F.

    1985-01-01

    A review of the engineering problems related to the structures in front of the plasma of experimental Tokamak-type reactors is made. Attention is focused on the so-named ''first wall'', i.e. the wall side of the blanket segments facing the plasma, and on the collector plates of the impurity control system, in particular for the case of the single-null poloidal divertor. Even if the uncertainties related to the plasma-wall interaction are stil relevant, some engineering solutions which look manageable are identified and described. (orig.)

  13. Lower hybrid resonance plasma heating in the LISA machine. Aquecimento do plasma na ressonancia hibrida inferior na maquina LISA

    Energy Technology Data Exchange (ETDEWEB)

    Silva, J C.X. da; Cunha Rapozo, C da [Universidade Federal Fluminense, Niteroi, RJ (Brazil). Inst. de Fisica

    1988-10-01

    Plasma of helium was obtained using microwave source of f[sub RF] = 2.45 GHz and P[sub RF] = 800 W. Temperature and electron density were investigated for plasma excited by radiofrequency of f = 30 MHz and P[sub RF] = 0.1 kw. (M.C.K.).

  14. Development and Testing of a High Capacity Plasma Chemical Reactor in the Ukraine

    Energy Technology Data Exchange (ETDEWEB)

    Reilly, Raymond W.

    2012-07-30

    This project, Development and Testing of a High Capacity Plasma Chemical Reactor in the Ukraine was established at the Kharkiv Institute of Physics and Technology (KIPT). The associated CRADA was established with Campbell Applied Physics (CAP) located in El Dorado Hills, California. This project extends an earlier project involving both CAP and KIPT conducted under a separate CRADA. The initial project developed the basic Plasma Chemical Reactor (PCR) for generation of ozone gas. This project built upon the technology developed in the first project, greatly enhancing the output of the PCR while also improving reliability and system control.

  15. Spatio-temporal evolution of the dust particle size distribution in dusty argon rf plasmas

    International Nuclear Information System (INIS)

    Killer, Carsten; Mulsow, Matthias; Melzer, André

    2015-01-01

    An imaging Mie scattering technique has been developed to measure the spatially resolved size distribution of dust particles in extended dust clouds. For large dust clouds of micrometre-sized plastic particles confined in an radio frequency (rf) discharge, a segmentation of the dust cloud into populations of different sizes is observed, even though the size differences are very small. The dust size dispersion inside a population is much smaller than the difference between the populations. Furthermore, the dust size is found to be constantly decreasing over time while the particles are confined in an inert argon plasma. The processes responsible for the shrinking of the dust in the plasma have been addressed by mass spectrometry, ex situ microscopy of the dust size, dust resonance measurements, in situ determination of the dust surface temperature and Fourier transform infrared absorption (FT-IR). It is concluded that both a reduction of dust size and its mass density due to outgassing of water and other volatile constituents as well as chemical etching by oxygen impurities are responsible for the observations. (paper)

  16. Fast, kinetically self-consistent simulation of RF modulated plasma boundary sheaths

    International Nuclear Information System (INIS)

    Shihab, Mohammed; Ziegler, Dennis; Brinkmann, Ralf Peter

    2012-01-01

    A mathematical model is presented which enables the efficient, kinetically self-consistent simulation of RF modulated plasma boundary sheaths in all technically relevant discharge regimes. It is defined on a one-dimensional geometry where a Cartesian x-axis points from the electrode or wall at x E ≡ 0 towards the plasma bulk. An arbitrary endpoint x B is chosen ‘deep in the bulk’. The model consists of a set of kinetic equations for the ions, Boltzmann's relation for the electrons and Poisson's equation for the electrical field. Boundary conditions specify the ion flux at x B and a periodically—not necessarily harmonically—modulated sheath voltage V(t) or sheath charge Q(t). The equations are solved in a statistical sense. However, it is not the well-known particle-in-cell (PIC) scheme that is employed, but an alternative iterative algorithm termed ensemble-in-spacetime (EST). The basis of the scheme is a discretization of the spacetime, the product of the domain [x E , x B ] and the RF period [0, T]. Three modules are called in a sequence. A Monte Carlo module calculates the trajectories of a large set of ions from their start at x B until they reach the electrode at x E , utilizing the potential values on the nodes of the spatio-temporal grid. A harmonic analysis module reconstructs the Fourier modes n im (x) of the ion density n i (x, t) from the calculated trajectories. A field module finally solves the Boltzmann-Poisson equation with the calculated ion densities to generate an updated set of potential values for the spatio-temporal grid. The iteration is started with the potential values of a self-consistent fluid model and terminates when the updates become sufficiently small, i.e. when self-consistency is achieved. A subsequent post-processing determines important quantities, in particular the phase-resolved and phase-averaged values of the ion energy and angular distributions and the total energy flux at the electrode. A drastic reduction of the

  17. Effect of surface microstructure and wettability on plasma protein adsorption to ZnO thin films prepared at different RF powers

    Energy Technology Data Exchange (ETDEWEB)

    Huang Zhanyun; Chen Min; Chen Dihu [State Key Laboratory of Optoelectronic Materials and Technologies, Sun Yat-Sen University, Guangzhou 510275 (China); Pan Shirong, E-mail: stscdh@mail.sysu.edu.c [Artificial Heart Lab, the 1st Affiliate Hospital of Sun Yat-Sen University, Guangzhou 510080 (China)

    2010-10-01

    In this paper, the adsorption behavior of plasma proteins on the surface of ZnO thin films prepared by radio frequency (RF) sputtering under different sputtering powers was studied. The microstructures and surface properties of the ZnO thin films were investigated by x-ray diffraction (XRD), scanning electron microscopy (SEM), UV-visible optical absorption spectroscopy and contact angle techniques. The results show that the ZnO thin films have better orientation of the (0 0 2) peak with increasing RF power, especially at around 160 W, and the optical band gap of the ZnO films varies from 3.2 to 3.4 eV. The contact angle test carried out by the sessile drop technique denoted a hydrophobic surface of the ZnO films, and the surface energy and adhesive work of the ZnO thin films decreased with increasing sputtering power. The amounts of human fibrinogen (HFG) and human serum albumin (HSA) adsorbing on the ZnO films and reference samples were determined by using enzyme-linked immunosorbent assay (ELISA). The results show that fewer plasma proteins and a smaller HFG/HSA ratio adsorb on the ZnO thin films' surface.

  18. MM-wave cyclotron auto-resonance maser for plasma heating

    Science.gov (United States)

    Ceccuzzi, S.; Dattoli, G.; Di Palma, E.; Doria, A.; Gallerano, G. P.; Giovenale, E.; Mirizzi, F.; Spassovsky, I.; Ravera, G. L.; Surrenti, V.; Tuccillo, A. A.

    2014-02-01

    Heating and Current Drive systems are of outstanding relevance in fusion plasmas, magnetically confined in tokamak devices, as they provide the tools to reach, sustain and control burning conditions. Heating systems based on the electron cyclotron resonance (ECRH) have been extensively exploited on past and present machines DEMO, and the future reactor will require high frequencies. Therefore, high power (≥1MW) RF sources with output frequency in the 200 - 300 GHz range would be necessary. A promising source is the so called Cyclotron Auto-Resonance Maser (CARM). Preliminary results of the conceptual design of a CARM device for plasma heating, carried out at ENEA-Frascati will be presented together with the planned R&D development.

  19. Role of Radio Frequency and Microwaves in Magnetic Fusion Plasma Research

    Directory of Open Access Journals (Sweden)

    Hyeon K. Park

    2017-10-01

    Full Text Available The role of electromagnetic (EM waves in magnetic fusion plasma—ranging from radio frequency (RF to microwaves—has been extremely important, and understanding of EM wave propagation and related technology in this field has significantly advanced magnetic fusion plasma research. Auxiliary heating and current drive systems, aided by various forms of high-power RF and microwave sources, have contributed to achieving the required steady-state operation of plasmas with high temperatures (i.e., up to approximately 10 keV; 1 eV = 10000 K that are suitable for future fusion reactors. Here, various resonance values and cut-off characteristics of wave propagation in plasmas with a nonuniform magnetic field are used to optimize the efficiency of heating and current drive systems. In diagnostic applications, passive emissions and active sources in this frequency range are used to measure plasma parameters and dynamics; in particular, measurements of electron cyclotron emissions (ECEs provide profile information regarding electron temperature. Recent developments in state-of-the-art 2D microwave imaging systems that measure fluctuations in electron temperature and density are largely based on ECE. The scattering process, phase delays, reflection/diffraction, and the polarization of actively launched EM waves provide us with the physics of magnetohydrodynamic instabilities and transport physics.

  20. Surface improvement and biocompatibility of TiAl{sub 24}Nb{sub 10} intermetallic alloy using rf plasma nitriding

    Energy Technology Data Exchange (ETDEWEB)

    Abd El-Rahman, A.M. [Physics Department, Faculty of Science, Sohag University (Egypt)], E-mail: ahmedphys96@hotmail.com; Maitz, M.F. [Institut fuer Ionenstrahlphysik und Materialforschung, Forschungszentrum Dresden Rossendorf (Germany); Kassem, M.A. [Department of Materials and Metals Engineering, Faculty of Petroleum and Mining Engineering, Suez Canal University (Egypt); El-Hossary, F.M. [Physics Department, Faculty of Science, Sohag University (Egypt); Prokert, F.; Reuther, H.; Pham, M.T.; Richter, E. [Institut fuer Ionenstrahlphysik und Materialforschung, Forschungszentrum Dresden Rossendorf (Germany)

    2007-09-30

    The present work describes the surface improvement and biocompatibility of TiAl{sub 24}Nb{sub 10} intermetallic alloy using rf plasma nitriding. The nitriding process was carried out at different plasma power from 400 W to 650 W where the other plasma conditions were fixed. Grazing incidence X-ray diffractometry (GIXRD), Auger electron spectroscopy (AES), tribometer and a nanohardness tester were employed to characterize the nitrided layer. Further potentiodynamic polarization method was used to describe the corrosion behavior of the un-nitrided and nitrided alloy. It has been found that the Vickers hardness (HV) and corrosion resistance values of the nitrided layers increase with increasing plasma power while the wear rates of the nitrided layers reduce by two orders of magnitude as compared to those of the un-nitrided layer. This improvement in surface properties of the intermetallic alloy is due to formation of a thin modified layer which is composed of titanium nitride in the alloy surface. Moreover, all modified layers were tested for their sustainability as a biocompatible material. Concerning the application area of biocompatibility, the present treated alloy show good surface properties especially for the nitrided alloy at low plasma power of 400 W.

  1. Verification of high voltage rf capacitive sheath models with particle-in-cell simulations

    Science.gov (United States)

    Wang, Ying; Lieberman, Michael; Verboncoeur, John

    2009-10-01

    Collisionless and collisional high voltage rf capacitive sheath models were developed in the late 1980's [1]. Given the external parameters of a single-frequency capacitively coupled discharge, plasma parameters including sheath width, electron and ion temperature, plasma density, power, and ion bombarding energy can be estimated. One-dimensional electrostatic PIC codes XPDP1 [2] and OOPD1 [3] are used to investigate plasma behaviors within rf sheaths and bulk plasma. Electron-neutral collisions only are considered for collisionless sheaths, while ion-neutral collisions are taken into account for collisional sheaths. The collisionless sheath model is verified very well by PIC simulations for the rf current-driven and voltage-driven cases. Results will be reported for collisional sheaths also. [1] M. A. Lieberman, IEEE Trans. Plasma Sci. 16 (1988) 638; 17 (1989) 338 [2] J. P. Verboncoeur, M. V. Alves, V. Vahedi, and C. K. Birdsall, J. Comp. Phys. 104 (1993) 321 [3] J. P. Verboncoeur, A. B. Langdon and N. T. Gladd, Comp. Phys. Comm. 87 (1995) 199

  2. Plasma features and alpha particle transport in low-aspect ratio tokamak reactor

    International Nuclear Information System (INIS)

    Xu Qiang; Wang Shaojie

    1997-06-01

    The results of the experiment and theory from low-aspect ratio tokamak devices have proved that the MHD stability will be improved. Based on present plasma physics and extrapolation to reduced aspect ratio, the feature of physics of low-aspect ratio tokamak reactor is discussed primarily. Alpha particle confinement and loss in the self-justified low-aspect ratio tokamak reactor parameters and the effect of alpha particle confinement and loss for different aspect ratio are calculated. The results provide a reference for the feasible research of compact tokamak reactor. (9 refs., 2 figs., 3 tabs.)

  3. Modelling of plasma-antenna coupling and non-linear radio frequency wave-plasma-wall interactions in the magnetized plasma device under ion cyclotron range of frequencies

    International Nuclear Information System (INIS)

    Lu, LingFeng

    2016-01-01

    Ion Cyclotron Resonant Heating (ICRH) by waves in 30-80 MHz range is currently used in magnetic fusion plasmas. Excited by phased arrays of current straps at the plasma periphery, these waves exist under two polarizations. The Fast Wave tunnels through the tenuous plasma edge and propagates to its center where it is absorbed. The parasitically emitted Slow Wave only exists close to the launchers. How much power can be coupled to the center with 1 A current on the straps? How do the emitted radiofrequency (RF) near and far fields interact parasitically with the edge plasma via RF sheath rectification at plasma-wall interfaces? To address these two issues simultaneously, in realistic geometry over the size of ICRH antennas, this thesis upgraded and tested the Self-consistent Sheaths and Waves for ICH (SSWICH) code. SSWICH couples self-consistently RF wave propagation and Direct Current (DC) plasma biasing via non-linear RF and DC sheath boundary conditions (SBCs) at plasma/wall interfaces. Its upgrade is full wave and was implemented in two dimensions (toroidal/radial). New SBCs coupling the two polarizations were derived and implemented along shaped walls tilted with respect to the confinement magnetic field. Using this new tool in the absence of SBCs, we studied the impact of a density decaying continuously inside the antenna box and across the Lower Hybrid (LH) resonance. Up to the memory limits of our workstation, the RF fields below the LH resonance changed with the grid size. However the coupled power spectrum hardly evolved and was only weakly affected by the density inside the box. In presence of SBCs, SSWICH-FW simulations have identified the role of the fast wave on RF sheath excitation and reproduced some key experimental observations. SSWICH-FW was finally adapted to conduct the first electromagnetic and RF-sheath 2D simulations of the cylindrical magnetized plasma device ALINE. (author) [fr

  4. Langmuir probe studies on a RF ion source for NBI

    International Nuclear Information System (INIS)

    McNeely, P.; Heineman, B.; Kraus, W.; Riedl, R.; Speth, E.; Vollmer, O.

    2001-01-01

    IPP Garching has been developing a RF ion source for H - production. In order to improve the data quality a new scanning probe system with passive RF compensation has been installed on the Type VI ion source on the BATMAN test stand. Using this probe, measurements have been carried out to study changes to the plasma parameters (electron density, electron temperature, and plasma potential) due to variation in the source operating conditions. The data were collected at a source pressure of 0.5 Pa and with 60±5 kW applied RF power. Presented are some of the results of these measurements, focusing on the effect of: argon seeding, addition of Cs to the source, and the newly added Faraday screen. The electron density behaves in a fashion that agrees with the theory of ambipolar diffusion. Typically there is little change to the average electron energy observed regardless of which effect is considered. The plasma potential shows the most significant changes with external source conditions, both in value for all cases and shape when the Faraday screen was added

  5. Hydrogen Generation by Koh-Ethanol Plasma Electrolysis Using Double Compartement Reactor

    Science.gov (United States)

    Saksono, Nelson; Sasiang, Johannes; Dewi Rosalina, Chandra; Budikania, Trisutanti

    2018-03-01

    This study has successfully investigated the generation of hydrogen using double compartment reactor with plasma electrolysis process. Double compartment reactor is designed to achieve high discharged voltage, high concentration, and also reduce the energy consumption. The experimental results showed the use of double compartment reactor increased the productivity ratio 90 times higher compared to Faraday electrolysis process. The highest hydrogen production obtained is 26.50 mmol/min while the energy consumption can reach up 1.71 kJ/mmol H2 at 0.01 M KOH solution. It was shown that KOH concentration, addition of ethanol, cathode depth, and temperature have important effects on hydrogen production, energy consumption, and process efficiency.

  6. Characterization of a capillary plasma reactor for carbon dioxide decomposition

    International Nuclear Information System (INIS)

    Mori, Shinsuke; Yamamoto, Aguru; Suzuki, Masaaki

    2006-01-01

    The decomposition of carbon dioxide in a plasma reactor was investigated experimentally, using capillary discharge tubes with a diameter of 0.5 or 3.0 mm and a length of 25, 50, 75, 100 or 150 mm. The chemical composition of the reaction products and the current-voltage characteristics were measured over a pressure range of 3.33-120 Torr, and the CO 2 conversion rates and reduced electric fields were calculated. The results show that the influence of downscaling on the reduced electric fields can be well evaluated by adjusting both the current density, i, and the products of the pressure and the tube diameter, pd. However, the characteristics of CO 2 decomposition cannot be determined based on i and pd; they are better characterized by i and p. It can be deduced from our experimental results that the CO 2 conversion rate is predominated by the electron impact CO 2 dissociation and gas phase reverse reactions even in a capillary plasma reactor

  7. The hybrid reactor project based on the straight field line mirror concept

    Science.gov (United States)

    Ågren, O.; Noack, K.; Moiseenko, V. E.; Hagnestâl, A.; Källne, J.; Anglart, H.

    2012-06-01

    The straight field line mirror (SFLM) concept is aiming towards a steady-state compact fusion neutron source. Besides the possibility for steady state operation for a year or more, the geometry is chosen to avoid high loads on materials and plasma facing components. A comparatively small fusion hybrid device with "semi-poor" plasma confinement (with a low fusion Q factor) may be developed for industrial transmutation and energy production from spent nuclear fuel. This opportunity arises from a large fission to fusion energy multiplication ratio, Qr = Pfis/Pfus>>1. The upper bound on Qr is primarily determined by geometry and reactor safety. For the SFLM, the upper bound is Qr≈150, corresponding to a neutron multiplicity of keff=0.97. Power production in a mirror hybrid is predicted for a substantially lower electron temperature than the requirement Te≈10 keV for a fusion reactor. Power production in the SFLM seems possible with Q≈0.15, which is 10 times lower than typically anticipated for hybrids (and 100 times smaller than required for a fusion reactor). This relaxes plasma confinement demands, and broadens the range for use of plasmas with supra-thermal ions in hybrid reactors. The SFLM concept is based on a mirror machine stabilized by qudrupolar magnetic fields and large expander tanks beyond the confinement region. The purpose of the expander tanks is to distribute axial plasma loss flow over a sufficiently large area so that the receiving plates can withstand the heat. Plasma stability is not relying on a plasma flow into the expander regions. With a suppressed plasma flow into the expander tanks, a possibility arise for higher electron temperature. A brief presentation will be given on basic theory for the SFLM with plasma stability and electron temperature issues, RF heating computations with sloshing ion formation, neutron transport computations with reactor safety margins and material load estimates, magnetic coil designs as well as a discussion on

  8. SOL RF physics modelling in Europe, in support of ICRF experiments

    Directory of Open Access Journals (Sweden)

    Colas Laurent

    2017-01-01

    Full Text Available A European project was undertaken to improve the available SOL ICRF physics simulation tools and confront them with measurements. This paper first reviews code upgrades within the project. Using the multi-physics finite element solver COMSOL, the SSWICH code couples RF full-wave propagation with DC plasma biasing over “antenna-scale” 2D (toroidal/radial domains, via non-linear RF and DC sheath boundary conditions (SBCs applied at shaped plasma-facing boundaries. For the different modules and associated SBCs, more elaborate basic research in RF-sheath physics, SOL turbulent transport and applied mathematics, generally over smaller spatial scales, guides code improvement. The available simulation tools were applied to interpret experimental observations on various tokamaks. We focus on robust qualitative results common to several devices: the spatial distribution of RF-induced DC bias; left-right asymmetries over strap power unbalance; parametric dependence and antenna electrical tuning; DC SOL biasing far from the antennas, and RF-induced density modifications. From these results we try to identify the relevant physical ingredients necessary to reproduce the measurements, e.g. accurate radiated field maps from 3D antenna codes, spatial proximity effects from wave evanescence in the near RF field, or DC current transport. Pending issues towards quantitative predictions are also outlined.

  9. Integrated, Reactor Relevant Solutions for Lower Hybrid Range of Frequencies Actuators

    Science.gov (United States)

    Shiraiwa, S.; Bonoli, P. T.; Lin, Y.; Wallace, G. M.; Wukitch, S. J.

    2017-10-01

    RF (radiofrequency) actuators with high system efficiency (wall-plug to plasma) and ability for continuous operation have long be recognized as essential tools for realizing a steady state tokamak. A number of physics and technological challenges to utilization remain including current drive efficiency and location, efficient coupling, and impurity contamination. In a reactor environment, plasma material interaction (PMI) issues associated with coupling structures are similar to the first wall and have been identified as a potential show-stopper. High field side (HFS) launch of LHRF power represents an integrated solution that both improves core wave physics and mitigates PMI/coupling issues. For HFS LHRF, wave penetration is vastly improves because wave accessibility scales as 1/B allowing for launching the wave at lower n|| (parallel refractive index). The lower n|| penetrate to higher electron temperature resulting in higher current drive efficiency (1/n||2). HFS RF launch also provides for a means to dramatically improve launcher robustness in a reactor environment. On the HFS, the SOL is quiescent; local density profile is steep and controlled through magnetic shape; fast particle, neutron, turbulent heat and particle fluxes are eliminated or minim Work supported by the U.S. DoE, Office of Science, Office of Fusion Energy Sciences, User Facility Alcator C-Mod under DE-FC02-99ER54512 and US DoE Contract No. DE-FC02-01ER54648 under a Scientific Discovery through Advanced Computing Initiative.

  10. Fundamental aspects of plasma chemical physics kinetics

    CERN Document Server

    Capitelli, Mario; Colonna, Gianpiero; Esposito, Fabrizio; Gorse, Claudine; Hassouni, Khaled; Laricchiuta, Annarita; Longo, Savino

    2016-01-01

    Describing non-equilibrium "cold" plasmas through a chemical physics approach, this book uses the state-to-state plasma kinetics, which considers each internal state as a new species with its own cross sections. Extended atomic and molecular master equations are coupled with Boltzmann and Monte Carlo methods to solve the electron energy distribution function. Selected examples in different applied fields, such as microelectronics, fusion, and aerospace, are presented and discussed including the self-consistent kinetics in RF parallel plate reactors, the optimization of negative ion sources and the expansion of high enthalpy flows through nozzles of different geometries. The book will cover the main aspects of the state-to-state kinetic approach for the description of nonequilibrium cold plasmas, illustrating the more recent achievements in the development of kinetic models including the self-consistent coupling of master equations and Boltzmann equation for electron dynamics. To give a complete portrayal, the...

  11. Surface plasma source with saddle antenna radio frequency plasma generator.

    Science.gov (United States)

    Dudnikov, V; Johnson, R P; Murray, S; Pennisi, T; Piller, C; Santana, M; Stockli, M; Welton, R

    2012-02-01

    A prototype RF H(-) surface plasma source (SPS) with saddle (SA) RF antenna is developed which will provide better power efficiency for high pulsed and average current, higher brightness with longer lifetime and higher reliability. Several versions of new plasma generators with small AlN discharge chambers and different antennas and magnetic field configurations were tested in the plasma source test stand. A prototype SA SPS was installed in the Spallation Neutron Source (SNS) ion source test stand with a larger, normal-sized SNS AlN chamber that achieved unanalyzed peak currents of up to 67 mA with an apparent efficiency up to 1.6 mA∕kW. Control experiments with H(-) beam produced by SNS SPS with internal and external antennas were conducted. A new version of the RF triggering plasma gun has been designed. A saddle antenna SPS with water cooling is fabricated for high duty factor testing.

  12. Steady-state plasma and reactor parameters for elliptical cross section tokamaks with very large power ratings

    International Nuclear Information System (INIS)

    Usher, J.L.; Powell, J.R.

    1975-06-01

    In previous studies only circular cross section reactor plasmas were considered. The purpose of this research is to examine the effects of elliptical plasma cross sections. Several technological benefits have been determined. Maximum magnetic field strength requirements are 30 to 65 percent less than for 5000 MW (th) reactors and may be as much as 40 percent less than for circular cross section reactors of comparable size. Very large n tau values are found (10 15 to 10 17 sec/cm 3 ), which produce large burn-up fractions (15 to 60 percent). There is relatively little problem with impurity build-up. Long confinement times (60 to 500 seconds) are found. Finally, the elliptical cross section reactors exhibit a major toroidal radius reduction of as large as 30 percent over circular reactors operating at comparable power levels

  13. First plasma experiments in Tore Supra with a new generation of high heat flux limiters for RF antennas

    International Nuclear Information System (INIS)

    Agarici, G.; Beaumont, B.; Bibet, Ph.; Bremond, S.; Bucalossi, J.; Colas, L.; Durocher, A.; Gargiulo, L.; Ladurelle, L.; Lombard, G.; Martin, G.; Mollard, P.

    2000-01-01

    During the 1997 and 1998 Tore Supra shutdown, a first set of new antenna guard limiters was installed on one of the three ion cyclotron resonance heating (ICRH) antennas of Tore Supra. This limiter, which was one of the main technological studies of the 1998 campaign, was widely experimented in real plasma conditions, thus allowing the validation in situ, for the first time, of the technology of active metal casting (AMC) for plasma facing components. The huge improvement in the thermal response of the new limiter generation, compared to the old one, is shown on plasma pulses made identical in terms of antenna position and injected RF power profile. By using the infrared cameras installed inside Tore Supra and viewing the antennas front, the power density fluxes received by the carbon fibre composite (CFC) surface of the limiter were evaluated by correlation with the heat load tests made on the electrons beam facility of CEA/Framatome

  14. Application of plasma shield technology to the reduction, treatment, and disposal of hazardous organic and/or mixed wastes with actinide recovery

    International Nuclear Information System (INIS)

    Adams, B.T.; Vaughan, L.L.; Joyce, E.L. Jr.; Bieniewski, T.M.

    1990-01-01

    Los Alamos research activities are currently directed at the application of the shielded hydrogen plasma torch to the direct production of actinide metals from a UF 6 feedstock. Two broad classes of thermal plasma reactors are currently in widespread use: the direct current (dc) arc jet system and the radio frequency (rf) inductively coupled system. Los Alamos has improved upon the basic rf plasma tube design using the concept of a transformer. The unique feature of the Los Alamos tube is a segmented, cooled, internal radiation shield. The Los Alamos shielded plasma torch routinely achieves temperatures exceeding 10,000 K and electron densities of 10 16 /cm 3 when operated continuously at one atmosphere of argon. These highly energetic conditions are sufficient to dissociate most chemical compounds into their constituent atoms. Based upon these characteristics, Los Alamos is currently investigating the application of the shielded plasma torch technology to the destruction of organic and mixed hazardous wastes, as well as the direct production of actinide metals from the halides and oxides, without the cogeneration of contaminated wastes. 5 refs., 4 figs

  15. Etching properties of BLT films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    CF 4 /Ar plasma mass content and etching rate behavior of BLT thin films were investigated in inductively coupled plasma (ICP) reactor as functions of CF 4 /Ar gas mixing ratio, rf power, and dc bias voltage. The variation of relative volume densities for F and Ar atoms were measured by the optical emission spectroscopy (OES). The etching rate as functions of Ar content showed the maximum of 803 A/min at 80 % Ar addition into CF 4 plasma. The presence of maximum etch rate may be explained by the concurrence of two etching mechanisms such as physical sputtering and chemical reaction. The role of Ar ion bombardment includes destruction of metal (Bi, La, Ti)-O bonds as well as support of chemical reaction of metals with fluorine atoms

  16. Radio-frequency plasma nitriding and nitrogen plasma immersion ion implantation of Ti-6Al-4V alloy

    International Nuclear Information System (INIS)

    Wang, S.Y.; Chu, P.K.; Tang, B.Y.; Zeng, X.C.; Wang, X.F.; Chen, Y.B.

    1997-01-01

    Nitrogen ion implantation improves the wear resistance of Ti-6Al-4V alloys by forming a hard TiN superficial passivation layer. However, the thickness of the layer formed by traditional ion implantation is typically 100-200 nm and may not be adequate for many industrial applications. We propose to use radio-frequency (RF) plasma nitriding and nitrogen plasma immersion ion implantation (PIII) to increase the layer thickness. By using a newly designed inductively coupled RF plasma source and applying a series of negative high voltage pulses to the Ti-6Al-4V samples. RF plasma nitriding and nitrogen PIII can be achieved. Our process yields a substantially thicker modified layer exhibiting more superior wear resistance characteristics, as demonstrated by data from micro-hardness testing, pin-on-disc wear testing, scanning electron microscopy (SEM), as well as Auger electron spectroscopy (AES). The performance of our newly developed inductively coupled RF plasma source which is responsible for the success of the experiments is also described. (orig.)

  17. Flow reactor studies of non-equilibrium plasma-assisted oxidation of n-alkanes.

    Science.gov (United States)

    Tsolas, Nicholas; Lee, Jong Guen; Yetter, Richard A

    2015-08-13

    The oxidation of n-alkanes (C1-C7) has been studied with and without the effects of a nanosecond, non-equilibrium plasma discharge at 1 atm pressure from 420 to 1250 K. Experiments have been performed under nearly isothermal conditions in a flow reactor, where reactive mixtures are diluted in Ar to minimize temperature changes from chemical reactions. Sample extraction performed at the exit of the reactor captures product and intermediate species and stores them in a multi-position valve for subsequent identification and quantification using gas chromatography. By fixing the flow rate in the reactor and varying the temperature, reactivity maps for the oxidation of fuels are achieved. Considering all the fuels studied, fuel consumption under the effects of the plasma is shown to have been enhanced significantly, particularly for the low-temperature regime (T<800 K). In fact, multiple transitions in the rates of fuel consumption are observed depending on fuel with the emergence of a negative-temperature-coefficient regime. For all fuels, the temperature for the transition into the high-temperature chemistry is lowered as a consequence of the plasma being able to increase the rate of fuel consumption. Using a phenomenological interpretation of the intermediate species formed, it can be shown that the active particles produced from the plasma enhance alkyl radical formation at all temperatures and enable low-temperature chain branching for fuels C3 and greater. The significance of this result demonstrates that the plasma provides an opportunity for low-temperature chain branching to occur at reduced pressures, which is typically observed at elevated pressures in thermal induced systems. © 2015 The Author(s) Published by the Royal Society. All rights reserved.

  18. Treatment of EDTA contained reactor coolant using water dielectric barrier discharge plasma

    International Nuclear Information System (INIS)

    Song, Sang Heon; Kwon, Daniel; Kim, Gon Ho

    2005-01-01

    EDTA (Ethylene Diamine Tetraacetic Acid) is used as a main absorbent for the metal ion in the secondary loop of the nuclear reactor. Dissolving the wasted EDTA with low cost, therefore, is important issue for the maintenance of the nuclear power reactor and the protection of environment. EDTA is not easily biodegradable, furthermore these methods could make remained another pollutant as complex chemical compounds. Compared to chemical method, the physical methods, using the energetic particles and UVs, are more favorable because they dissociate the bonds of organic compounds directly without the secondary chemical reactions during the treatment. Recently, high energy electron beam, the plasma torch, and the water breakdown by high voltage pulse are applied to treatment of the waste water contained chemicals. Here consideration is narrow down to improve the interaction between the plasma and the chemical bonds of EDTA because the energetic particles; activated radicals, and UVs, are abundant in plasmas. The new method adapted of the water DBD (dielectric barrier discharge) which plasma generates directly on the top of the water contained EDTA is proposed. The application of DBD plasmas has been extended for cleaning the organic compounds from the contaminated surface and also for removing volatile organic chemicals (VOC) such as NO x and SO x from the exhausted gases. Here, the water DBD reactor (SEMTECH, SD-DWG-04-1) is consisted that the one electrode is a ceramic insulator and another one is the water itself. Interestingly, the one electrode, the water, is not the solid dielectric electrode. In this study, therefore, the characteristics with driving frequency are considered and the feasibility of this new method for the DBD treatment of EDTA contained water is demonstrated

  19. Measurements of RF-induced sol modifications in Tore Supra tokamak

    International Nuclear Information System (INIS)

    Kubic, Martin; Gunn, James P.; Colas, Laurent; Heuraux, Stephane; Faudot, Eric

    2012-01-01

    Since spring 2011, one of the three ion cyclotron resonance heating (ICRH) antennas in the Tore Supra (TS) tokamak is equipped with a new type of Faraday screen (FS). Results from Radio Frequency (RF) simulations of the new Faraday screen suggest the innovative structure with cantilevered bars and 'shark tooth' openings significantly changes the current flow pattern on the front of the antenna which in turn reduces the RF potential and RF electrical field in particular parallel to the magnetic field lines which contributes to generating RF sheaths. Effects of the new FS operation on RF-induced scrape-off layer (SOL) modifications are studied for different plasma and antenna configurations - scans of strap power ratio imbalance, phasing, injected power and SOL density. (authors)

  20. Conceptual design of fusion experimental reactor (FER)

    International Nuclear Information System (INIS)

    1985-01-01

    The Fusion Experimental Reactor (FER) being developed at JAERI as a next generation tokamak to JT-60 has a major mission of realizing a self-ignited long-burning DT plasma and demonstrating engineering feasibility. During FY82 and FY83 a comprehensive and intensive conceptual design study has been conducted for a pulsed operation FER as a reference option which employs a conventional inductive current drive and a double-null divertor. In parallel with the reference design, studies have been carried out to evaluate advanced reactor concepts such as quasi-steady state operation and steady state operation based on RF current drive and pumped limiter, and comparative studies for single-null divertor/pumped limiter. This report presents major results obtained primarily from FY83 design studies, while the results of FY82 design studies are described in previous references (JAERI-M 83-213--216). (author)

  1. Investigations on the pyrolysis of hydrocarbons in the inductive coupled RF-plasma and the deposited pyrocarbon

    International Nuclear Information System (INIS)

    Eisgruber, H.; Mazurkiewicz, M.; Nickel, H.

    1979-08-01

    The pyrocarbon coatings of the nuclear fuel particles for the High-Temperature Reactor (HTR) are produced by pyrolysis of hydrocarbons under high temperatures. The investigations of the inductive coupled argon or argon/hydrocarbon-plasma performed in the frame of this work deliver a contribution for the clarification of pyrolysis processes and the production of pyrolytic carbons in the plasma of an electric discharge. The argon-plasma, as high-temperature source, is diagnosed theoretically and emission-spectroscopically. To the pure argon-plasma the various hydrocarbons are added. Due to the thermal decomposition the carbon is separated in solid form. The structure of the deposited pyrocarbon is composed of different components. The depositions are characterised with the principles in use at the IRW and are assigned to the fluidized bed pyrocarbons as fas as possible. (orig.) [de

  2. Conception of divertorless tokamak reactor with turbulent plasma blanket

    International Nuclear Information System (INIS)

    Nedospasov, A.V.; Tokar, M.Z.

    1980-01-01

    The results of the calculations presented here demonstrate that, with technically reasonable degree of the magnetic field stochastisation, the turbulent plasma blanket can take the place of a divertor. It performs the three main functions of the divertor: (a) the exhaust of the helium and unburned fuel; (b) weakening of the fast particle flux to the wall surface; and (c) essential reduction of the impurity content in the active zone of the reactor. Taking into account that plasma flows to the first wall along field lines, we may figuratively say that the first wall plays the role of a divertor in our conception. (orig.)

  3. System integration of RF based negative ion experimental facility at IPR

    Science.gov (United States)

    Bansal, G.; Bandyopadhyay, M.; Singh, M. J.; Gahlaut, A.; Soni, J.; Pandya, K.; Parmar, K. G.; Sonara, J.; Chakraborty, A.

    2010-02-01

    The setting up of RF based negative ion experimental facility shall witness the beginning of experiments on the negative ion source fusion applications in India. A 1 MHz RF generator shall launch 100 kW RF power into a single driver on the plasma source to produce a plasma of density ~5 × 1012 cm-3. The source can deliver a negative ion beam of ~10 A with a current density of ~30 mA/cm2 and accelerated to 35 kV through an electrostatic ion accelerator. The experimental system is similar to a RF based negative ion source, BATMAN, presently operating at IPP. The subsystems for source operation are designed and procured principally from indigenous resources, keeping the IPP configuration as a base line. The operation of negative ion source is supported by many subsystems e.g. vacuum pumping system with gate valves, cooling water system, gas feed system, cesium delivery system, RF generator, high voltage power supplies, data acquisition and control system, and different diagnostics. The first experiments of negative ion source are expected to start at IPR from the middle of 2009.

  4. System integration of RF based negative ion experimental facility at IPR

    International Nuclear Information System (INIS)

    Bansal, G; Bandyopadhyay, M; Singh, M J; Gahlaut, A; Soni, J; Pandya, K; Parmar, K G; Sonara, J; Chakraborty, A

    2010-01-01

    The setting up of RF based negative ion experimental facility shall witness the beginning of experiments on the negative ion source fusion applications in India. A 1 MHz RF generator shall launch 100 kW RF power into a single driver on the plasma source to produce a plasma of density ∼5 x 10 12 cm -3 . The source can deliver a negative ion beam of ∼10 A with a current density of ∼30 mA/cm 2 and accelerated to 35 kV through an electrostatic ion accelerator. The experimental system is similar to a RF based negative ion source, BATMAN, presently operating at IPP. The subsystems for source operation are designed and procured principally from indigenous resources, keeping the IPP configuration as a base line. The operation of negative ion source is supported by many subsystems e.g. vacuum pumping system with gate valves, cooling water system, gas feed system, cesium delivery system, RF generator, high voltage power supplies, data acquisition and control system, and different diagnostics. The first experiments of negative ion source are expected to start at IPR from the middle of 2009.

  5. Review of laser-induced fluorescence methods for measuring rf- and microwave electric fields in discharges

    International Nuclear Information System (INIS)

    Gavrilenko, V.; Oks, E.

    1994-01-01

    Development of methods for measuring rf- or μ-wave electric fields E(t) = E 0 cosωt in discharge plasmas is of a great practical importance. First, these are fields used for producing rf- or μ-wave discharges. Second, the fields E(t) may represent electromagnetic waves penetrating into a plasma from the outside. This paper reviews methods for diagnostics of the fields E(t) in low temperature plasmas based on Laser-Induced Fluorescence (LIF). Compared to emission (passive) methods, LIF-methods have a higher sensitivity as well as higher spatial and temporal resolutions. Underlying physical effects may be highlighted by an example of LIF of hydrogen atoms in a plasma. After a presentation of the underlying physical principles, the review focuses on key experiments where these principles were implemented for measurements of rf- and μ-wave electric fields in various discharges

  6. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  7. Time effectiveness of capillary effect improvement of ramie fabrics processed by RF glow discharging

    International Nuclear Information System (INIS)

    Wang Zhiwen; Wei Weixing; He Yanhe; Zhao Yuanqing; Pan Liyiji; Li Xuemei; Shi Shaodui; Li Guangxin

    2010-01-01

    The time effectiveness of capillary effect improvement of ramie fabrics processed by RF glow discharging was studied. The ramie fabrics were processed in fulfilling with different gas (O 2 , N 2 , Ar) by different parameters (such as pressure,power and time) plasma. The capillary effect of the ramie fabrics processed by RF glow discharging was tested at different time. The results indicate that the capillary effect of ramie fabrics processed by RF glow discharging has been improved, the improvement of the capillary effect firstly decrease rapidly, then slowly, and become stable after 15 day, it indicate that improvement of the ramie fabrics capillary has good time effectiveness, and the plasma parameter for the best capillary effect improvement of ramie fabric is 100 W and 40 Pa processed 20 min by oxygen plasma. (authors)

  8. Synthesis of alkyl phenols by means of radiofrequency plasmas

    International Nuclear Information System (INIS)

    Ropero, M.; Armas, F.; Iacocca, D.; Patino, P.

    1992-01-01

    New and promising possibilities in chemical synthesis have been opened through the interactions of oxygen plasmas with liquid alkyl benzene compounds. The alkyl phenols are the main products of the reaction mixtures (> 80%) oxygen, excited by radio-frequency (R.F.) is allowed to reach the surface of the liquid organic compound. The R.F. power supply is a Branson/IPC-PM 118. The substrate we have chosen are: methyl, ethyl, propyl, n-butyl, t-butyl, dimethyl and trimethyl benzenes. Under the same O 2 pressure and a power of 60 W, m-xylene and mesethylene behaved similarly. For all these substrates, values for the temperature of the liquid surface seem to indicate that oxidation tends to an optimum when P O 2 /vapor pressure (substrate) is higher than 20. In our experiments oxygen pressure in the reactor was about 0.2 Torr. Oxidation is basically attributed to O 3 P and the addition to alkyl benzenes selectively takes place on the aromatic rings, at low reactor pressure. The oxygen atom impinges on the liquid surface and epoxy intermediates could be formed. These intermediates then progress to the corresponding phenols. (author)

  9. Scaling laws for particle growth in plasma reactors

    International Nuclear Information System (INIS)

    Lemons, D.S.; Keinigs, R.K.; Winske, D.; Jones, M.E.

    1996-01-01

    We quantify a model which incorporates observed features of contaminant particle growth in plasma processing reactors. According to the model, large open-quote open-quote predator close-quote close-quote particles grow by adsorbing smaller, typically neutral, open-quote open-quote prey close-quote close-quote protoparticles. The latter are supplied by an assumed constant mass injection of contaminant material. Scaling laws and quantitative predictions compare favorably with published experimental results. copyright 1996 American Institute of Physics

  10. Relevance, Realization and stability of a cold layer at the plasma edge for fusion reactors

    International Nuclear Information System (INIS)

    1990-09-01

    The workshop was dedicated to the realization and stability of a cold layer at the plasma edge for fusion reactors. The subjects of the communications presented were: impurity transport, and control, plasma boundary layers, power balance, radiation control and modifications, limiter discharges, tokamak density limit, Asdex divertor discharges, thermal stability of a radiating diverted plasma, plasma stability, auxiliary heating in Textor, detached plasma in Tore Supra, poloidal divertor tokamak, radiation cooling, neutral-particle transport, plasma scrape-off layer, edge turbulence

  11. Sheath formation and extraction of ions from a constricted R.F ion source

    Energy Technology Data Exchange (ETDEWEB)

    Abdel-Salam, F W; Helal, A G; El-Khabeary, H; El-Merai, N T [Accelerators Dept., Nuclear Research Center, Atomic Energy Authority, Cairo, (Egypt)

    1997-12-31

    The present work investigates the plasma characteristics in a constricted R. F. ion source. The extraction of ions from the plasma boundary and sheath formation were studied. The ion source physical parameters are discussed in order to understand the physical processes occurring within the discharge region up to the extraction system. Electron temperature and density were determined using Langmuir probe. The probe current-voltage characteristics were measured for different extraction voltages (ext.) = 0,500,1000, and 1250 volt at various constant R.F. powers. The effect of R.F. power on electron temperature was deduced for a beam = plasma discharge. This revealed that for a quasi-neutral (plasma) region the electron temperature increased linearly with the R.F. Power which leads to substantial electron heating and efficient electron energy transport in this region. Applying extraction voltage, the electron temperature drops as the ionization rate increases. The sheath thickness was obtained at constant extraction voltages. The curves show that if the ion current density increased, the sheath thickness decreased while it increases by increasing extraction voltage, and it is negligible in the plasma region. 13 figs.

  12. Inductive current startup in large tokamaks with expanding minor radius and RF assist

    International Nuclear Information System (INIS)

    Borowski, S.K.

    1983-01-01

    Auxiliary RF heating of electrons before and during the current rise phase of a large tokamak, such as the Fusion Engineering Device, is examined as a means of reducing both the initiation loop voltage and resistive flux expenditure during startup. Prior to current initiation, 1 to 2 MW of electron cyclotron resonance heating power at approx.90 GHz is used to create a small volume of high conductivity plasma (T/sub e/ approx. = 100 eV, n/sub e/ approx. = 10 19 m -3 ) near the upper hybrid resonance (UHR) region. This plasma conditioning permits a small radius (a 0 approx.< 0.4 m) current channel to be established with a relatively low initial loop voltage (approx.< 25 V as opposed to approx.100 V without RF assist). During the subsequent plasma expansion and current ramp phase, additional RF power is introduced to reduce volt-second consumption due to plasma resistance. To study the preheating phase, a near classical particle and energy transport model is developed to estimate the electron heating efficiency in a currentless toroidal plasma. The model assumes that preferential electron heating at the UHR leads to the formation of an ambipolar sheath potential between the neutral plasma and the conducting vacuum vessel and limiter

  13. Surface wettability and energy effects on the biological performance of poly-3-hydroxybutyrate films treated with RF plasma

    Energy Technology Data Exchange (ETDEWEB)

    Syromotina, D.S. [Department of Experimental Physics, National Research Tomsk Polytechnic University, 634050 Tomsk (Russian Federation); Surmenev, R.A., E-mail: rsurmenev@gmail.com [Department of Experimental Physics, National Research Tomsk Polytechnic University, 634050 Tomsk (Russian Federation); Fraunhofer Institute for Interfacial Engineering and Biotechnology IGB, 70569 Stuttgart (Germany); Surmeneva, M.A. [Department of Experimental Physics, National Research Tomsk Polytechnic University, 634050 Tomsk (Russian Federation); Boyandin, A.N.; Nikolaeva, E.D. [Institute of Biophysics of Siberian Branch of Russian Academy of Sciences, 50/50 Akademgorodok, Krasnoyarsk 660036 (Russian Federation); School of Fundamental Biology and Biotechnology, Siberian Federal University, 79 Svobodny pr., 660041 Krasnoyarsk (Russian Federation); Prymak, O.; Epple, M. [Inorganic Chemistry and Center for Nanointegration Duisburg-Essen (CeNIDE), University of Duisburg-Essen, 45117 Essen (Germany); Ulbricht, M. [Technical Chemistry II and Center for Nanointegration Duisburg-Essen (CeNIDE), University of Duisburg-Essen, 45141 Essen (Germany); Oehr, C. [Fraunhofer Institute for Interfacial Engineering and Biotechnology IGB, 70569 Stuttgart (Germany); Volova, T.G. [Institute of Biophysics of Siberian Branch of Russian Academy of Sciences, 50/50 Akademgorodok, Krasnoyarsk 660036 (Russian Federation); School of Fundamental Biology and Biotechnology, Siberian Federal University, 79 Svobodny pr., 660041 Krasnoyarsk (Russian Federation)

    2016-05-01

    The surface properties of poly-3-hydroxybutyrate (P3HB) membranes were modified using oxygen and an ammonia radio-frequency (RF, 13.56 MHz) plasma. The plasma treatment procedures used in the study only affected the surface properties, including surface topography, without inducing any significant changes in the crystalline structure of the polymer, with the exception being a power level of 250 W. The wettability of the modified P3HB surfaces was significantly increased after the plasma treatment, irrespective of the treatment procedure used. It was revealed that both surface chemistry and surface roughness changes caused by the plasma treatment affected surface wettability. A treatment-induced surface aging effect was observed and resulted in an increase in the water contact angle and a decrease in the surface free energy. However, the difference in the water contact angle between the polymers that had been treated for 4 weeks and the untreated polymer surfaces was still significant. A dependence between cell adhesion and proliferation and the polar component of the surface energy was revealed. The increase in the polar component after the ammonia plasma modification significantly increased cell adhesion and proliferation on biodegradable polymer surfaces compared to the untreated P3HB and the P3HB modified using an oxygen plasma. - Highlights: • Plasma treatment affected the topography of poly(3-hydroxybutyrate) (P3HB). • Plasma treatment resulted in improvement of the surface wettability. • No alteration of the bulk properties of the polymers was observed. • The ammonia plasma treatment at 150 W improved the cell adhesion and proliferation.

  14. Material Challenges For Plasma Facing Components in Future Fusion Reactors

    International Nuclear Information System (INIS)

    Linke, J; Pintsuk, G.; Rödig, M.

    2013-01-01

    Increasing attention is directed towards thermonuclear fusion as a possible future energy source. Major advantages of this energy conversion technology are the almost inexhaustible resources and the option to produce energy without CO2-emissions. However, in the most advanced field of magnetic plasma confinement a number of technological challenges have to be met. In particular high-temperature resistant and plasma compatible materials have to be developed and qualified which are able to withstand the extreme environments in a commercial thermonuclear power reactor. The plasma facing materials (PFMs) and components (PFCs) in such fusion devices, i.e. the first wall (FW), the limiters and the divertor, are strongly affected by the plasma wall interaction processes and the applied intense thermal loads during plasma operation. On the one hand, these mechanisms have a strong influence on the plasma performance; on the other hand, they have major impact on the lifetime of the plasma facing armour. In present-day and next step devices the resulting thermal steady state heat loads to the first wall remain below 1 MWm-2; the limiters and the divertor are expected to be exposed to power densities being at least one order of magnitude above the FW-level, i.e. up to 20 MWm-2 for next step tokamaks such as ITER or DEMO. These requirements are responsible for high demands on the selection of qualified PFMs and heat sink materials as well as reliable fabrication processes for actively cooled plasma facing components. The technical solutions which are considered today are mainly based on the PFMs beryllium, carbon or tungsten joined to copper alloys or stainless steel heat sinks. In addition to the above mentioned quasi-stationary heat loads, short transient thermal pulses with deposited energy densities up to several tens of MJm-2 are a serious concern for next step tokamak devices. The most frequent events are so-called Edge Localized Modes (type I ELMs) and plasma disruptions

  15. Improved planar radio frequency inductively coupled plasma configuration in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, D.L.; Fu, R.K.Y.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasmas with higher density and better uniformity are produced using an improved planar radio frequency (rf) inductively coupled plasma configuration in plasma immersion ion implantation (PIII). An axial magnetic field is produced by external electromagnetic coils outside the discharge chamber. The rf power can be effectively absorbed by the plasma in the vicinity of the electron gyrofrequency due to the enhanced resonant absorption of electromagnetic waves in the whistler wave range, which can propagate nearly along the magnetic field lines thus greatly increases the plasma density. The plasma is confined by a longitudinal multipolar cusp magnetic field made of permanent magnets outside the process chamber. It can improve the plasma uniformity without significantly affecting the ion density. The plasma density can be increased from 3x10 9 to 1x10 10 cm -3 employing an axial magnetic field of several Gauss at 1000 W rf power and 5x10 -4 Torr gas pressure. The nonuniformity of the plasma density is less than 10% and can be achieved in a process chamber with a diameter of 600 mm. Since the plasma generation and process chambers are separate, plasma extinction due to the plasma sheath touching the chamber wall in high-energy PIII can be avoided. Hence, low-pressure, high-energy, and high-uniformity ion implantation can be accomplished using this setup

  16. Equiintensities of RF plasma discharges

    International Nuclear Information System (INIS)

    Vaculik, R.; Brablec, A.; Kapicka, V.; St'astny, F.

    1998-01-01

    The presented diagnostic tool can provide information of temperature distribution in the plasma. The main advantage of the method is relatively simple, low cost and quick procedure. On the other hand the individual isoline corresponds to some mean values for the wide bandwidth of interference filter. However, in real plasma sources is usually difficult to find isolated intensive line which is characterized by excitation temperature. Nevertheless, we believe that application is useful and fulfills other diagnostics methods. The visualisation of temperature field can help to understand conditions in plasmas and processes occurring there. However, the fast procedure (in real time) will be useful only. It has to be done during each experiment and carefully coupled with other diagnostic methods. It means that the device must be the low cost one. (author)

  17. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  18. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  19. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  20. Design of RF Systems for the RTD Mission VASIMR

    International Nuclear Information System (INIS)

    Baity, F.W.; Barber, G.C.; Carter, M.D.; Chang-Diaz, F.R.; Goulding, R.H.; McCaskill, G.E.; Sparks, D.O.; Squire, J.P.

    1999-01-01

    The first flight test of the variable specific impulse magnetoplasma rocket (VASIMR) is tentatively scheduled for the Radiation and Technology Demonstration (RTD) in 2003. This mission to map the radiation environment out to several earth radii will employ both a Hall thruster and a VASIMR during its six months duration, beginning from low earth orbit. The mission will be powered by a solar array providing 12 kW of direct current electricity at 50 V. The VASIMR utilizes radiofrequency (RF) power both to generate a high-density plasma in a helicon source and to accelerate the plasma ions to high velocity by ion cyclotron resonance heating (ICRH). The VASIMR concept is being developed by the National Aeronautics and Space Administration (NASA) in collaboration with national laboratories and universities. Prototype plasma sources, RF amplifiers, and antennas are being developed in the experimental facilities of the Advanced Space Propulsion Laboratory (ASPL)

  1. Control system for RF-driven negative ion source experimental setup at HUST

    Energy Technology Data Exchange (ETDEWEB)

    Li, Dong; Wang, Xiaomin, E-mail: xm_wang@hust.edu.cn; Zhao, Peng; Liu, Kaifeng; Zhang, Lige; Yue, Haikun; Chen, Dezhi; Zuo, Chen

    2017-03-15

    Highlights: • The CompactRIO system is reliable and could achieve high-speed data collection. • The queue and event software structure allows the control code to be flexible. • TCP/IP performs better than shared variable method for mass data transmission. • The method for lowering the peak RF reflected power has been discussed and given. - Abstract: An experimental setup of RF-driven negative ion source has been built at the Huazhong University of Science and Technology (HUST). The control system for this setup is responsible for RF loading, gas feeding, filament heating, filament DC bias, data collection and Langmuir probe triggering during plasma production. To research influences on the plasma ignition of gas puff and RF power loading, the control system should be of flexible operating sequence, high-speed data collection and reliable data transmission. The general control unit (GCU) adopts a CompactRIO system, which performs high-speed data collection for gas pressure and RF power. The host control program adopts a queue and event structure for flexible operation, and TCP/IP method is applied for mass data transmission. The development of the host control program is described in detail. The test results of the shared variable and TCP/IP methods are presented, as well as data showing the advantages of the TCP/IP method. The experiment results with two different sequences of plasma production are given and discussed here.

  2. Gyrokinetic Calculations of Microinstabilities and Transport During RF H-Modes on Alcator C-Mod

    International Nuclear Information System (INIS)

    Redi, M.H.; Fiore, C.; Bonoli, P.; Bourdelle, C.; Budny, R.; Dorland, W.D.; Ernst, D.; Hammett, G.; Mikkelsen, D.; Rice, J.; Wukitch, S.

    2002-01-01

    Physics understanding for the experimental improvement of particle and energy confinement is being advanced through massively parallel calculations of microturbulence for simulated plasma conditions. The ultimate goal, an experimentally validated, global, non-local, fully nonlinear calculation of plasma microturbulence is still not within reach, but extraordinary progress has been achieved in understanding microturbulence, driving forces and the plasma response in recent years. In this paper we discuss gyrokinetic simulations of plasma turbulence being carried out to examine a reproducible, H-mode, RF heated experiment on the Alcator CMOD tokamak3, which exhibits an internal transport barrier (ITB). This off axis RF case represents the early phase of a very interesting dual frequency RF experiment, which shows density control with central RF heating later in the discharge. The ITB exhibits steep, spontaneous density peaking: a reduction in particle transport occurring without a central particle source. Since the central temperature is maintained while the central density is increasing, this also suggests a thermal transport barrier exists. TRANSP analysis shows that ceff drops inside the ITB. Sawtooth heat pulse analysis also shows a localized thermal transport barrier. For this ICRF EDA H-mode, the minority resonance is at r/a * 0.5 on the high field side. There is a normal shear profile, with q monotonic

  3. Laser diagnostics of atomic hydrogen and oxygen production in rf and microwave plasma discharges

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1993-01-01

    The research for this thesis involved the application of two-photon allowed laser-induced fluorescence (TALIF) to the study of atomic hydrogen and oxygen production in industrial scale radio-frequency and microwave plasma discharge apparatus. Absolute atomic hydrogen concentration profiles were measured in a Gaseous Electronics Conference Reference Cell installed at Wright-Patterson AFB, Ohio operating with a simple H 2 discharge. Two-dimensional atomic hydrogen concentration profiles were also measured in an ASTEX HPMM microwave plasma diamond deposition reactor during actual diamond growth. In addition absolute atomic oxygen concentrations were measured in the ASTEX system. Particular attention as paid to refining the concentration calibration technique and in determining a correction to account for the collisional quenching of excited state fluorescence in high pressure gases

  4. RF Wave Simulation Using the MFEM Open Source FEM Package

    Science.gov (United States)

    Stillerman, J.; Shiraiwa, S.; Bonoli, P. T.; Wright, J. C.; Green, D. L.; Kolev, T.

    2016-10-01

    A new plasma wave simulation environment based on the finite element method is presented. MFEM, a scalable open-source FEM library, is used as the basis for this capability. MFEM allows for assembling an FEM matrix of arbitrarily high order in a parallel computing environment. A 3D frequency domain RF physics layer was implemented using a python wrapper for MFEM and a cold collisional plasma model was ported. This physics layer allows for defining the plasma RF wave simulation model without user knowledge of the FEM weak-form formulation. A graphical user interface is built on πScope, a python-based scientific workbench, such that a user can build a model definition file interactively. Benchmark cases have been ported to this new environment, with results being consistent with those obtained using COMSOL multiphysics, GENRAY, and TORIC/TORLH spectral solvers. This work is a first step in bringing to bear the sophisticated computational tool suite that MFEM provides (e.g., adaptive mesh refinement, solver suite, element types) to the linear plasma-wave interaction problem, and within more complicated integrated workflows, such as coupling with core spectral solver, or incorporating additional physics such as an RF sheath potential model or kinetic effects. USDoE Awards DE-FC02-99ER54512, DE-FC02-01ER54648.

  5. RF Rectification on LAPD and NSTX: the relationship between rectified currents and potentials

    Science.gov (United States)

    Perkins, R. J.; Carter, T.; Caughman, J. B.; van Compernolle, B.; Gekelman, W.; Hosea, J. C.; Jaworski, M. A.; Kramer, G. J.; Lau, C.; Martin, E. H.; Pribyl, P.; Tripathi, S. K. P.; Vincena, S.

    2017-10-01

    RF rectification is a sheath phenomenon important in the fusion community for impurity injection, hot spot formation on plasma-facing components, modifications of the scrape-off layer, and as a far-field sink of wave power. The latter is of particular concern for the National Spherical Torus eXperiment (NSTX), where a substantial fraction of the fast-wave power is lost to the divertor along scrape-off layer field lines. To assess the relationship between rectified currents and rectified voltages, detailed experiments have been performed on the Large Plasma Device (LAPD). An electron current is measured flowing out of the antenna and into the limiters, consistent with RF rectification with a higher RF potential at the antenna. The scaling of this current with RF power will be presented. The limiters are also floated to inhibit this DC current; the impact of this change on plasma-potential and wave-field measurements will be shown. Comparison to data from divertor probes in NSTX will be made. These experiments on a flexible mid-sized experiment will provide insight and guidance into the effects of ICRF on the edge plasma in larger fusion experiments. Funded by the DOE OFES (DE-FC02-07ER54918 and DE-AC02-09CH11466), NSF (NSF- PHY 1036140), and the Univ. of California (12-LR- 237124).

  6. State-space modeling of the radio frequency inductively-coupled plasma generator

    International Nuclear Information System (INIS)

    Dewangan, Rakesh Kumar; Punjabi, Sangeeta B; Mangalvedekar, H A; Lande, B K; Joshi, N K; Barve, D N

    2010-01-01

    Computational fluid dynamics models of RF-ICP are useful in understanding the basic transport phenomenon in an ICP torch under a wide variety of operating conditions. However, these models lack the ability to evaluate the effects of the plasma condition on the RF generator. In this paper, simulation of an induction plasma generator has been done using state space modelling by considering inductively coupled plasma as a part of RF network .The time dependent response of the RF-ICP generator circuit to given input excitation has been computed by extracting the circuit's state-space variables and their constraint matrices. MATLAB 7.1 software has been used to solve the state equations. The values of RF coil current, frequency and plasma power has been measured experimentally also at different plate bias voltage. The simulated model is able to predict RF coil current, frequency, plasma power, overall efficiency of the generator. The simulated and measured values are in agreement with each other. This model can prove useful as a design tool for the Induction plasma generator.

  7. Effects of rf power on chemical composition and surface roughness of glow discharge polymer films

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Ling; He, Xiaoshan; Chen, Guo; Wang, Tao; Tang, Yongjian; He, Zhibing, E-mail: hezhibing802@163.com

    2016-03-15

    Graphical abstract: - Highlights: • The growth mechanism of defects in GDP films was studied upon plasma diagnosis. • Increasing rf power enhanced the etching effects of smaller-mass species. • The “void” defect was caused by high energy hydrocarbons bombardment on the surface. • The surface roughness was only 12.76 nm, and no “void” defect was observed at 30 W. - Abstract: The glow discharge polymer (GDP) films for laser fusion targets were successfully fabricated by plasma enhanced chemical vapor deposition (PECVD) at different radio frequency (rf) powers. The films were deposited using trans-2-butene (T{sub 2}B) mixed with hydrogen as gas sources. The composition and state of plasma were diagnosed by quadrupole mass spectrometer (QMS) and Langmuir probe during the deposition process. The composition, surface morphology and roughness were investigated by Fourier transform infrared spectroscopy (FTIR), scanning electron microscope (SEM) and white-light interferometer (WLI), respectively. Based on these observation and analyses, the growth mechanism of defects in GDP films were studied. The results show that, at low rf power, there is a larger probability for secondary polymerization and formation of multi-carbon C-H species in the plasma. In this case, the surface of GDP film turns to be cauliflower-like. With the increase of rf power, the degree of ionization is high, the relative concentration of smaller-mass hydrocarbon species increases, while the relative concentration of larger-mass hydrocarbon species decreases. At higher rf power, the energy of smaller-mass species are high and the etching effects are strong correspondingly. The GDP film's surface roughness shows a trend of decrease firstly and then increase with the increasing rf power. At rf power of 30 W, the surface root-mean-square roughness (Rq) drops to the lowest value of 12.8 nm, and no “void” defect was observed.

  8. theoretical and experimental study of plasma acceleration by means of R.F. and static magnetic field gradient

    International Nuclear Information System (INIS)

    Bardet, Rene; Consoli, Terenzio; Geller, Richard

    1964-09-01

    In the first part of the paper, the theory of the physical mechanism of ion dragging by accelerated electrons due to the superimposition of the gradient of a electromagnetic field and the gradient of a static magnetic field, is described. The resulting trajectory of the electrons is a helicoid and one shows the variations of the diameter and the path of the spirals along the axis as a function of the difference between the gyrofrequency and the applied R.F. frequency. The ion acceleration is due to an electron space charge effect. The grouping of the equations of the electronic and ionic fluid motions leads to the introduction of a tensor mass: along the x and y direction the transverse motion of the fluid is controlled by the relativistic mass of electrons whereas along the z direction the axial motion is determined by the ionic mass. Then we deduce physical consequences of the theoretical study and give three experimental evidences. The second part of the paper is devoted to the experimental device called Pleiade which allowed us to verify some of the theoretical predictions. Pleiade produces a D.C. operating plasma beam in which the electrons exhibit radially oriented energies whereas the ionic energy is mainly axial. The experimental results indicate that the energy of the particles is in the keV range. In the third part we deal with the reflecting properties of the device. We show that the R.F. static magnetic field gradients are not only capable of accelerating a Plasma beam along the axially decreasing magnetic field, but are also capable of stopping and reflecting such a beam when the latter is moving along an axially increasing magnetic field. We describe finally a plasma accumulation experiment in which two symmetric structures form simultaneously an accelerator and a 'dynamic mirror' for the particles. Evidence of accumulation is given. (authors) [fr

  9. Burning plasma simulation and environmental assessment of tokamak, spherical tokamak and helical reactors

    International Nuclear Information System (INIS)

    Yamazaki, K.; Uemura, S.; Oishi, T.; Arimoto, H.; Shoji, T.; Garcia, J.

    2009-01-01

    Reference 1-GWe DT reactors (tokamak TR-1, spherical tokamak ST-1 and helical HR-1 reactors) are designed using physics, engineering and cost (PEC) code, and their plasma behaviours with internal transport barrier operations are analysed using toroidal transport analysis linkage (TOTAL) code, which clarifies the requirement of deep penetration of pellet fuelling to realize steady-state advanced burning operation. In addition, economical and environmental assessments were performed using extended PEC code, which shows the advantage of high beta tokamak reactors in the cost of electricity (COE) and the advantage of compact spherical tokamak in life-cycle CO 2 emission reduction. Comparing with other electric power generation systems, the COE of the fusion reactor is higher than that of the fission reactor, but on the same level as the oil thermal power system. CO 2 reduction can be achieved in fusion reactors the same as in the fission reactor. The energy payback ratio of the high-beta tokamak reactor TR-1 could be higher than that of other systems including the fission reactor.

  10. Dust removal in radio-frequency plasmas by a traveling potential modulation

    International Nuclear Information System (INIS)

    Li Yangfang; Jiang Ke; Thomas, Hubertus M.; Morfill, Gregor E.

    2010-01-01

    The dust contamination in plasma deposition processes plays a crucial role in the quality and the yield of the products. To improve the quality and the yield of plasma processing, a favorable way is to remove the dust particles actively from the plasma reactors.Our recent experiments in the striped electrode device show that a traveling plasma modulation allows for a systematic particle removal independent of the reactor size. Besides the rf powered electrode, the striped electrode device includes a segmented electrode that consists of 100 electrically insulated narrow stripes. A traveling potential profile is produced by the modulation of the voltage signals applied on the stripes. The dust particles are trapped in the potential wells and transported with the traveling of the potential profile.The particle-in-cell (PIC) simulation on the potential above the segmented electrode indicates that the traveling potential profile can be realized either by applying low-frequency (0.1-10 Hz) voltage signals with a fixed phase shift between adjacent stripes or high-frequency (10 kHz a circumflex AS 100 MHz) signals with the amplitudes modulated by a low-frequency envelope. The transportation of the dust particles is simulated with a two-dimensional molecular dynamics (MD) code with the potential profile obtained from the PIC simulation. The MD results reproduce the experimental observations successfully.This technology allows for an active removal of the contaminating particles in processing plasmas and it is independent of the reactor size. The removal velocity is controllable by adjusting the parameters for the modulation.

  11. Etching of uranium dioxide in nitrogen trifluoride RF plasma glow discharge

    Science.gov (United States)

    Veilleux, John Mark

    1999-10-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO2 from stainless steel substrates. Experiments were conducted using NF3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Results demonstrated that UO2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 mum/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO2 etching was also noted below 50 W in which etching increased up to a maximum pressure, ˜23 Pa, then decreased with further increases in pressure. A computer simulation, CHEMKIN, was applied to predict the NF3 plasma species in the experiments. The code was validated first by comparing its predictions of the NF3 plasma species with mass spectroscopy etching experiments of silicon. The code predictions were within +/-5% of the measured species concentrations. The F atom radicals were identified as the primary etchant species, diffusing from the bulk plasma to the UO2 surface and reacting to form a volatile UF6, which desorbed into the gas phase to be pumped away. Ions created in the plasma were too low in concentration to have a major effect on etching, but can enhance the etch rate by removing non-volatile reaction products blocking the reaction of F with UO2. The composition of these non-volatile products were determined based on thermodynamic analysis and the electronic structure of uranium. Analysis identified possible non-volatile products as the uranium fluorides, UF2-5, and certain uranium oxyfluorides UO2F, UO2F2, UOF3, and UOF 4 which form over the

  12. The hybrid reactor project based on the straight field line mirror concept

    International Nuclear Information System (INIS)

    Ågren, O.; Noack, K.; Moiseenko, V. E.; Hagnestål, A.; Källne, J.; Anglart, H.

    2012-01-01

    The straight field line mirror (SFLM) concept is aiming towards a steady-state compact fusion neutron source. Besides the possibility for steady state operation for a year or more, the geometry is chosen to avoid high loads on materials and plasma facing components. A comparatively small fusion hybrid device with “semi-poor” plasma confinement (with a low fusion Q factor) may be developed for industrial transmutation and energy production from spent nuclear fuel. This opportunity arises from a large fission to fusion energy multiplication ratio, Q r = P fis /P fus >>1. The upper bound on Q r is primarily determined by geometry and reactor safety. For the SFLM, the upper bound is Q r ≈150, corresponding to a neutron multiplicity of k eff =0.97. Power production in a mirror hybrid is predicted for a substantially lower electron temperature than the requirement T e ≈10 keV for a fusion reactor. Power production in the SFLM seems possible with Q≈0.15, which is 10 times lower than typically anticipated for hybrids (and 100 times smaller than required for a fusion reactor). This relaxes plasma confinement demands, and broadens the range for use of plasmas with supra-thermal ions in hybrid reactors. The SFLM concept is based on a mirror machine stabilized by qudrupolar magnetic fields and large expander tanks beyond the confinement region. The purpose of the expander tanks is to distribute axial plasma loss flow over a sufficiently large area so that the receiving plates can withstand the heat. Plasma stability is not relying on a plasma flow into the expander regions. With a suppressed plasma flow into the expander tanks, a possibility arise for higher electron temperature. A brief presentation will be given on basic theory for the SFLM with plasma stability and electron temperature issues, RF heating computations with sloshing ion formation, neutron transport computations with reactor safety margins and material load estimates, magnetic coil designs as well as

  13. Optical emission spectroscopy during fabrication of indium-tin-oxynitride films by RF-sputtering

    International Nuclear Information System (INIS)

    Koufaki, M.; Sifakis, M.; Iliopoulos, E.; Pelekanos, N.; Modreanu, M.; Cimalla, V.; Ecke, G.; Aperathitis, E.

    2006-01-01

    Indium-tin-oxide (ITO) and indium-tin-oxynitride (ITON) films have been deposited on glass by rf-sputtering from an ITO target, using Ar plasma and N 2 plasma, respectively, and different rf-power. Optical emission spectroscopy (OES) was employed to identify the species present in the plasma and to correlate them with the properties of the ITO and ITON thin films. Emission lines of ionic In could only be detected in N 2 plasma, whereas in the Ar plasma additional lines corresponding to atomic In and InO, were detected. The deposition rate of thin films was correlated with the In species, rather than the nitrogen species, emission intensity in the plasma. The higher resistivity and lower carrier concentration of the ITON films, as compared to the respective properties of the ITO films, were attributed to the incorporation of nitrogen, instead of oxygen, in the ITON structure

  14. Nonthermal fusion reactor concept based on Hall-effect magnetohydrodynamics plasma theory

    International Nuclear Information System (INIS)

    Witalis, E.A.

    1988-01-01

    The failure of magnetic confinement controlled thermonuclear fusion research to achieve its goal is attributed to its foundation on the incomplete MHD plasma description instead of the more general HMHD (Hall-effect magnetohydrodynamics) theory. The latter allows for a certain magnetic plasma self-confinement under described stringent conditions. A reactor concept based on the formation, acceleration, and forced disintegration of magnetized whirl structures, plasmoids, is proposed. The four conventional MHD theory objections, i.e., absence of dynamo action, fast decay caused by resistivity, non-existence of magnetic self-confinement, and negligible non-thermal fusion yield, are shown not to apply. Support for the scheme from dense plasma focus research is pointed out. (orig.) [de

  15. Design for the National RF Test Facility at ORNL

    International Nuclear Information System (INIS)

    Gardner, W.L.; Hoffman, D.J.; Becraft, W.R.

    1983-01-01

    Conceptual and preliminary engineering design for the National RF Test Facility at Oak Ridge National Laboratory (ORNL) has been completed. The facility will comprise a single mirror configuration embodying two superconducting development coils from the ELMO Bumpy Torus Proof-of-Principle (EBT-P) program on either side of a cavity designed for full-scale antenna testing. The coils are capable of generating a 1.2-T field at the axial midpoint between the coils separated by 1.0 m. The vacuum vessel will be a stainless steel, water-cooled structure having an 85-cm-radius central cavity. The facility will have the use of a number of continuous wave (cw), radio-frequency (rf) sources at levels including 600 kW at 80 MHz and 100 kW at 28 GHz. Several plasma sources will provide a wide range of plasma environments, including densities as high as approx. 5 x 10 13 cm -3 and temperatures on the order of approx. 10 eV. Furthermore, a wide range of diagnostics will be available to the experimenter for accurate appraisal of rf testing

  16. Observation of neoclassical transport in reverse shear plasmas on the tokamak fusion test reactor

    International Nuclear Information System (INIS)

    Efthimion, P.C.; Goeler, S. von; Houlberg, W.A.

    2001-01-01

    Perturbative experiments on the Tokamak Fusion Test Reactor (TFTR) have investigated the transport of multiple ion species in reverse shear plasmas. The profile evolution of trace tritium and helium, and intrinsic carbon indicate the formation of core particle transport barriers in ERS plasmas. There is an order of magnitude reduction in the particle diffusivity inside the reverse shear region. The diffusivities for these species in ERS plasmas agree with neoclassical theory. (author)

  17. Observation of neoclassical transport in reverse shear plasmas on the tokamak fusion test reactor

    International Nuclear Information System (INIS)

    Efthimion, P.C.; Von Goeler, S.; Houlberg, W.A.

    1999-01-01

    Perturbative experiments on the Tokamak Fusion Test Reactor (TFTR) have investigated the transport of multiple ion species in reverse shear plasmas. The profile evolution of trace tritium and helium, and intrinsic carbon indicate the formation of core particle transport barriers in ERS plasmas. There is an order of magnitude reduction in the particle diffusivity inside the reverse shear region. The diffusivities for these species in ERS plasmas agree with neoclassical theory. (author)

  18. Decomposition of SF6-R134a effluents by RF plasma

    International Nuclear Information System (INIS)

    Joshi, Avinash V.

    2012-01-01

    The efficiency of recovery achieved by open or closed loop extraction of RPC exhaust gases is in the range of 90-95% under optimum conditions. For a large detector setup operating on one volume change per day basis, a 5% loss amounts to discharging 50 kg of R134a and 0.5 kg of SF 6 into atmosphere every day. The emissions are equivalent to create nearly 50 000 m 3 of carbon dioxide daily. The gas emissions need to be completely converted to safer compounds. The gases such as R134a and SF 6 are stable compounds. In order to decompose these, the mixture is first activated by adding of 50% oxygen and 2% argon and under typical RF plasma conditions of 13.56 MHz, 1 Torr pressure and 0.2 W/cm 2 power density. The chemical reaction takes place on the surface of a silicon electrode. The product of the reaction is mainly SiF 4 (gas), which is further hydrolyzed to form HF solution and silicon hydroxide sludge. More than 90% of the effluent gas mixture can be effectively removed by this method.

  19. Measurement of toroidal plasma current in RF heated helical plasmas

    International Nuclear Information System (INIS)

    Besshou, Sakae

    1993-01-01

    This report describes the measurement of toroidal plasma current by a semiflexible Rogowski coil in a helical vacuum chamber. A Rogowski coil measures the toroidal plasma current with a resolution of 0.1 kA, frequency range of up to 1 kHz and sensitivity of 6.5 x 10 -9 V · s/A. We measured the spontaneous toroidal plasma current (from -1.2 to +1.2 kA) under electron cyclotron resonance heating at 0.94 T toroidal field in the Heliotron-E device. We found that the measured direction of toroidal plasma current changes its sign as in the predicted behavior of a neoclassical diffusion-driven bootstrap current, depending on the horizontal position of the plasma column. We explain the observed plasma currents in terms of the compound phenomenon of an ohmic current and a neoclassical diffusion-driven current. The magnitude of the neoclassical current component is smaller than the value predicted by a collisionless neoclassical theory. (author)

  20. Production of Monodisperse Nanoparticles and Application of Discrete-Monodisperse Model in Plasma Reactors

    International Nuclear Information System (INIS)

    Kim, Dong-Joo; Kim, Kyo-Seon; Zhao, Qian-Qiu

    2003-01-01

    The particle growth in plasma reactor were investigated by using the discrete-monodisperse (D-M) model for various process conditions. The monodisperse large sized particle distribution predicted by the D-M model are in good agreement with the large sized particles by the discrete-sectional model and also in the experiments by Shiratani et al. (1996). Some fractions of the small size particles are in a neutral state or even charged positively, but most of the large sized monodisperse particles are charged negatively. As the mass generation rate of monomers increases, the large sized particles grow more quickly and the production rate of nanoparticles of 100nm by plasma reactor increases. As the initial electron concentration or the monomer diameter increases, it takes longer time for the large sized particles to grow up to 100nm, but the large sized particle concentration of 100nm increases and the resulting production rate of large sized particles of 100nm increases. As the residence time increases, the time for the large sized particles to grow up to 100nm decreases and the large sized particle concentration of 100nm increases and, as a result, the production rate of large sized particles of 100nm increases. We propose that the plasma reactor can be a good candidate to produce monodisperse nanoparticles

  1. Current drive studies for the ARIES steady-state tokamak reactors

    International Nuclear Information System (INIS)

    Mau, T.K.; Ehst, D.A.; Mandrekas, J.

    1994-01-01

    Steady-state plasma operating scenarios are designed for three versions of the ARIES reactor, using non-inductive current drive techniques that have an established database. R.f. waves, including fast and lower hybrid waves, are the reference drivers for the D-T burning ARIES-I and ARIES-II/IV, while neutral beam injection is employed for ARIES-III which burns D- 3 He. Plasma equilibria with a high bootstrap-current component have been used, in order to minimize the recirculating power fraction and cost of electricity. To maintain plasma stability, the driven current profile has been aligned with that of equilibrium by proper choices of the plasma profiles and power launch parameters. Except for ARIES-III, the current-drive power requirements and the relevant technology developments are found to be quite reasonable. The wave-power spectrum and launch requirements are also considered achievable with a modest development effort. Issues such as an improved database for fast-wave current drive, lower-hybrid power coupling to the plasma edge, profile control in the plasma core, and access to the design point of operation remain to be addressed. ((orig.))

  2. Investigations of electropositive and electronegative RF discharges

    International Nuclear Information System (INIS)

    Bryant, P.M.

    2000-01-01

    Electronegative RF discharges are extensively used in the semi-conductor industry for material processing. Despite this the subject of electronegative RF discharges has been largely neglected. The aim of this thesis is to investigate a RF oxygen discharge by mass/energy spectrometry, a retarding field analyser and an actively compensated Langmuir probe. Measurements are also obtained in argon for comparison. In this thesis pure oxygen will be used as this has relatively simple discharge chemistry with most of the rate constants well known. Ion energy analysis (Chapter 3) show the discharge to contract into the centre of the chamber at low pressures in both gases. The expected thinner peak of the oxygen ion energy distribution was not observed, this is shown to be due to RF modulation of the positive ions with collisions playing a role. The dominant positive ion in the discharge bulk and colliding in the sheath in oxygen was found to be O 2 + with less than 10% O + over the range of pressure investigated (Chapter 4). Various minor ions such as O 3 + and O 4 + were also observed. By actively compensating a Langmuir probe for the first three plasma harmonics it is shown that it is unnecessary to compensate when the amplitude of a given harmonic is comparable to the electron temperature (Chapter 5). A study of Langmuir probe measurements in argon (Chapter 7) has shown that the use of the collisionless Alien, Boyd and Reynolds theory leads to discrepancies in the measured electron densities. The correct density can be obtained by using the perturbation method of Shih and Levi, this corrects for ion-neutral collisions in electropositive plasmas only. This theory is extended to electronegative plasmas (Chapter 6) so that measurements of the negative ion density obtained from the collisionless theory of Arnemiya, Annaratone and Alien can be corrected. Langmuir probe measurements in oxygen indicate a peak in the negative ion density at around 3Pa and are found to be in good

  3. Improvement in the Sensitivity of PbO Doped Tin Oxide Thick Film Gas Sensor by RF and Microwave Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    J. K. SRIVASTAVA

    2010-07-01

    Full Text Available In the present work efforts have been made to analyze the effect of oxygen plasma and PbO doping on the sensitivity of SnO2-based thick film gas sensor for methanol, propanol and acetone. The effect of substrate temperature on the response of dual frequency (RF and microwave plasma treated thick film sensor array has also been studied. To achieve this, three sensor arrays (each with four tin oxide sensors doped with different (1 %, 2 %, 3 % and 4 % PbO concentrations were fabricated by thick film technology and then treated with oxygen plasma for various durations (5 min, 10 min. and 15 min.. The plasma treated sensors were found to possess appreciably high sensitivity at room temperature in comparison to untreated sensor. The sensitivity showed the increasing trend with plasma exposure time and 15 minutes exposure time was found to be most suitable as the sensitivity of the plasma treated sensors for this duration were high towards all the chosen vapors with maximum (97 % value for propanol. The sensitivity of the sensors were found to be increasing gradually as PbO concentration was varied from 1- 4%.

  4. The effect of dielectric top lids on materials processing in a low frequency inductively coupled plasma (LF-ICP) reactor

    International Nuclear Information System (INIS)

    Lim, J.W.M.; Chan, C.S.; Xu, L.; Xu, S.

    2014-01-01

    The advent of the plasma revolution began in the 1970's with the exploitation of plasma sources for anisotropic etching and processing of materials. In recent years, plasma processing has gained popularity, with research institutions adopting projects in the field and industries implementing dry processing in their production lines. The advantages of utilizing plasma sources would be uniform processing over a large exposed surface area, and the reduction of toxic emissions. This leads to reduced costs borne by manufacturers which could be passed down as consumer savings, and a reduction in negative environmental impacts. Yet, one constraint that plagues the industry would be the control of contaminants in a plasma reactor which becomes evident when reactions are conducted in a clean vacuum environment. In this work, amorphous silicon (a-Si) thin films were grown on glass substrates in a low frequency inductively coupled plasma (LF-ICP) reactor with a top lid made of quartz. Even though the chamber was kept at high vacuum (~10 −4 Pa), it was evident through secondary ion mass spectroscopy (SIMS) and Fourier-transform infra-red spectroscopy (FTIR) that oxygen contaminants were present. With the aid of optical emission spectroscopy (OES) the contaminant species were identified. The design of the LF-ICP reactor was then modified to incorporate an Alumina (Al 2 O 3 ) lid. Results indicate that there were reduced amounts of contaminants present in the reactor, and that an added benefit of increased power transfer to the plasma, improving deposition rate of thin films was realized. The results of this study is conclusive in showing that Al 2 O 3 is a good alternative as a top-lid of an LF-ICP reactor, and offers industries a solution in improving quality and rate of growth of thin films. (author)

  5. Use of coaxial plasma guns to start up field-reversed-mirror reactors

    International Nuclear Information System (INIS)

    Smith, A.C. Jr.; Carlson, G.A.; Eddleman, J.L.; Hartman, C.W.; Neef, W.S. Jr.

    1980-01-01

    Application of a magnetized coaxial plasma gun for start-up of a field-reversed-mirror reactor is considered. The design is based on preliminary scaling laws and is compared to the design of the start-up gun used in the Beta II experiment

  6. Inactivation of Gram-Negative Bacteria by Low-Pressure RF Remote Plasma Excited in N2-O2 Mixture and SF6 Gases

    Directory of Open Access Journals (Sweden)

    Ayman Al-Mariri

    2013-12-01

    Full Text Available The role of low-pressure RF plasma in the inactivation of Escherichia coli O157, Klebsiella pneumoniae, Proteus mirabilis, and Enterobacter sakazakii using N2-O2 and SF6 gases was assessed. 1×109 colony-forming units (CFUs of each bacterial isolate were placed on three polymer foils. The effects of pressure, power, distance from the source, and exposure time to plasma gases were optimized. The best conditions to inactivate the four bacteria were a 91%N2-9%O2 mixture and a 30-minute exposure time. SF6 gas was more efficient for all the tested isolates in as much as the treatment time was reduced to only three minutes. Therefore, low-pressure plasma could be used to sterilize heat and/or moisture-sensitive medical instruments.

  7. RF-Based Accelerators for HEDP Research

    CERN Document Server

    Staples, John W; Keller, Roderich; Ostroumov, Peter; Sessler, Andrew M

    2005-01-01

    Accelerator-driven High-Energy Density Physics experiments require typically 1 nanosecond, 1 microcoulomb pulses of mass 20 ions accelerated to several MeV to produce eV-level excitations in thin targets, the "warm dense matter" regime. Traditionally the province of induction linacs, RF-based acceleration may be a viable alternative with recent breakthroughs in accelerating structures and high-field superconducting solenoids. A reference design for an RF-based accelerator for HEDP research is presented using 15 T solenoids and multiple-gap RF structures configured with either multiple parallel beams (combined at the target) or a single beam and a small stacking ring that accumulates 1 microcoulomb of charge. In either case, the beam is ballistically compressed with an induction linac core providing the necessary energy sweep and injected into a plasma-neutralized drift compression channel resulting in a 1 mm radius beam spot 1 nanosecond long at a thin foil or low-density target.

  8. Plasma engineering analyses of tokamak reactor operating space

    International Nuclear Information System (INIS)

    Houlberg, W.; Attenberger, S.E.

    1981-01-01

    A comprehensive method is presented for analyzing the potential physics operating regime of fusion reactor plasmas with detailed transport codes. Application is made to the tokamak Fusion Engineering Device (FED). The relationships between driven and ignited operation and supplementary heating requirements are examined. The reference physics models give a finite range of density and temperature over which physics objectives can be reached. Uncertainties in the confinement scaling and differences in supplementary heating methods can expand or contract this operating regime even to the point of allowing ignition with the more optimistic models

  9. Plasma control issues for an advanced steady state tokamak reactor

    International Nuclear Information System (INIS)

    Moreau, D.

    2001-01-01

    This paper deals with specific control issues related to the advanced tokamak scenarios in which rather accurate tailoring of the current density profile is a requirement in connection with the steady state operation of a reactor in a high confinement optimized shear mode. It is found that adequate current profile control can be performed if real-time magnetic flux reconstruction is available through a set of dedicated diagnostics and computers, with sufficient accuracy to deduce the radial profile of the safety factor and of the internal plasma loop voltage. It is also shown that the safety factor can be precisely controlled in the outer half of the plasma through the surface loop voltage and the off-axis current drive power, but that a compromise must be made between the accuracy of the core safety factor control and the total duration of the current and fuel density ramp-up phases, so that the demonstration of the steady state reactor potential of the optimized/reversed shear concept in the Next Step device will demand pulse lengths of the order of one thousand seconds (or more for an ITER-size machine). (author)

  10. Plasma control issues for an advanced steady state tokamak reactor

    International Nuclear Information System (INIS)

    Moreau, D.; Voitsekhovitch, I.

    1999-01-01

    This paper deals with specific control issues related to the advanced tokamak scenarios in which rather accurate tailoring of the current density profile is a requirement in connection with the steady state operation of a reactor in a high confinement optimized shear mode. It is found that adequate current profile control can be performed if real-time magnetic flux reconstruction is available through a set of dedicated diagnostics and computers, with sufficient accuracy to deduce the radial profile of the safety factor and of the internal plasma loop voltage. It is also shown that the safety factor can be precisely controlled in the outer half of the plasma through the surface loop voltage and the off-axis current drive power, but that a compromise must be made between the accuracy of the core safety factor control and the total duration of the current and fuel density ramp-up phases, so that the demonstration of the steady state reactor potential of the optimized/reversed shear concept in the Next Step device will demand pulse lengths of the order of one thousand seconds (or more for an ITER-size machine). (author)

  11. Sodium fires at fast reactors: RF status report

    International Nuclear Information System (INIS)

    Bagdasarov, Yu.E.; Buksha, Yu.K.; Drobyshev, A.V.; Zybin, V.A.; Ivanenko, V.N.; Kardash, D.Yu.; Kulikov, E.V.; Yagodkin, I.V.

    1996-01-01

    Scientific and engineering studies carried out in Russian Federation since 1992 up to 1996 in the sodium fire area and their main results are described. A review of activities on modification of the computer codes BOX and AERO developed at IPPE for calculating sodium fire consequences is given. Results of analysis of possible accidental situations at currently designed BN-800 reactor NPP with the use of these codes are presented. Sodium leaks occurring at our domestic fast reactors are briefly analyzed. Experimental work performed are described. Results of comparative analysis of common-cause and sodium fire hazards for fast reactor NPP are presented. (author)

  12. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  13. Analysis of radiofrequency discharges in plasma

    Science.gov (United States)

    Kumar, D.; McGlynn, S.P.

    1992-08-04

    Separation of laser optogalvanic signals in plasma into two components: (1) an ionization rate change component, and (2) a photoacoustic mediated component. This separation of components may be performed even when the two components overlap in time, by measuring time-resolved laser optogalvanic signals in an rf discharge plasma as the rf frequency is varied near the electrical resonance peak of the plasma and associated driving/detecting circuits. A novel spectrometer may be constructed to make these measurements. Such a spectrometer would be useful in better understanding and controlling such processes as plasma etching and plasma deposition. 15 figs.

  14. Control of plasma layer in a fusion reactor correlated to DC motor control using PSO-ANFIS

    International Nuclear Information System (INIS)

    Mahapatra, Sakuntala; Daniel, Raju; Dey, Deep Narayan

    2013-01-01

    Plasma position and shape control is very crucial for the overall performance of the fusion reactor such as Tokamak. The quality of the discharge in the Saskatchewan TORus-Modified (STOR-M) tokamak is strongly related to the position of the plasma column within the discharge vessel. If the plasma column approaches too near the wall, then either minor or complete disruption occurs. Consequently it is necessary to be able to control dynamically the position of the plasma column throughout the entire discharge. Now a day's most fusion reactor employs the traditional PID controller for the confinement of plasma layer. Fuzzy logic is used for the control of Plasma layer. In this paper we have used the hybrid of PSO-ANFIS technique to control the speed of a DC motor. We have used two input parameters like speed, torque and output is firing angle. In our work first order Sugeno fuzzy model is taken with three rules and the parameters of Gaussian membership function is controlled by the PSO technique. PSO-ANFIS speed controller obtains better dynamic behavior and superior performance of the DC motor speed control. Similar approach can be correlated to the control of plasma layer. For the plasma control two inputs can be taken as plasma position ΔH and the plasma current and the single output, the control decision u(t). (author)

  15. Effects of assistant anode on planar inductively coupled magnetized argon plasma in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, Deli; Chu, Paul K.

    2003-01-01

    The enhancement of planar radio frequency (RF) inductively coupled argon plasma is studied in the presence of an assistant anode and an external magnetic field at low pressure. The influence of the assistant anode and magnetic field on the efficiency of RF power absorption and plasma parameters is investigated. An external axial magnetic field is coupled into the plasma discharge region by an external electromagnetic coil outside the discharge chamber and an assistant cylindrical anode is inserted into the discharge chamber to enhance the plasma discharge. The plasma parameters and density profile are measured by an electrostatic Langmuir probe at different magnetic fields and anode voltages. The RF power absorption by the plasma can be effectively enhanced by the external magnetic field compared with the nonmagnetized discharge. The plasma density can be further increased by the application of a voltage to the assistant anode. Owing to the effective power absorption and enhanced plasma discharge by the assistant anode in a longitudinal magnetic field, the plasma density can be enhanced by more than a factor of two. Meanwhile, the nonuniformity of the plasma density is less than 10% and it can be achieved in a process chamber with a diameter of 600 mm

  16. Treatment of Dye Wastewater by Using a Hybrid Gas/Liquid Pulsed Discharge Plasma Reactor

    International Nuclear Information System (INIS)

    Lu Na; Li Jie; Wu Yan; Masayuki, Sato

    2012-01-01

    A hybrid gas/liquid pulsed discharge plasma reactor using a porous ceramic tube is proposed for dye wastewater treatment. High voltage pulsed discharge plasma was generated in the gas phase and simultaneously the plasma channel was permeated through the tiny holes of the ceramic tube into the water phase accompanied by gas bubbles. The porous ceramic tube not only separated the gas phase and liquid phase but also offered an effective plasma spreading channel. The effects of the peak pulse voltage, additive gas varieties, gas bubbling rate, solution conductivity and TiO 2 addition were investigated. The results showed that this reactor was effective for dye wastewater treatment. The decoloration efficiency of Acid Orange II was enhanced with an increase in the power supplied. Under the studied conditions, 97% of Acid Orange II in aqueous solution was effectively decolored with additive oxygen gas, which was 51% higher than that with argon gas, and the increasing O 2 bubbling rate also benefited the decoloration of dye wastewater. Water conductivity had a small effect on the level of decoloration. Catalysis of TiO 2 could be induced by the pulsed discharge plasma and addition of TiO 2 aided the decoloration of Acid Orange II.

  17. Nonlinear electrostatic ion cyclotron waves in an rf-plugged inhomogeneous plasma slab

    International Nuclear Information System (INIS)

    Ikemura, Tsutomu.

    1977-01-01

    A theory based on the fluid and perturbation theories is developed to analytically study a nonlinear electrostatic ion cyclotron wave excited in an rf-plugged inhomogeneous plasma slab by applying a pair of external potentials phi sub(ext)(x,z) = +-PHI 0 cos ω 0 t.exp(-z 2 /2h 2 ) at its boundaries x = +-L. Here, B 0 is applied along the z-axis. The potential forms of the fundamental and the nonlinear second harmonic are found as functions of x, z and t provided the field-free densities vary as exp(-x 2 /2d 2 )(d 2 /h 2 0 ) created by the fundamental potential can approximately be regarded as a dipole field, provided that /1-μ/ 0 2 -ω sub(cl)sup(2))m sub(i)d 2 /(γ sub(i)T sub(i)+Z γ sub(e)T sub(e)). Under the stricter condition μ asymptotically equals 1, a dipole-like electric field can also be excited in the entire region for the case of high density and weak nonlinearity. It is shown that the assumption ω 0 -1 √ γ sub(e)T sub(e)/m sub(e) can lead to the Boltzmann relation for the electron fluid even in inhomogeneous plasmas. Moreover, the density depletion delta N sub(i) obtained here contains a new considerable term proportional to /phi/ 2 , in addition to the usual term proportional to -/delta phi/delta x/ 2 which originates from the ponderomotive force. (auth.)

  18. Designing of RF ion source and the power sources system

    International Nuclear Information System (INIS)

    Rusdiyanto.

    1978-01-01

    An RF ion source prototype is being developed for the particle accelerator at the Gama Research Centre. Supply of the gas is fed into the plasma chamber by means of neadle valve system. Magnetic field strength of about 500 gauss is applied to the system to improve the ionization efficiency. Components and spare parts of the RF ion source are made based on locally available materials and are discussed in this report. (author)

  19. Improving bondability to RTV silicone elastomer using rf-activated gas

    International Nuclear Information System (INIS)

    Bellah, J.L.

    1979-05-01

    The effects of an rf-activated gas (plasma) on the bondability to RTV silicone elastomer were studied. Processing guidelines were developed, and a method was sought to satisfactorily bond RTV to the walls of a machined aluminum casting and to provide a surface on the RTV which would best accept bonding to an epoxy encapsulant. Processing parameters, such as gas type and flow rate, reaction chamber pressure, and rf power level, were developed

  20. Plasma Turbulence Suppression and Transport Barrier Formation by Externally Driven RF Waves in Spherical Tokamaks

    International Nuclear Information System (INIS)

    Bruma, C.; Cuperman, S.C.; Komoshvili, K.

    2002-01-01

    Turbulent transport of heat and particles is the principle obstacle confronting controlled fusion today. Thus, we investigate quantitatively the suppression of turbulence and formation of transport barriers in spherical tokamaks by sheared electric fields generated by externally driven radio-frequency (RF) waves, in the frequency range o)A n o] < o)ci (e)A and o)ci are the Alfven and ion cyclotron frequencies). This investigation consists of the solution of the full-wave equation for a spherical tokamak in the presence of externally driven fast waves and the evaluation of the power dissipation by the mode-converted Alfven waves. This in turn, provides a radial flow shear responsible for the suppression of plasma turbulence. Thus, a strongly non-linear equation for the radial sheared electric field is solved, the turbulent transport suppression rate is evaluated and compared with the ion temperature gradient (ITG) instability increment. For illustration, the case of START-like device (Sykes 2000) is treated. Thus, (i) the exact D-shape cross-section is considered; (ii) additional kinetic (including Landau damping) and particle trapping effects are added to the resistive two-fluid dielectric tensor operator; (iii) a finite extension antenna located on the low-field-side of the plasma is considered; (iv) a rigorous 2.5 finite elements numerical code (Sewell 1993) is used; and (v) the turbulence and transport barrier generated as a result of wave-plasma interaction is evaluated

  1. Study of a plasma created by an accelerated proton beam for the characterization of a nuclear pumped laser medium

    International Nuclear Information System (INIS)

    Vialle, M.

    1985-04-01

    Processes leading to laser effect in nuclear induced plasmas can be studied with simulation experiments using charged particles beams. Such an experiment has been performed with a proton beam (2 MeV, 2 μA/cm 2 ) produced by a Van de Graaff accelerator. This beam is an excitation and ionisation source quite comparable to the laser medium source of a reactor experiment. The plasma created in a Ne target (about 100 torrs) containing N 2 impurities has been studied: - experimentally using R.F. diagnostics and spectroscopy; - theoretically by calculating the electronic distribution function in the low and medium energy region [fr

  2. A new extremum seeking technique and its application to maximize RF heating on FTU

    International Nuclear Information System (INIS)

    Carnevale, D.; Astolfi, A.; Centioli, C.; Podda, S.; Vitale, V.; Zaccarian, L.

    2009-01-01

    We propose a new global extremum seeking algorithm to optimize the coupling between the emitting Lower Hybrid (LH) antennas and the plasma scrape off layer in the radiofrequency (RF) heating problem in tokamak plasmas. The new algorithm, where the existing disturbances affecting the system are seen as the probing signals, requires less constraining properties than the previous ones and is more robust. In particular, simulation results are presented illustrating the effectiveness of the algorithm on the Lower Hybrid RF heating of the Frascati Tokamak Upgrade (FTU).

  3. Fusion programs in Applied Plasma Physics

    International Nuclear Information System (INIS)

    1992-07-01

    The Applied Plasma Physics (APP) program at General Atomics (GA) described here includes four major elements: (a) Applied Plasma Physics Theory Program, (b) Alpha Particle Diagnostic, (c) Edge and Current Density Diagnostic, and (d) Fusion User Service Center (USC). The objective of the APP theoretical plasma physics research at GA is to support the DIII-D and other tokamak experiments and to significantly advance our ability to design a commercially-attractive fusion reactor. We categorize our efforts in three areas: magnetohydrodynamic (MHD) equilibria and stability; plasma transport with emphasis on H-mode, divertor, and boundary physics; and radio frequency (rf). The objective of the APP alpha particle diagnostic is to develop diagnostics of fast confined alpha particles using the interactions with the ablation cloud surrounding injected pellets and to develop diagnostic systems for reacting and ignited plasmas. The objective of the APP edge and current density diagnostic is to first develop a lithium beam diagnostic system for edge fluctuation studies on the Texas Experimental Tokamak (TEXT). The objective of the Fusion USC is to continue to provide maintenance and programming support to computer users in the GA fusion community. The detailed progress of each separate program covered in this report period is described in the following sections

  4. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  5. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  6. The TORE SUPRA fast reciprocating RF probe

    International Nuclear Information System (INIS)

    Thomas, C.E. Jr.; Harris, J.H.; Haste, G.R.

    1994-01-01

    A fast reciprocating ICRF (Ion Cyclotron Range of Frequencies) probe was installed and operated on TORE SUPRA during 1992/1993. The body of the probe was originally used on the ATF experiment at ORNL. The probe was adapted for use on TORE SUPRA, and mounted on one of the two fast reciprocating probe mounts. The probe consists of two orthogonal single-turn wire loops, mounted so that one loop senses toroidal RF magnetic fields and the other senses poloidal RF magnetic fields. The probe began operation in June, 1993. The probe active area is approximately 5 cm long by 2 cm, and the reciprocating mount has a slow stroke (5 cm/sec) of 30 cm by 2 cm, and the reciprocating mount has a slow stroke (5 cm/sec) of 30 cm and a fast stroke (1.5 m/sec) of about 10 cm. The probe was operated at distances from the plasma edge ranging from 30 cm to -5 cm (i.e., inside the last closed flux surface). The probe design, electronics, calibration, data acquisition and data processing are discussed. First data from the probe are presented as a function of ICRF power, distance from the plasma, loop orientation, and other plasma parameters. Initial data shows parametric instabilities do not play an important role for ICRF in the TORE SUPRA edge and scrape-off-layer (SOL) plasmas. Additionally it is observed that the probe signal has little or no dependence on position in the SOL/plasma edge

  7. Effect of plasma physics on choices of first wall materials and structures for a thermonuclear reactor

    International Nuclear Information System (INIS)

    Meade, D.M.

    1975-01-01

    Impurity ions adversely affect the behavior of present-day tokamaks, and control of impurities is expected to be a key element in determining the feasibility of thermonuclear fusion reactors. The plasma-surface interactions for tokamaks and several techniques for controlling impurities are described. The plasma-surface problem of next generation devices PLT, PDX, DIII and TFTR is expected to be similar to those encountered in a reactor. For these devices calculations indicate that most of the particle energy efflux will be in the 1 keV region. Ironically this energy region has not yet been investigated thoroughly by the surface physicists

  8. Development of a model and test equipment for cold flow tests at 500 atm of small nuclear light bulb configurations

    Science.gov (United States)

    Jaminet, J. F.

    1972-01-01

    A model and test equipment were developed and cold-flow-tested at greater than 500 atm in preparation for future high-pressure rf plasma experiments and in-reactor tests with small nuclear light bulb configurations. With minor exceptions, the model chamber is similar in design and dimensions to a proposed in-reactor geometry for tests with fissioning uranium plasmas in the nuclear furnace. The model and the equipment were designed for use with the UARL 1.2-MW rf induction heater in tests with rf plasmas at pressures up to 500 atm. A series of cold-flow tests of the model was then conducted at pressures up to about 510 atm. At 504 atm, the flow rates of argon and cooling water were 3.35 liter/sec (STP) and 26 gal/min, respectively. It was demonstrated that the model is capable of being operated for extended periods at the 500-atm pressure level and is, therefore, ready for use in initial high-pressure rf plasma experiments.

  9. Resonant power absorption in helicon plasma sources

    International Nuclear Information System (INIS)

    Chen Guangye; Arefiev, Alexey V.; Bengtson, Roger D.; Breizman, Boris N.; Lee, Charles A.; Raja, Laxminarayan L.

    2006-01-01

    Helicon discharges produce plasmas with a density gradient across the confining magnetic field. Such plasmas can create a radial potential well for nonaxisymmetric whistlers, allowing radially localized helicon (RLH) waves. This work presents new evidence that RLH waves play a significant role in helicon plasma sources. An experimentally measured plasma density profile in an argon helicon discharge is used to calculate the rf field structure. The calculations are performed using a two-dimensional field solver under the assumption that the density profile is axisymmetric. It is found that RLH waves with an azimuthal wave number m=1 form a standing wave structure in the axial direction and that the frequency of the RLH eigenmode is close to the driving frequency of the rf antenna. The calculated resonant power absorption, associated with the RLH eigenmode, accounts for most of the rf power deposited into the plasma in the experiment

  10. Self-consistent modeling of radio-frequency plasma generation in stellarators

    Energy Technology Data Exchange (ETDEWEB)

    Moiseenko, V. E., E-mail: moiseenk@ipp.kharkov.ua; Stadnik, Yu. S., E-mail: stadnikys@kipt.kharkov.ua [National Academy of Sciences of Ukraine, National Science Center Kharkov Institute of Physics and Technology (Ukraine); Lysoivan, A. I., E-mail: a.lyssoivan@fz-juelich.de [Royal Military Academy, EURATOM-Belgian State Association, Laboratory for Plasma Physics (Belgium); Korovin, V. B. [National Academy of Sciences of Ukraine, National Science Center Kharkov Institute of Physics and Technology (Ukraine)

    2013-11-15

    A self-consistent model of radio-frequency (RF) plasma generation in stellarators in the ion cyclotron frequency range is described. The model includes equations for the particle and energy balance and boundary conditions for Maxwell’s equations. The equation of charged particle balance takes into account the influx of particles due to ionization and their loss via diffusion and convection. The equation of electron energy balance takes into account the RF heating power source, as well as energy losses due to the excitation and electron-impact ionization of gas atoms, energy exchange via Coulomb collisions, and plasma heat conduction. The deposited RF power is calculated by solving the boundary problem for Maxwell’s equations. When describing the dissipation of the energy of the RF field, collisional absorption and Landau damping are taken into account. At each time step, Maxwell’s equations are solved for the current profiles of the plasma density and plasma temperature. The calculations are performed for a cylindrical plasma. The plasma is assumed to be axisymmetric and homogeneous along the plasma column. The system of balance equations is solved using the Crank-Nicholson scheme. Maxwell’s equations are solved in a one-dimensional approximation by using the Fourier transformation along the azimuthal and longitudinal coordinates. Results of simulations of RF plasma generation in the Uragan-2M stellarator by using a frame antenna operating at frequencies lower than the ion cyclotron frequency are presented. The calculations show that the slow wave generated by the antenna is efficiently absorbed at the periphery of the plasma column, due to which only a small fraction of the input power reaches the confinement region. As a result, the temperature on the axis of the plasma column remains low, whereas at the periphery it is substantially higher. This leads to strong absorption of the RF field at the periphery via the Landau mechanism.

  11. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  12. Deactivation of Escherichia coli in a post-discharge chamber coupled to an atmospheric pressure multi-electrode DBD plasma source

    International Nuclear Information System (INIS)

    Pérez-Ruiz, V H; López-Callejas, R; De la Piedad Beneitez, A; Peña-Eguiluz, R; Mercado-Cabrera, A; Muñoz-Castro, A E; Barocio, S R; Valencia-Alvarado, R; Rodríguez-Méndez, B G

    2012-01-01

    Experimental results from applying a room pressure RF multi-electrode DBD plasma source to the inhibition of the population growth of Gram negative Escherichia coli (E. coli) within a post-discharge reactor are reported. The sample to be treated is deposited in the post-discharge chamber at about 50 mm from the plasma source outlet. Thus, the active species generated by the source are conveyed toward the chamber by the working gas flow. The plasma characterization included the measurement of the axial temperature at different distances from the reactor outlet by means of a K-type thermocouple. The resulting 294 K to 322 K temperature interval corresponded to distances between 10 mm to 1 mm respectively. As the material under treatment is placed further away, any thermal damage of the sample by the plasma is prevented. The measurement and optimization of the ozone O 3 concentration has also been carried out, provided that this is an active specie with particularly high germicide power. The effectiveness treatment of the E. coli bacteria growth inhibition by the proposed plasma source reached 99% when a 10 3 CFU/mL concentration on an agar plate had been exposed during ten minutes.

  13. The reactor plasma physics of tandem mirror startup and fractional power operation

    International Nuclear Information System (INIS)

    Kantrowitz, F.D.; Firestone, M.A.; Guebel, D.M.; Mau, T.K.

    1984-01-01

    Plasma behavior and the performance of plasma technologies are studied during the startup and fractional power operation of tandem mirrors. Five phases of machine operation are identified, some of which require plasma. The plasma phases include plasma initiation and heating, a standby phase with plasma at the density and temperature characteristics of full design performance in reactors, a deuterium-tritium fractional power operating phase in which the fusion plasma undergoes staged power increases to full power, and rated power operating phase. Plasma initiation and heating uses electron cyclotron resonance heating preionization of background gas in the plug and ion cyclotron resonance heating in the central cell. Operation of the radio-frequency systems, the neutral beams, and the direct converter are studied to determine constraints affecting plasma operation. Studies of fractional power operation, carried out using a quasi-steady-state analysis, show that the plasma Q value can be made remarkably insensitive to the level of fusion power by controlling the plasma radius. Copper insert coils used to increase the maximum choke field require considerable power and cause the recirculating power fraction to increase sharply as the fusion power is reduced. Moreover, when an efficient drift pumping scheme is used, achieved improvements in plasma Q by using high-field choke coils must be weighed against their power consumption and other technological difficulties

  14. Study of oxygen diluted silane plasmas applied for the deposition of silicium oxyde; Etudes des plasmas organoscilicies dilues en oxygene utilises pour la deposition d'oxyde de silicium

    Energy Technology Data Exchange (ETDEWEB)

    Magni, D. [Ecole Polytechnique Federale de Lausanne, Centre de Recherches en Physique des Plasmas (CRPP), CH-1015 Lausanne (Switzerland)

    2001-09-01

    Plasma enhanced chemical vapour deposition of thin films such as silicon dioxide is used in many applications such as the insulator production in semiconductor technology or anticorrosion coating in packaging industry as a substitute for aluminium which is less ecological. Oxygen diluted silane plasmas are often utilized to produce SiO{sub 2} film, but the tendency is to work with organosilicon precursors such as HMDSO (hexamethyldisiloxane ) described as non-toxic and requiring less stringent safety and costly installation. In this study, the species in gaseous phase and the powder produced in oxygen-diluted HMDSO plasmas were experimentally characterized in a radiofrequency (RF) capacitively-coupled reactor at 13.56 MHz. Some aspects of plasma enhanced deposition of SiO{sub 2} were studied in a RF magnetron reactor . The gaseous phase of the oxygen-diluted plasmas were studied by infrared absorption spectroscopy and mass spectrometry .The complementarity of these diagnostics allowed to show that the dominant species in gaseous phase come from the homogeneous reaction between oxygen and the radical CH{sub x} (with x 1,2 and 3), abundantly produced in the plasma. Two principal pathways were shown to occur. A first way leads to hydrocarbon formation such as methane (CH{sub 4}) and acetylene (C{sub 2}H{sub 2}), whose partial pressures are close to 2 %. A second way leads to the formation of molecules from the combustion of CH{sub x}, such as formaldehyde (CH{sub 2}O), formic acid (CH{sub 2}O{sub 2}), carbon monoxide (CO), carbon dioxide (CO{sub 2}) and water. Moreover it is shown that the CO{sub 2} results from a heterogeneous reaction between the carbon on the surfaces and the oxygen coming from the plasma. At low dilution conditions, the partial pressures of CO and CO{sub 2} were estimated at 25 and 10 % of the total pressure respectively. In argon or helium diluted HMDSO plasmas, methane, acetylene and hydrogen are the main stable molecules produced in the gaseous

  15. Thin TiO2 films deposited by implantation and sputtering in RF inductively coupled plasmas

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Barocio, S R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2012-01-01

    The achievement of titanium dioxide (TiO 2 ) thin films in the rutile crystalline phase is reported. The samples result from the implantation of oxygen ions of Ti in argon/oxygen plasma generated by inductively coupled RF at a commercial 13.56 MHz frequency. Simultaneously, a sputtering process is conducted on the titanium target in order to produce TiO 2 thin films in the anatase phase over silicon and glass substrates. Both implantation and sputtering processes shared the same 500 W plasma with the target, polarized between 0 and -3 kV. The substrates were placed between 2 and 3 cm from the target, this distance being found to be determinant of the TiO 2 deposition rate. The rutile phase in the target was obtained at temperatures in the order of 680 degrees C and the anatase (unbiased) one at about 300 degrees C without any auxiliary heating. The crystalline phases were characterized by x ray diffraction and Raman spectroscopy. The morphology and average roughness were established by means of scanning electronic and atomic force microscopy, whereas the reaction products generated during the oxidation process were analyzed by mass spectrometry. Finally, the stoichiometric composition was measured by means of X-ray photoelectron spectroscopy.

  16. Etching of UO2 in NF3 RF Plasma Glow Discharge

    Energy Technology Data Exchange (ETDEWEB)

    Veilleux, John M. [Univ. of California, Berkeley, CA (United States)

    1999-08-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO2 from stainless steel substrates. Experiments were conducted using NF3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Depleted UO2 samples each containing 129.4 Bq were prepared from 100 microliter solutions of uranyl nitrate hexahydrate solution. The amorphous UO2 in the samples had a relatively low density of 4.8 gm/cm3. Counting of the depleted UO2 on the substrate following plasma immersion was performed using liquid scintillation counting with alpha/beta discrimination due to the presence of confounding beta emitting daughter products, 234Th and 234Pa. The alpha emission peak from each sample was integrated using a gaussian and first order polynomial fit to improve quantification. The uncertainties in the experimental measurement of the etched material were estimated at about ± 2%. Results demonstrated that UO2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 μm/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO2 etching was also noted below 50 W in which etching increased up to a maximum pressure, ~23 Pa, then decreased with further increases in pressure.

  17. Pressurized rf cavities in ionizing beams

    Directory of Open Access Journals (Sweden)

    B. Freemire

    2016-06-01

    Full Text Available A muon collider or Higgs factory requires significant reduction of the six dimensional emittance of the beam prior to acceleration. One method to accomplish this involves building a cooling channel using high pressure gas filled radio frequency cavities. The performance of such a cavity when subjected to an intense particle beam must be investigated before this technology can be validated. To this end, a high pressure gas filled radio frequency (rf test cell was built and placed in a 400 MeV beam line from the Fermilab linac to study the plasma evolution and its effect on the cavity. Hydrogen, deuterium, helium and nitrogen gases were studied. Additionally, sulfur hexafluoride and dry air were used as dopants to aid in the removal of plasma electrons. Measurements were made using a variety of beam intensities, gas pressures, dopant concentrations, and cavity rf electric fields, both with and without a 3 T external solenoidal magnetic field. Energy dissipation per electron-ion pair, electron-ion recombination rates, ion-ion recombination rates, and electron attachment times to SF_{6} and O_{2} were measured.

  18. Impurity Control Test Facility (ICTF) for the study of fusion reactor plasma/edge materials interactions

    International Nuclear Information System (INIS)

    Brooks, J.N.; Mattas, R.F.; Ehst, D.A.; Boley, C.D.; Hershkowitz, N.

    1984-05-01

    A test facility for investigating many of the impurity control issues associated with the interactions of materials with the plasma edge is outlined. Analysis indicates that the plasma edge conditions expected in TFCX, INTOR, etc. can be readily produced at the end cells of an rf stabilized mirror, similar in some respects to the Phaedrus device at the University of Wisconsin. A steady-state, Impurity Control Test Facility (ICTF) based on such a mirror device is expected to produce a plasma with typical parameters of n/sub e/ approx. 3 x 10 18 m -3 , T/sub e/ = 50 eV, and T/sub i/ = 100 eV at each end cell. A heat load of approx. 2 MW/m 2 over areas of approx. 1600 cm 2 could be produced at each end with 800 kW of ICRH power. These conditions would provide a unique capability for examining issues such as erosion/redeposition behavior, properties of redeposited materials, high recycling regimes, plasma edge operating limits for high-Z materials, and particle pumping efficiencies for limiter and divertor designs

  19. Structural, optical and electrical peculiarities of r.f. plasma sputtered indium tin oxide films

    International Nuclear Information System (INIS)

    Boycheva, Sylvia; Sytchkova, Anna Krasilnikova; Grilli, Maria Luisa; Piegari, Angela

    2007-01-01

    In this work the influence of the deposition conditions on the structural, electrical and optical properties of the ITO films was studied. Films were deposited by r.f. plasma sputtering technique in Ar and varying Ar + O 2 gas mixtures, with and without substrate heating. Transmittance and reflectance of the films were measured in the range 350-2500 nm; the refractive index (n) and the extinction coefficient (k) were calculated by the spectral data simulation. The sheet resistance of the films was measured by four-point probe method. X-ray diffraction analysis was performed to study the texture of the films. Threshold behaviour was observed in the optical and electrical properties of ITO films deposited in Ar + O 2 atmosphere at a certain oxygen concentration determined by a fix combination of all other deposition conditions. A schematic diagram for the change of the film properties versus composition was suggested, which explains the obtained results

  20. Influence Of Intensive Exercise On Renal Functions (Rf And Advanced Glycation End-Products (Ages

    Directory of Open Access Journals (Sweden)

    Miroslav Mydlík

    2012-06-01

    In conclusion, RF abnormalities in runners were caused by dehydration, protein catabolism, rhabdomyolysis and others. These RF changes were not present or parameters not significantly differed from initial values 2 days after both runs. Plasma AGEs and AOPPs in runners were in reference ranges, no significant changes during the both runs were observed.

  1. Deposition of nanostructured fluorocarbon plasma polymer films by RF magnetron sputtering of polytetrafluoroethylene

    Energy Technology Data Exchange (ETDEWEB)

    Kylian, Ondrej, E-mail: ondrej.kylian@gmail.com; Drabik, Martin; Polonskyi, Oleksandr; Cechvala, Juraj; Artemenko, Anna; Gordeev, Ivan; Choukourov, Andrei; Matolinova, Iva; Slavinska, Danka; Biederman, Hynek, E-mail: bieder@kmf.troja.mff.cuni.cz

    2011-07-29

    The RF magnetron sputtering of polytetrafluoroethylene target is studied with the aim to find out conditions leading to the deposition of super-hydrophobic thin films. It is shown that such coatings can be prepared at elevated pressures and a longer distance between the sputtered target and the substrate. This is explained by an increase in the density of longer C{sub x}F{sub y} molecules that reach the substrate and a lower flux of ions and CF{sub 2} radicals on the surface of growing film under such deposition conditions, as observed by optical emission spectroscopy and mass spectrometry. Such changes in plasma composition result in a deposition of rough films having F/C ratio close to 2 as observed by scanning electron microscopy and X-ray photoelectron spectroscopy, respectively. These findings clearly distinguish our results from the previous investigations of polytetrafluoroethylene sputtering performed at shorter distances from the target, where either low F/C ratio or low roughness of the deposited films did not allow reaching super-hydrophobic character of the coatings.

  2. Profiles of plasma parameters and density of negative hydrogen ions by laser detachment measurements in RF-driven ion sources; Profile der Plasmaparameter und Dichte negativer Wasserstoffionen mittels Laserdetachmentmessungen in HF-angeregten Ionenquellen

    Energy Technology Data Exchange (ETDEWEB)

    Christ-Koch, Sina

    2007-12-20

    This work shows the application of the Laserdetachment method for spatially resolved measurements of negative Hydrogen/Deuterium ion density. It was applied on a high power low pressure RF-driven ion source. The Laser detachment method is based on the measurement of electron currents on a positively biased Langmuir probe before and during/after a laser pulse. The density ratio of negative ions to electrons can be derived from the ratio of currents to the probe. The absolute density of negative ions can be obtained when the electron density is measured with the standard Langmuir probe setup. Measurements with the Langmuir probe additionally yield information about the floating and plasma potential, the electron temperature and the density of positive ions. The Laser detachment setup had to be adapted to the special conditions of the RF-driven source. In particular the existence of RF fields (1 MHz), high source potential (-20 kV), magnetic fields ({proportional_to} 7 mT) and caesium inside the source had to be considered. The density of negative ions could be identified in the range of n(H{sup -})=1.10{sup 17} 1/m{sup 3}, which is in the same order of magnitude as the electron density. Only the application of the Laser detachment method with the Langmuir probe measurements will yield spatially resolved plasma parameters and H- density profiles. The influence of diverse external parameters, such as pressure, RF-power, magnetic fields on the plasma parameters and their profiles were studied and explained. Hence, the measurements lead to a detailed understanding of the processes inside the source. (orig.)

  3. Determining the microwave coupling and operational efficiencies of a microwave plasma assisted chemical vapor deposition reactor under high pressure diamond synthesis operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Nad, Shreya [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States); Department of Physics and Astronomy, Michigan State University, East Lansing, Michigan 48824 (United States); Gu, Yajun; Asmussen, Jes [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States)

    2015-07-15

    The microwave coupling efficiency of the 2.45 GHz, microwave plasma assisted diamond synthesis process is investigated by experimentally measuring the performance of a specific single mode excited, internally tuned microwave plasma reactor. Plasma reactor coupling efficiencies (η) > 90% are achieved over the entire 100–260 Torr pressure range and 1.5–2.4 kW input power diamond synthesis regime. When operating at a specific experimental operating condition, small additional internal tuning adjustments can be made to achieve η > 98%. When the plasma reactor has low empty cavity losses, i.e., the empty cavity quality factor is >1500, then overall microwave discharge coupling efficiencies (η{sub coup}) of >94% can be achieved. A large, safe, and efficient experimental operating regime is identified. Both substrate hot spots and the formation of microwave plasmoids are eliminated when operating within this regime. This investigation suggests that both the reactor design and the reactor process operation must be considered when attempting to lower diamond synthesis electrical energy costs while still enabling a very versatile and flexible operation performance.

  4. Low and intermediate level radioactive waste processing in plasma reactor

    International Nuclear Information System (INIS)

    Sauchyn, V.; Khvedchyn, I.; Van Oost, G.

    2013-01-01

    Methods of low and intermediate level radioactive waste processing comprise: cementation, bituminization, curing in polymer matrices, combustion and pyrolysis. All these methods are limited in their application in the field of chemical, morphological, and aggregate composition of material to be processed. The thermal plasma method is one of the universal methods of RAW processing. The use of electric-arc plasma with mean temperatures 2000 - 8000 K can effectively carry out the destruction of organic compounds into atoms and ions with very high speeds and high degree of conversion. Destruction of complex substances without oxygen leads to a decrease of the volume of exhaust gases and dimension of gas cleaning system. This paper presents the plasma reactor for thermal processing of low and intermediate level radioactive waste of mixed morphology. The equipment realizes plasma-pyrolytic conversion of wastes and results in a conditioned product in a single stage. As a result, the volume of conditioned waste is significantly reduced (more than 10 times). Waste is converted into an environmentally friendly form that suits long-term storage. The leaching rate of macro-components from the vitrified compound is less than 1.10 -7 g/(cm 2 .day). (authors)

  5. Safety analyses for transient behavior of plasma and in-vessel components during plasma abnormal events in fusion reactor

    International Nuclear Information System (INIS)

    Honda, Takuro; Okazaki, Takashi; Bartels, H.W.; Uckan, N.A.; Seki, Yasushi.

    1997-01-01

    Safety analyses on plasma abnormal events have been performed using a hybrid code of a plasma dynamics model and a heat transfer model of in-vessel components. Several abnormal events, e.g., increase in fueling rate, were selected for the International Thermonuclear Experimental Reactor (ITER) and transient behavior of the plasma and the invessel components during the events was analyzed. The physics model for safety analysis was conservatively prepared. In most cases, the plasma is terminated by a disruption or it returns to the original operation point. When the energy confinement improves by a factor of 2.0 in the steady state, which is a hypothetical assumption under the present plasma data, the maximum fusion power reaches about 3.3 GW at about 3.6 s and the plasma is terminated due to a disruption. However, the results obtained in this study show the confinement boundary of ITER can be kept almost intact during the abnormal plasma transients, as long as the cooling system works normally. Several parametric studies are needed to comprehend the overpower transient including structure behavior, since many uncertainties are connected to the filed of the plasma physics. And, future work will need to discuss the burn control scenario considering confinement mode transition, system specifications, experimental plans and safety regulations, etc. to confirm the safety related to the plasma anomaly. (author)

  6. Simultaneous in situ measurements of properties of particulates in rf silane plasmas using a polarization-sensitive laser-light-scattering method

    Science.gov (United States)

    Shiratani, Masaharu; Kawasaki, Hiroharu; Fukuzawa, Tsuyoshi; Yoshioka, Takashi; Ueda, Yoshio; Singh, Sanjay; Watanabe, Yukio

    1996-01-01

    A polarization-sensitive laser-light-scattering method is developed for simultaneous in situ measurements of properties (size, size dispersion, density, and refractive index) of particulates formed in processing plasmas. The developed system is applied to observe the growth processes of particulates in a range of their size larger than about 10 nm in rf silane plasmas. A size, a size dispersion (logarithm of a standard deviation of size), a density, and a refractive index of particulates in the plasmas are found to be 10-200 nm, about 0.1, 107-109 cm-3 and about 3-5i, respectively. The former three of such values agree fairly well with ones deduced from scanning electron microscopic (SEM) observation. These particulates grow through three phases of nucleation and initial growth, rapid growth, and growth saturation. Coexistence of two size groups of particulates with narrow size dispersions during and after the rapid growth phase verified by the SEM observation may be explained by a model taking into account coagulation between oppositely charged particulates.

  7. Time-Domain Simulation of RF Couplers

    International Nuclear Information System (INIS)

    Smithe, David; Carlsson, Johan; Austin, Travis

    2009-01-01

    We have developed a finite-difference time-domain (FDTD) fluid-like approach to integrated plasma-and-coupler simulation [1], and show how it can be used to model LH and ICRF couplers in the MST and larger tokamaks.[2] This approach permits very accurate 3-D representation of coupler geometry, and easily includes non-axi-symmetry in vessel wall, magnetic equilibrium, and plasma density. The plasma is integrated with the FDTD Maxwell solver in an implicit solve that steps over electron time-scales, and permits tenuous plasma in the coupler itself, without any need to distinguish or interface between different regions of vacuum and/or plasma. The FDTD algorithm is also generalized to incorporate a time-domain sheath potential [3] on metal structures within the simulation, to look for situations where the sheath potential might generate local sputtering opportunities. Benchmarking of the time-domain sheath algorithm has been reported in the references. Finally, the time-domain software [4] permits the use of particles, either as field diagnostic (test particles) or to self-consistently compute plasma current from the applied RF power.

  8. Design of a helicon plasma source for ion–ion plasma production

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, N., E-mail: narayan.sharma@cppipr.res.in; Chakraborty, M.; Neog, N.K.; Bandyopadhyay, M.

    2017-04-15

    Highlights: • Development of a helicon plasma system to carry out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. • Determination of initial parameters of helicon plasma source for ion–ion plasma by using dispersion relation of bounded helicon waves. • Design and development of solenoid with magnetic field strength production capability of ∼ 600 G along the axis of the chamber. • Optimization of the chamber parameters using Helic codes and estimation of optimum attainable density. • Estimation of RF power requirements for various gases. - Abstract: A helicon plasma system is being designed and developed at CPP-IPR. The design parameters of the system are deduced from the dispersion relation of bounded helicon waves and the required magnetic fields are simulated by using Poisson Superfish code. The Helic code is used to simulate the power deposition profile for various conditions and to investigate the optimum values of chamber parameters for effective coupling of radio frequency (RF) power to plasma. The helicon source system is aimed at carrying out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. The system mainly consists of a source chamber in which helicon plasma will be produced by injecting RF power at a frequency of 13.56 MHz through a right helical antenna in presence of a DC magnetic field followed by an expansion chamber in which it is expected to produce negative ions along with the positive ions. Installation of the various parts of the system is in progress. The details of the design and development of the system is presented in this article.

  9. Plasma-materials interaction issues for the International Thermonuclear Experimental Reactor (ITER)

    International Nuclear Information System (INIS)

    Cohen, S.A.; Werley, K.A.

    1992-02-01

    Analysis of proposed operating scenarios for the International Thermonuclear Experimental Reactor has yielded predictions for the power and particle fluxes onto the material surfaces facing the plasma. The particles, mostly deuterium, tritium, and helium ions, would have energies in the range of 50--2000 eV and fluxes up to 5 x 10 23 /m 2 s. Lower fluxes of multi-MeV electrons and alpha particles may also strike the plasma-facing surfaces, primarily during transient events. The peak power fluxes onto the plasma-facing surfaces during normal operation are expected to be 5--100 MW/m 2 , but much higher during transient events. At the extreme conditions expected for steady-state operation, commonly used heat-removal structures are unable to withstand either the high sputter erosion rates or power loads. To reduce the time-averaged power flux, active control of the plasma position is specified to sweep the plasma heat load across larger areas of plasma-facing components. However, the cyclic heat load creates fatigue lifetime problems. Solutions to these lifetime and reliability problems by (1) changes in machine design and operation, (2) redeposition mechanisms, and (3) changes in materials, will be discussed. A proposed accelerated-life test facility for prototype divertor plate development is described

  10. Effect of antenna capacitance on the plasma characteristics of an internal linear inductively coupled plasma system

    International Nuclear Information System (INIS)

    Lim, Jong Hyeuk; Kim, Kyong Nam; Park, Jung Kyun; Yeom, Geun Young

    2008-01-01

    This study examined the effect of the antenna capacitance of an inductively coupled plasma (ICP) source, which was varied using an internal linear antenna, on the electrical and plasma characteristics of the ICP source. The inductive coupling at a given rf current increased with decreasing antenna capacitance. This was caused by a decrease in the inner copper diameter of the antenna made from coaxial copper/quartz tubing, which resulted in a higher plasma density and lower plasma potential. By decreasing the diameter of the copper tube from 25 to 10 mm, the plasma density of a plasma source size of 2750x2350 mm 2 was increased from approximately 8x10 10 /cm 3 to 1.5x10 11 /cm 3 at 15 mTorr Ar and 9 kW of rf power

  11. Radio Frequency Plasma Applications for Space Propulsion

    International Nuclear Information System (INIS)

    Baity, F.W. Jr.; Barber, G.C.; Carter, M.D.; Chang-Diaz, F.R.; Goulding, R.H.; Ilin, A.V.; Jaeger, E.F.; Sparks, D.O.; Squire, J.P.

    1999-01-01

    Recent developments in solid-state radio frequency (RF) power technologies allow for the practical consideration of RF heated plasmas for space propulsion. These technologies permit the use of any electrical power source, de-couple the power and propellant sources, and allow for the efficient use of both the propellant mass and power. Efficient use of the propellant is obtained by expelling the rocket exhaust at the highest possible velocity, which can be orders of magnitude higher than those achieved in chemical rockets. Handling the hot plasma exhaust requires the use of magnetic nozzles, and the basic physics of ion detachment from the magnetic eld is discussed. The plasma can be generated by RF using helicon waves to heat electrons. Further direct heating of the ions helps to reduce the line radiation losses, and the magnetic geometry is tailored to allow ion cyclotron resonance heating. RF eld and ion trajectory calculations are presented to give a reasonably self-consistent picture of the ion acceleration process

  12. Reconstruction of the time-averaged sheath potential profile in an argon RF plasma using the ion energy distribution

    International Nuclear Information System (INIS)

    Fivaz, M.; Brunner, S.; Schwarzenbach, W.; Howling, A.A.; Hollenstein, C.

    1994-10-01

    Charge-exchange collisions and radio-frequency excitation combine to give peaks in the ion energy distribution measured at the ground electrode of an argon plasma in a capacitive reactor. These peaks are used as a diagnostic to reconstruct the profile of the time-averaged potential in the sheath. Particle-In-Cell simulations show that the method is accurate. The method is applied to investigate the sheath thickness as a function of excitation frequency at constant plasma power. The time-averaged potential is found to be parabolic in both experimental measurements and numerical simulation. (author) 6 figs., 1 tab., 15 refs

  13. Plasma position control in a tokamak reactor around ignition

    International Nuclear Information System (INIS)

    Carretta, U.; Minardi, E.; Bacelli, N.

    1986-01-01

    Plasma position control in a tokamak reactor in the phase approaching ignition is closely related to burn control. If ignited burn corresponds to a thermally unstable situation the plasma becomes sensitive to the thermal instability already in the phase when ignition is approached so that the trajectory in the position-pressure (R,p) space becomes effectively unpredictable. For example, schemes involving closed cycles around ignition can be unstable in the heating-cooling phases, and the deviations may be cumulative in time. Reliable plasma control in pressure-position (p, R) space is achieved by beforehand constraining the p, R trajectory rigidly with suitable feedback vertical field stabilization, which is to be established already below ignition. A scheme in which ignition is approached in a stable and automatic way by feedback stabilization on the vertical field is proposed and studied in detail. The values of the gain coefficient ensuring stabilization and the associated p and R excursions are discussed both analytically, with a 0-D approximation including non-linear effects, and numerically with a 1-D code in cylindrical geometry. Profile effects increase the excursions, in particular above ignition. (author)

  14. Study of oxygen diluted silane plasmas applied for the deposition of silicium oxyde

    International Nuclear Information System (INIS)

    Magni, D.

    2001-09-01

    Plasma enhanced chemical vapour deposition of thin films such as silicon dioxide is used in many applications such as the insulator production in semiconductor technology or anticorrosion coating in packaging industry as a substitute for aluminium which is less ecological. Oxygen diluted silane plasmas are often utilized to produce SiO 2 film, but the tendency is to work with organosilicon precursors such as HMDSO (hexamethyldisiloxane ) described as non-toxic and requiring less stringent safety and costly installation. In this study, the species in gaseous phase and the powder produced in oxygen-diluted HMDSO plasmas were experimentally characterized in a radiofrequency (RF) capacitively-coupled reactor at 13.56 MHz. Some aspects of plasma enhanced deposition of SiO 2 were studied in a RF magnetron reactor . The gaseous phase of the oxygen-diluted plasmas were studied by infrared absorption spectroscopy and mass spectrometry .The complementarity of these diagnostics allowed to show that the dominant species in gaseous phase come from the homogeneous reaction between oxygen and the radical CH x (with x 1,2 and 3), abundantly produced in the plasma. Two principal pathways were shown to occur. A first way leads to hydrocarbon formation such as methane (CH 4 ) and acetylene (C 2 H 2 ), whose partial pressures are close to 2 %. A second way leads to the formation of molecules from the combustion of CH x , such as formaldehyde (CH 2 O), formic acid (CH 2 O 2 ), carbon monoxide (CO), carbon dioxide (CO 2 ) and water. Moreover it is shown that the CO 2 results from a heterogeneous reaction between the carbon on the surfaces and the oxygen coming from the plasma. At low dilution conditions, the partial pressures of CO and CO 2 were estimated at 25 and 10 % of the total pressure respectively. In argon or helium diluted HMDSO plasmas, methane, acetylene and hydrogen are the main stable molecules produced in the gaseous phase. Particle formation in oxygen-diluted HMDSO

  15. Preliminary study on power balance in the plasma of an experimental fusion reactor

    International Nuclear Information System (INIS)

    Tone, Tatsuzo; Yamato, Harumi

    1976-03-01

    The preliminary study on power balance in the plasma is described in the first-stage design of an experimental fusion reactor. The purpose is to show the ranges of plasma parameters for the design output of about 100 MW with an injection power less than 50 MW. The impurity is permitted to the extent of Zsub(eff) -- 5 to meet the design requirement. Influences of the uncertainty in scaling law on the power output and injection power are discussed, and also possibility of the self-ignition. (auth.)

  16. Development of nanocrystalline Indium Tin Oxide (ITO) thin films using RF-magnetron sputtering

    International Nuclear Information System (INIS)

    Tamilselvan, N.; Thilakan, Periyasamy

    2013-01-01

    ITO thin films have been deposited on glass substrate using RF Magnetron puttering Technique from the pre-synthesized ITO target. The sputtering parameters such as the deposition temperature, gas composition and the RF power densities were varied. X-ray diffraction studies revealed that the crystallization of the films is mostly depending on the RF power density and substrate temperature. Crystallized films exhibited a change in the preferred orientation from (111) plane to (100) plane at specific conditions such as high RF power density and high oxygen mixing to the plasma. Change in the film microstructure and a shift in the optical bandgap were recorded from the SEM and UV-Visible measurements respectively. (author)

  17. Thermal responses of tokamak reactor first walls during cyclic plasma burns

    International Nuclear Information System (INIS)

    Smith, D.L.; Charak, I.

    1978-01-01

    The CINDA-3G computer code has been adapted to analyze the thermal responses and operating limitations of two fusion reactor first-wall concepts under normal cyclic operation. A component of an LMFBR computer code has been modified and adapted to analyze the ablative behavior of first-walls after a plasma disruption. The first-wall design concepts considered are a forced-circulation water-cooled stainless steel panel with and without a monolithic graphite liner. The thermal gradients in the metal wall and liner have been determined for several burn-cycle scenarios and the extent of surface ablation that results from a plasma disruption has been determined for stainless steel and graphite first surfaces

  18. Thermal responses of tokamak reactor first walls during cyclic plasma burns

    International Nuclear Information System (INIS)

    Smith, D.L.; Charak, I.

    1977-01-01

    The CINDA-3G computer code has been adapted to analyze the thermal responses and operating limitations of two fusion reactor first-wall concepts under normal cyclic operation. A component of an LMFBR computer has been modified and adapted to analyze the ablative behavior of first-walls after a plasma disruption. The first-wall design concepts considered are a forced-circulation water-cooled stainless steel panel with and without a monolithic graphite liner. The thermal gradients in the metal wall and liner have been determined for several burn-cycle scenarios and the extent of surface ablation that results from a plasma disruption has been determined for stainless steel and graphite first surfaces

  19. Design of power supply system for the prototype RF-driven negative ion source for neutral beam injection application

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Caichao; Hu, Chundong [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Graduate school, University of Science and Technology of China, Hefei 230026 (China); Wei, Jianglong, E-mail: jlwei@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Xie, Yahong; Xu, Yongjian; Liang, Lizhen; Chen, Shiyong; Liu, Sheng; Liu, Zhimin; Xie, Yuanlai [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China)

    2017-04-15

    Highlights: • A supporting power supply system was designed in details for a RF-driven prototype negative ion source at ASIPP. • The RF power supply for plasma generation adopts an all-solid-state power supply structure. • The extraction grid power supply adopts the pulse step modulator (PSM) technology. - Abstract: In order to study the generation and extraction of negative ions for neutral beam injection application, a prototype RF-driven negative ion source and the corresponding test bed are under construction at Institute of Plasma Physics, Chinese Academy of Sciences (ASIPP). The target of the negative ion source is extracting a negation ion beam of 350 A/m{sup 2} for 3600 s plasma duration and 100 s beam duration. According to the required parameters of test bed, the design of power supply system is put forward for earlier study. In this paper, the performance requirements and design schemes of RF power supply for plasma generation, impedance matching network, bias voltage power supply, and extraction voltage power supply for negative beam extraction are introduced in details. The schemes provide a reference for the construction of power supply system and lay a foundation for the next phase of experimental operation.

  20. Dynamic behavior of detached recombining plasmas during ELM-like plasma heat pulses in the divertor plasma simulator NAGDIS-II

    International Nuclear Information System (INIS)

    Uesugi, Y.; Hattori, N.; Nishijima, D.; Ohno, N.; Takamura, S.

    2001-01-01

    It has been recognized that the ELMs associated with a good confinement at the edge, such as H-mode, must bring an enormous energy to the divertor target plate through SOL and detached plasmas. The understanding of the ELM energy transport through SOL to the divertor target is rather poor at the moment, which leads to an ambiguous estimation of the deposited heat load on the divertor target in ITER. In the present work the ELM-like plasma heat pulse is generated by rf heating in a linear divertor plasma simulator. Energetic electrons with an energy range 10-40 eV are effectively generated by rf heating in low temperature plasmas with (T e )< ∼1 eV. It is observed experimentally that the energetic electrons ionize the highly excited Rydberg atoms quickly, bringing a rapid increase of the ion particle flux to the target, and make the detached plasmas attached to the target. Detailed physical processes about the interaction between the heat pulse with conduction and convection, and detached recombining plasmas are discussed

  1. Study of electron current extraction from a radio frequency plasma cathode designed as a neutralizer for ion source applications

    Energy Technology Data Exchange (ETDEWEB)

    Jahanbakhsh, Sina, E-mail: sinajahanbakhsh@gmail.com; Satir, Mert; Celik, Murat [Department of Mechanical Engineering, Bogazici University, Istanbul 34342 (Turkey)

    2016-02-15

    Plasma cathodes are insert free devices that are developed to be employed as electron sources in electric propulsion and ion source applications as practical alternatives to more commonly used hollow cathodes. Inductively coupled plasma cathodes, or Radio Frequency (RF) plasma cathodes, are introduced in recent years. Because of its compact geometry, and simple and efficient plasma generation, RF plasma source is considered to be suitable for plasma cathode applications. In this study, numerous RF plasma cathodes have been designed and manufactured. Experimental measurements have been conducted to study the effects of geometric and operational parameters. Experimental results of this study show that the plasma generation and electron extraction characteristics of the RF plasma cathode device strongly depend on the geometric parameters such as chamber diameter, chamber length, orifice diameter, orifice length, as well as the operational parameters such as RF power and gas mass flow rate.

  2. Final Report: Safety of Plasma Components and Aerosol Transport During Hard Disruptions and Accidental Energy Release in Fusion Reactor

    Energy Technology Data Exchange (ETDEWEB)

    Bourham, Mohamed A.; Gilligan, John G.

    1999-08-14

    Safety considerations in large future fusion reactors like ITER are important before licensing the reactor. Several scenarios are considered hazardous, which include safety of plasma-facing components during hard disruptions, high heat fluxes and thermal stresses during normal operation, accidental energy release, and aerosol formation and transport. Disruption events, in large tokamaks like ITER, are expected to produce local heat fluxes on plasma-facing components, which may exceed 100 GW/m{sup 2} over a period of about 0.1 ms. As a result, the surface temperature dramatically increases, which results in surface melting and vaporization, and produces thermal stresses and surface erosion. Plasma-facing components safety issues extends to cover a wide range of possible scenarios, including disruption severity and the impact of plasma-facing components on disruption parameters, accidental energy release and short/long term LOCA's, and formation of airborne particles by convective current transport during a LOVA (water/air ingress disruption) accident scenario. Study, and evaluation of, disruption-induced aerosol generation and mobilization is essential to characterize database on particulate formation and distribution for large future fusion tokamak reactor like ITER. In order to provide database relevant to ITER, the SIRENS electrothermal plasma facility at NCSU has been modified to closely simulate heat fluxes expected in ITER.

  3. Atmospheric-pressure-plasma-enhanced fabrication of nonfouling nanocoatings for 316 stainless steel biomaterial interfaces

    Science.gov (United States)

    Huang, Chun; Lin, Jin-He; Li, Chi-Heng; Yu, I.-Chun; Chen, Ting-Lun

    2018-03-01

    Atmospheric-pressure plasma, which was generated with electrical RF power, was fed to a tetramethyldisiloxane/argon gas mixture to prepare bioinert organosilicon coatings for 316 stainless steel. The surface characteristics of atmospheric-pressure-plasma-deposited nanocoatings were evaluated as a function of RF plasma power, precursor gas flow, and plasma working distance. After surface deposition, the chemical features, elemental compositions, and surface morphologies of the organosilicon nanocoatings were examined. It was found that RF plasma power and plasma working distance are the essential factors that affect the formation of plasma-deposited nanocoatings. Fourier transform infrared spectroscopy spectra indicate that the atmospheric-pressure-plasma-deposited nanocoatings formed showed inorganic features. Atomic force microscopy analysis showed the surface roughness variation of the plasma-deposited nanocoating at different RF plasma powers and plasma working distances during surface treatment. From these surface analyses, it was found that the plasma-deposited organosilicon nanocoatings under specific operational conditions have relatively hydrophobic and inorganic characteristics, which are essential for producing an anti-biofouling interface on 316 stainless steel. The experimental results also show that atmospheric-pressure-plasma-deposited nanocoatings have potential use as a cell-resistant layer on 316 stainless steel.

  4. Fungicidal Effects of Plasma and Radio-Wave Pre-treatments on Seeds of Grain Crops and Legumes

    Science.gov (United States)

    Filatova, Irina; Azharonok, Viktor; Shik, Alexander; Antoniuk, Alexandra; Terletskaya, Natalia

    An influence of RF plasma and RF electromagnetic field pre-treatments on level of fungal infection of some important agricultural plants has been studied. It is shown that pre-sowing plasma and radio-wave seeds treatments contribute to their germination enhancement and plant productivity improvement owing to stimulative and fungicidal effect of plasma and RF electromagnetic field irradiation.

  5. Photoemission starting of induction rf-driven multicusp ion sources

    International Nuclear Information System (INIS)

    Pickard, D.S.; Leung, K.N.; Perkins, L.T.; Ponce, D.M.; Young, A.T.

    1996-01-01

    It has been demonstrated that pulsed and continuous wave, rf-driven hydrogen discharges can be started with photoemission. The extracted H - current from a photoemission-started plasma has been investigated and does not differ significantly from that of a filament-started plasma. The minimum pressure for photoemissive starting was found to be higher than that of filament starting, 17 mTorr compared to 7 mTorr, respectively, in this particular configuration. copyright 1996 American Institute of Physics

  6. Plasma treatment of bulk niobium surface for superconducting rf cavities: Optimization of the experimental conditions on flat samples

    Directory of Open Access Journals (Sweden)

    M. Rašković

    2010-11-01

    Full Text Available Accelerator performance, in particular the average accelerating field and the cavity quality factor, depends on the physical and chemical characteristics of the superconducting radio-frequency (SRF cavity surface. Plasma based surface modification provides an excellent opportunity to eliminate nonsuperconductive pollutants in the penetration depth region and to remove the mechanically damaged surface layer, which improves the surface roughness. Here we show that the plasma treatment of bulk niobium (Nb presents an alternative surface preparation method to the commonly used buffered chemical polishing and electropolishing methods. We have optimized the experimental conditions in the microwave glow discharge system and their influence on the Nb removal rate on flat samples. We have achieved an etching rate of 1.7  μm/min⁡ using only 3% chlorine in the reactive mixture. Combining a fast etching step with a moderate one, we have improved the surface roughness without exposing the sample surface to the environment. We intend to apply the optimized experimental conditions to the preparation of single cell cavities, pursuing the improvement of their rf performance.

  7. Numerical simulation of electromagnetic fields and impedance of CERN LINAC4 H(-) source taking into account the effect of the plasma.

    Science.gov (United States)

    Grudiev, A; Lettry, J; Mattei, S; Paoluzzi, M; Scrivens, R

    2014-02-01

    Numerical simulation of the CERN LINAC4 H(-) source 2 MHz RF system has been performed taking into account a realistic geometry from 3D Computer Aided Design model using commercial FEM high frequency simulation code. The effect of the plasma has been added to the model by the approximation of a homogenous electrically conducting medium. Electric and magnetic fields, RF power losses, and impedance of the circuit have been calculated for different values of the plasma conductivity. Three different regimes have been found depending on the plasma conductivity: (1) Zero or low plasma conductivity results in RF electric field induced by the RF antenna being mainly capacitive and has axial direction; (2) Intermediate conductivity results in the expulsion of capacitive electric field from plasma and the RF power coupling, which is increasing linearly with the plasma conductivity, is mainly dominated by the inductive azimuthal electric field; (3) High conductivity results in the shielding of both the electric and magnetic fields from plasma due to the skin effect, which reduces RF power coupling to plasma. From these simulations and measurements of the RF power coupling on the CERN source, a value of the plasma conductivity has been derived. It agrees well with an analytical estimate calculated from the measured plasma parameters. In addition, the simulated and measured impedances with and without plasma show very good agreement as well demonstrating validity of the plasma model used in the RF simulations.

  8. ICRF plasma production in Tore Supra: analysis of antenna coupling and plasma properties

    International Nuclear Information System (INIS)

    Beaumont, B.; Becoulet, A.; Lyssoivan, A.

    1999-01-01

    A study of RF plasma production frequency range ω. 2ω ci has been undertaken on Tore Supra taking into account antenna coupling predictions of theory and the TEXTOR-94 database. Two scenarios for RF discharges have been tested (fixed frequency of the RF generator): operation with pure toroidal magnetic field, at standard and lower B T and operation in the magnetic configuration with a small vertical (B V ) field superimposed on B T (B V T ). (authors)

  9. The experience with JET's combined dc/Rf glow discharge cleaning (GDC) system

    International Nuclear Information System (INIS)

    Pearce, R.J.H.; Andrew, P.; Bryan, S.

    1996-01-01

    The JET Tokamak was fitted with four new electrodes of novel design, each powered from individual computer controlled dc and RF supplies. Details of enhancements and problems from 15 months experience with the system are outlined. Experiments were performed to assess the effect of RF on the glow discharge characteristics, and to establish stable glow at low pressure and high voltage. JET combined RF/dc glow discharge cleaning (GDC) had no significant advantages over pure dc GDC, provided highly stable dc current control was obtained. In fact, the mechanically weak electrode inductor spiral required to allow RF posed a distinct disadvantage. The electrodes were converted to simple plates, following damage caused by halo currents during Tokamak plasma disruptions. The performance of these electrodes was assessed. Future developments in the JET GDC system are outlined. (Author)

  10. Multi-step capacitor discharges as an RF generator

    International Nuclear Information System (INIS)

    Hotta, Eiki; Yamamoto, Shunji; Ishii, Shozo; Hayashi, Izumi

    1979-01-01

    A variety of methods have been developed for large output radio frequency (RF) generators to heat and stabilize high temperature plasma. As the generators for this purpose, capacitor discharge, cable discharge, and oscillation with electronic tubes are considered. Here, a new RF generator is reported, which utilizes capacitor discharge to extract heavy current, and solves the difficulty of short duration by employing multistep discharges. The authors solved the problem of frequency decrease in capacitor discharge by cutting off the unnecessary capacitors reasonably from the load circuit, using the additional circuit for shunting current and vacuum gap switches. The vacuum gap switches and the trigger system are described together with the RF generator manufactured. The generator was fabricated to be rather compact for its large output and simple in circuitry as compared with conventional oscillator systems. The shortcomings are frequency variation and the improper phase of switching the next step in to cause instability, when the load change occurs. It would be difficult to operate the generator in a RF range of more than about 10 MHz due to jitter of the vacuum gap switches and others. (Wakatsuki, Y.)

  11. Fusion reactor problems

    International Nuclear Information System (INIS)

    Carruthers, R.

    It is pointed out that plasma parameters for a fusion reactor have been fairly accurately defined for many years, and the real plasma physics objective must be to find the means of achieving and maintaining these specifiable parameters. There is good understanding of the generic technological problems: breading blankets and shields, radiation damage, heat transfer and methods of magnet design. The required plasma parameters for fusion self-heated reactors are established at ntausub(E) approximately 2.10 14 cm -3 sec, plasma radius 1.5 to 3 m, wall loading 5 to 10 MW cm -2 , temperature 15 keV. Within this model plasma control by quasi-steady burn as a key problem is studied. It is emphasized that the future programme must interact more closely with engineering studies and should concentrate upon research which is relevant to reactor plasmas. (V.P.)

  12. Massachusetts Institute of Technology Plasma Fusion Center 1987--1988 report to the President

    International Nuclear Information System (INIS)

    1988-06-01

    During the past year, technical progress has been made in all Plasma Fusion Center (PFC) research programs. The Plasma Fusion Center is recognized as one of the leading university research laboratories in the physics and engineering aspects of magnetic confinement fusion. Its research programs have produced significant results on several fronts: the basic physics of high-temperature plasmas (plasmas theory, RF heating, free electron lasers, development of advanced diagnostics, and intermediate-scale experiments on the Versator tokamak and Constance mirror devices), major confinement results on the Alcator C tokamak, including pioneering investigations of the stability, heating, and confinement properties of plasmas at high densities, temperatures and magnetic fields, experiments on the medium-scale TARA tandem mirror, including the development of novel MHD stabilization techniques in axisymmetric geometry, and a broad program of fusion technology and engineering development that addresses problems in several critical subsystem areas (e.g., magnet systems, superconducting materials development, environmental and safety studies, advanced millimeter-wave source development, and system studies of fusion reactor design, operation, and technology requirements

  13. A new hybrid scheme for simulations of highly collisional RF-driven plasmas

    International Nuclear Information System (INIS)

    Eremin, Denis; Hemke, Torben; Mussenbrock, Thomas

    2016-01-01

    This work describes a new 1D hybrid approach for modeling atmospheric pressure discharges featuring complex chemistry. In this approach electrons are described fully kinetically using particle-in-cell/Monte-Carlo (PIC/MCC) scheme, whereas the heavy species are modeled within a fluid description. Validity of the popular drift-diffusion approximation is verified against a ‘full’ fluid model accounting for the ion inertia and a fully kinetic PIC/MCC code for ions as well as electrons. The fluid models require knowledge of the momentum exchange frequency and dependence of the ion mobilities on the electric field when the ions are in equilibrium with the latter. To this end an auxiliary Monte-Carlo scheme is constructed. It is demonstrated that the drift-diffusion approximation can overestimate ion transport in simulations of RF-driven discharges with heavy ion species operated in the γ mode at the atmospheric pressure or in all discharge simulations for lower pressures. This can lead to exaggerated plasma densities and incorrect profiles provided by the drift-diffusion models. Therefore, the hybrid code version featuring the full ion fluid model should be favored against the more popular drift-diffusion model, noting that the suggested numerical scheme for the former model implies only a small additional computational cost. (paper)

  14. Powder processing and spheroidizing with thermal inductively coupled plasma

    International Nuclear Information System (INIS)

    Nutsch, G.; Linke, P.; Zakharian, S.; Dzur, B.; Weiss, K.-H.

    2001-01-01

    Processing of advanced powder materials for the spraying industry is one of the most promising applications of the thermal RF inductively coupled plasma. By selecting the feedstock carefully and adjusting the RF plasma parameters, unique materials with high quality can be achieved. Powders injected in the hot plasma core emerge with modified shapes, morphology, crystal structure and chemical composition. Ceramic oxide powders such as Al 2 O 3 , ZrO 2 , SiO 2 are spheroidized with a high spheroidization rate. By using the RF induction plasma spheroidizing process tungsten melt carbide powders are obtained with a high spheroidization rate at high feeding rates by densification of agglomerated powders consisting of di-tungsten carbide and monocarbide with a definite composition. This kind of ball-like powders is particularly suited for wear resistant applications. (author)

  15. Experimental and numerical studies of microwave-plasma interaction in a MWPECVD reactor

    Directory of Open Access Journals (Sweden)

    A. Massaro

    2016-12-01

    Full Text Available This work deals with and proposes a simple and compact diagnostic method able to characterize the interaction between microwave and plasma without the necessity of using an external diagnostic tool. The interaction between 2.45 GHz microwave and plasma, in a typical ASTeX-type reactor, is investigated from experimental and numerical view points. The experiments are performed by considering plasmas of three different gas mixtures: H2, CH4-H2 and CH4-H2-N2. The two latter are used to deposit synthetic undoped and n-doped diamond films. The experimental setup equipped with a matching network enables the measurements of very low reflected power. The reflected powers show ripples due to the mismatching between wave and plasma impedance. Specifically, the three types of plasma exhibit reflected power values related to the variation of electron-neutral collision frequency among the species by changing the gas mixture. The different gas mixtures studied are also useful to test the sensitivity of the reflected power measurements to the change of plasma composition. By means of a numerical model, only the interaction of microwave and H2 plasma is examined allowing the estimation of plasma and matching network impedances and of reflected power that is found about eighteen times higher than that measured.

  16. RF start-up and sustainment experiments on the TST-2-K spherical tokamak

    International Nuclear Information System (INIS)

    Ejiri, A.; Takase, Y.; Kasahara, H.; Yamada, T.; Hanada, K.; Sato, K. N.; Zushi, H.; Nakamura, K.; Sakamoto, M.; Idei, H.; Hasegawa, M.; Iyomasa, A.; Imamura, N.; Esaki, K.; Kitaguchi, M.; Sasaki, K.; Hoshika, H.; Mitarai, O.; Nishino, N.

    2006-01-01

    Plasma start-up and sustainment without an inductive field have been studied in the TST-2-K spherical tokamak using high power RF sources (8.2 GHz/up to 170 kW). Steady state discharges with a plasma current of 4 kA were achieved. The line integrated density was about 3 x 10 17 m -2 and the electron temperature was 160 eV. A truncated equilibrium was introduced to reproduce magnetic measurements. It was found that a positive Pfirsch-Schlueter current in the open field line region at the outboard boundary makes a significant contribution to the current. Insensitivity of the current to variations in the vertical field and RF power variation was also found

  17. RF Reactive Magnetron Sputter Deposition of Silicon Sub-Oxides

    NARCIS (Netherlands)

    Hattum, E.D. van

    2007-01-01

    RF reactive magnetron plasma sputter deposition of silicon sub oxide E.D. van Hattum Department of Physics and Astronomy, Faculty of Sciences, Utrecht University The work described in the thesis has been inspired and stimulated by the use of SiOx layers in the direct inductive printing technology,

  18. Degree of dissociation measured by FTIR absorption spectroscopy applied to VHF silane plasmas

    International Nuclear Information System (INIS)

    Sansonnens, L.; Howling, A.A.; Hollenstein, C.

    1997-10-01

    In situ Fourier transform infrared (FTIR) absorption spectroscopy has been used to determine the fractional depletion of silane in a radio-frequency (rf) glow discharge. The technique used a simple single pass arrangement and was implemented in a large area industrial reactor for deposition of amorphous silicon. Measurements were made on silane plasmas for a range of excitation frequencies. It was observed that at constant plasma power, the fractional depletion increased from 35% at 13.56 MHz to 70% at 70 MHz. With a simple model based on the density continuity equations in the gas phase, it was shown that this increase is due to a higher dissociation rate and is largely responsible for the observed increase in the deposition rate with the frequency. (author) 5 figs., 30 refs

  19. Radio-frequency plasma spraying of ceramics

    International Nuclear Information System (INIS)

    Okada, T.; Hamatani, H.; Yoshida, T.

    1989-01-01

    This study was aimed at developing a novel spraying process using a radio-frequency (rf) plasma. Experiments of Al 2 O 3 and ZrO 2 - 8 wt% Y 2 O 3 spraying showed that the initial powder size was the most important parameter for depositing dense coatings. The optimum powder sizes of Al 2 O 3 and ZrO 2 - 8 wt% Y 2 O 3 were considered to be around 100 and 80 μm, respectively. The use of such large-size powders compared with those used by conventional dc plasma spraying made it possible to deposit adherent ceramics coatings of 150 to 300 μm on as-rolled SS304 substrates. It was also shown that low particle velocity of about 10 m/s, which is peculiar to rf plasma spraying, was sufficient for particle deformation, though it imposed a severe limitation on the substrate position. These experimental results prove that rf plasma spraying is an effective process and a strong candidate to open new fields of spraying applications

  20. Titanium dioxide (TIO2) thin film and plasma properties in RF magnetron sputtering

    International Nuclear Information System (INIS)

    Ali, Riyaz Ahmad Mohamed; Nayan, Nafarizal

    2013-01-01

    Lately, titanium dioxide (TiO 2 ) films with anatase crystalline property received numerous attentions as unique material properties. There are wide applications of TiO 2 thin film such as for photocatalytic application in solar cell. In the present study, radio frequency (RF) magnetron sputtering technique has been used to produce high dense, homogeneously controllable film layer at low deposition temperature using titanium (Ti) target. The diameter of the Ti target is 3 inch with fixed discharge power of 400W. Magnetron sputtering plasma has been produced in high purity 99.99% Argon (Ar) and 99.99% Oxygen (O 2 ) environment pressure ranging from 5 to 20 mTorr. The TiO2 were growth on silicon and glass substrates. Substrate temperature during deposition was kept constant at 400°C. The distance between target and substrate holder was maintain at 14 cm with rotation of 10 rotation-per-minutes. Our X-ray diffraction result, shows anatase crystalline successfully formed with characterization peaks of plane (101) at 2θ = 25.28°, plane (202) at 2θ = 48.05° and plane (211) at 2θ = 55.06°. In addition, it is our interest to study the plasma properties and optical spectrum of Ti, Ti+ , O- , ArM and Ar+ in the chamber during the deposition process. Result of emission line intensities, electron density and temperature from optical spectroscope and Langmuir probe will be discuss further during the workshop. This works were supported by Graduate Incentive Scheme of Universiti Tun Hussein Onn Malaysia (UTHM) and Fundamental Research Grant Scheme of Ministry of Higher Education, Malaysia. (author)