WorldWideScience

Sample records for resistance coefficients resistance

  1. Equivalent network for resistance and temperature coefficient of resistance versus temperature and composition of thick resistive films

    International Nuclear Information System (INIS)

    Kusy, A.

    1987-01-01

    Two types of elementary resistances in thick resistive films have been considered: (i) constriction resistance R/sub C/ determined by the bulk properties of conducting material and by the geometry of constriction, and (ii) barrier resistance R/sub B/ determined by the parameters of a thermally activated type of tunneling process and by the geometry of the metal-insulator-metal unit. On this basis a resistance network composed of a large number of the two types of resistances has been defined. The network has been considered as being equivalent to thick resistive film (TRF) from the point of view of the resistance and temperature coefficient of resistance (TCR). The parameters of this network have been evaluated by the computer-aided approximation of the experimental data found for RuO 2 -based TRFs. On the basis of the equations derived for the network as well as the results of the approximation process, it can be concluded that the small values of the network TCR result from the superposition of the TCR of the conducting component β/sub C/ and of the temperature coefficient of barrier resistance α/sub B/. In this superposition β/sub C/ is attenuated (by 1--2 orders of magnitude), while α/sub B/ is attenuated by only few percentages. The network has been found to be strongly barrier dominated

  2. Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet

    Science.gov (United States)

    Fallica, Roberto; Stowers, Jason K.; Grenville, Andrew; Frommhold, Andreas; Robinson, Alex P. G.; Ekinci, Yasin

    2016-07-01

    The dynamic absorption coefficients of several chemically amplified resists (CAR) and non-CAR extreme ultraviolet (EUV) photoresists are measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general, the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called chemical sensitivity to account for all the postabsorption chemical reaction ongoing in the resist, which also predicts a quantitative clearing volume and clearing radius, due to the photon absorption in the resist. These parameters may help provide deeper insight into the underlying mechanisms of the EUV concepts of clearing volume and clearing radius, which are then defined and quantitatively calculated.

  3. Determination of rolling resistance coefficient based on normal tyre stiffness

    Science.gov (United States)

    Rykov, S. P.; Tarasuyk, V. N.; Koval, V. S.; Ovchinnikova, N. I.; Fedotov, A. I.; Fedotov, K. V.

    2018-03-01

    The purpose of the article is to develop analytical dependence of wheel rolling resistance coefficient based on the mathematical description of normal tyre stiffness. The article uses the methods of non-holonomic mechanics and plane section methods. The article shows that the abscissa of gravity center of tyre stiffness expansion by the length of the contact area is the shift of normal road response. It can be used for determining rolling resistance coefficient. When determining rolling resistance coefficient using ellipsis and power function equations, one can reduce labor costs for testing and increase assessment accuracy.

  4. Determination of the Drag Resistance Coefficients of Different Vehicles

    Science.gov (United States)

    Fahsl, Christoph; Vogt, Patrik

    2018-01-01

    While it has been demonstrated how air resistance could be analyzed by using mobile devices, this paper demonstrates a method of how to determine the drag resistance coefficient "c" of a commercial automobile by using the acceleration sensor of a smartphone or tablet. In an academic context, the drag resistance is often mentioned, but…

  5. Determination of Flow Resistance Coefficient for Vegetation in Open Channel: Laboratory study

    Science.gov (United States)

    Aliza Ahmad, Noor; Ali, ZarinaMd; Arish, Nur Aini Mohd; Munirah Mat Daud, Azra; Fatin Amirah Alias, Nur

    2018-04-01

    This study focused on determination of flow resistances coefficient for grass in an open channel. Laboratory works were conducted to examine the effects of varying of roughness elements on the flume to determine flow resistance coefficient and also to determine the optimum flow resistance with five different flow rate, Q. Laboratory study with two type of vegetation which are Cow Grass and Pearl Grass were implementing to the bed of a flume. The roughness coefficient, n value is determine using Manning’s equation while Soil Conservation Services (SCS) method was used to determine the surface resistance. From the experiment, the flow resistance coefficient for Cow Grass in range 0.0008 - 0.0039 while Pearl Grass value for the flow resistance coefficient are in between 0.0013 - 0.0054. As a conclusion the vegetation roughness value in open channel are depends on density, distribution type of vegetation used and physical characteristic of the vegetation itself

  6. Determination of the drag resistance coefficients of different vehicles

    Science.gov (United States)

    Fahsl, Christoph; Vogt, Patrik

    2018-05-01

    While it has been demonstrated how air resistance could be analyzed by using mobile devices, this paper demonstrates a method of how to determine the drag resistance coefficient c of a commercial automobile by using the acceleration sensor of a smartphone or tablet. In an academic context, the drag resistance is often mentioned, but little attention is paid to quantitative measurements. This experiment was driven by the fact that this physical value is most certainly neglected because of its difficult measurability. In addition to that, this experiment gives insights on how the aerodynamic factor of an automobile affects the energy dissipation and thus how much power is required by automobile transportation.

  7. Bayesian inversion from sabine absorption coefficients to flow resistivity values for porous absorbers

    DEFF Research Database (Denmark)

    Jeong, Cheol-Ho

    2015-01-01

    to determine the flow resistivity of a porous material from the Sabine absorption coefficient was investigated through a reliable model. The model for the flow resistivity estimation is based on an equivalent fluid model, i.e., Miki’s model, together with the most advanced model that accounts for edge...... diffraction, named Thomasson’s finite size correction. As input data, a set of the Sabine absorption coefficients in a recent absorption round robin test in 13 European chambers was used. Finally, the flow resistivity of the test specimen is characterized via the Bayesian framework, together...

  8. Coefficients of resistance to cold-air-drainage winds on a grass-covered slope

    International Nuclear Information System (INIS)

    Komoda, H.; Kobayashi, T.; Mori, M.; Kaneko, T.

    2006-01-01

    The cold-air-drainage (CAD) wind is one of the most familiar local winds in Japan. It is driven by the surplus of density, or the deficit of potential temperature produced by radiative cooling in the surface air layer on a slope, and is resisted by the ground surface and the surrounding atmosphere. The coefficients of resistance of the ground surface and the surrounding atmosphere change with the CAD wind speed. The observations made on a grass-covered slope of Mt. Kuju showed that the resistance exerted by the surrounding atmosphere was much larger than that by the ground surface, and the sum of two coefficients of resistance decreased by one order of magnitude when the CAD wind speed exceeded some critical value

  9. Pressure-induced positive electrical resistivity coefficient in Ni-Nb-Zr-H glassy alloy

    Science.gov (United States)

    Fukuhara, M.; Gangli, C.; Matsubayashi, K.; Uwatoko, Y.

    2012-06-01

    Measurements under hydrostatic pressure of the electrical resistivity of (Ni0.36Nb0.24Zr0.40)100-xHx (x = 9.8, 11.5, and 14) glassy alloys have been made in the range of 0-8 GPa and 0.5-300 K. The resistivity of the (Ni0.36Nb0.24Zr0.40)86H14 alloy changed its sign from negative to positive under application of 2-8 GPa in the temperature range of 300-22 K, coming from electron-phonon interaction in the cluster structure under pressure, accompanied by deformation of the clusters. In temperature region below 22 K, the resistivity showed negative thermal coefficient resistance by Debye-Waller factor contribution, and superconductivity was observed at 1.5 K.

  10. An experimental approach of decoupling Seebeck coefficient and electrical resistivity

    Science.gov (United States)

    Muhammed Sabeer N., A.; Paulson, Anju; Pradyumnan, P. P.

    2018-04-01

    The Thermoelectrics (TE) has drawn increased attention among renewable energy technologies. The performance of a thermoelectric material is quantified by a dimensionless thermoelectric figure of merit, ZT=S2σT/κ, where S and σ vary inversely each other. Thus, improvement in ZT is not an easy task. So, researchers have been trying different parameter variations during thin film processing to improve TE properties. In this work, tin nitride (Sn3N4) thin films were deposited on glass substrates by reactive RF magnetron sputtering and investigated its thermoelectric response. To decouple the covariance nature of Seebeck coefficient and electrical resistivity for the enhancement of power factor (S2σ), the nitrogen gas pressure during sputtering was reduced. Reduction in nitrogen gas pressure reduced both sputtering pressure and amount of nitrogen available for reaction during sputtering. This experimental approach of combined effect introduced preferred orientation and stoichiometric variations simultaneously in the sputtered Sn3N4 thin films. The scattering mechanism associated with these variations enhanced TE properties by independently drive the Seebeck coefficient and electrical resistivity parameters.

  11. Electrical resistivity measurements to predict abrasion resistance

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 31; Issue 2. Electrical resistivity measurements to predict abrasion resistance of rock aggregates ... It was seen that correlation coefficients were increased for the rock classes. In addition ...

  12. Bayesian inference of the flow resistivity of a sound absorber and the room's influence on the Sabine absorption coefficients

    DEFF Research Database (Denmark)

    Jeong, Cheol-Ho; Choi, Sang-Hyeon; Lee, Ikjin

    2017-01-01

    A Bayesian analysis is applied to determine the flow resistivity of a porous sample and the influence of the test chamber based on measured Sabine absorption coefficient data. The Sabine absorption coefficient measured in a reverberation chamber according to ISO 354 is influenced by the test...... chamber significantly, whereas the flow resistivity is a rather reproducible material property, from which the absorptive characteristics can be calculated through reliable models. Using Sabine absorption coefficients measured in 13 European reverberation chambers, the maximum a posteriori...... and the uncertainty of the flow resistivity and the test chamber’s influence are estimated. Inclusion of more than one chamber’s absorption data helps the flow resistivity converge towards a reliable value with a standard deviation below 17%...

  13. Long-term chloride migration coefficient in slag cement-based concrete and resistivity as an alternative test method

    NARCIS (Netherlands)

    van Noort, R.; Hunger, M.; Spiesz, P.R.

    2016-01-01

    This article reports on investigations of the resistivity and chloride migration coefficient (DRCM) obtained in the accelerated Rapid Chloride Migration test for slag cement-based concretes. Determinations of the resistivity and DRCM were performed on 47 different concrete compositions, up to the

  14. The Heat Resistance of Microbial Cells Represented by D Values Can be Estimated by the Transition Temperature and the Coefficient of Linear Expansion.

    Science.gov (United States)

    Nakanishi, Koichi; Kogure, Akinori; Deuchi, Keiji; Kuwana, Ritsuko; Takamatsu, Hiromu; Ito, Kiyoshi

    2015-01-01

    We previously developed a method for evaluating the heat resistance of microorganisms by measuring the transition temperature at which the coefficient of linear expansion of a cell changes. Here, we performed heat resistance measurements using a scanning probe microscope with a nano thermal analysis system. The microorganisms studied included six strains of the genus Bacillus or related genera, one strain each of the thermophilic obligate anaerobic bacterial genera Thermoanaerobacter and Moorella, two strains of heat-resistant mold, two strains of non-sporulating bacteria, and one strain of yeast. Both vegetative cells and spores were evaluated. The transition temperature at which the coefficient of linear expansion due to heating changed from a positive value to a negative value correlated strongly with the heat resistance of the microorganism as estimated from the D value. The microorganisms with greater heat resistance exhibited higher transition temperatures. There was also a strong negative correlation between the coefficient of linear expansion and heat resistance in bacteria and yeast, such that microorganisms with greater heat resistance showed lower coefficients of linear expansion. These findings suggest that our method could be useful for evaluating the heat resistance of microorganisms.

  15. A setup for measuring the Seebeck coefficient and the electrical resistivity of bulk thermoelectric materials

    Science.gov (United States)

    Fu, Qiang; Xiong, Yucheng; Zhang, Wenhua; Xu, Dongyan

    2017-09-01

    This paper presents a setup for measuring the Seebeck coefficient and the electrical resistivity of bulk thermoelectric materials. The sample holder was designed to have a compact structure and can be directly mounted in a standard cryostat system for temperature-dependent measurements. For the Seebeck coefficient measurement, a thin bar-shaped sample is mounted bridging two copper bases; and two ceramic heaters are used to generate a temperature gradient along the sample. Two type T thermocouples are used to determine both temperature and voltage differences between two widely separated points on the sample. The thermocouple junction is flattened into a disk and pressed onto the sample surface by using a spring load. The flexible fixation method we adopted not only simplifies the sample mounting process but also prevents thermal contact deterioration due to the mismatch of thermal expansion coefficients between the sample and other parts. With certain modifications, the sample holder can also be used for four-probe electrical resistivity measurements. High temperature measurements are essential for thermoelectric power generation. The experimental system we developed is capable of measuring the Seebeck coefficient and the electrical resistivity of bulk thermoelectric materials in a wide temperature range from 80 to 500 K, which can be further extended to even higher temperatures. Measurements on two standard materials, constantan and nickel, confirmed the accuracy and the reliability of the system.

  16. Investigation on powder metallurgy Cr-Si-Ta-Al alloy target for high-resistance thin film resistors with low temperature coefficient of resistance

    International Nuclear Information System (INIS)

    Wang, X.Y.; Zhang, Z.S.; Bai, T.

    2010-01-01

    The sputtering target for high-resistance thin film resistors plays a decisive role in temperature coefficient of resistance (TCR). Silicon-rich chromium (Cr)-silicon (Si) target was designed and smelted for high-resistance thin film resistors with low TCR. Valve metal tantalum (Ta) and aluminum (Al) were introduced to the Cr-Si target to improve the performance of the target prepared. The measures for grain refining in smelting Cr-Si-Ta-Al target were taken to improve the performance of the prepared target. The mechanism and role of grain refinement were discussed in the paper. The phase structure of the prepared target was detected by X-ray diffraction (XRD). Rate of temperature drop was studied to reduce the internal stress of alloy target and conquer the easy cracking disadvantage of silicon-rich target. The electrical properties of sputtered thin film resistors were tested to evaluate the performance of the prepared target indirectly.

  17. The negative temperature coefficient resistivities of Ag2S-Ag core–shell structures

    International Nuclear Information System (INIS)

    Yu, Mingming; Liu, Dongzhi; Li, Wei; Zhou, Xueqin

    2014-01-01

    In this paper, the conductivity of silver nanoparticle films protected by 3-mercaptopropionic acid (Ag/MPA) has been investigated. When the nanoparticles were annealed in air at 200 °C, they converted to stable Ag 2 S-Ag core–shell structures. The mechanism for the formation of the Ag 2 S-Ag core–shell structures along with the compositional changes and the microstructural evolution of the Ag/MPA nanoparticles during the annealing process are discussed. It is proposed that the Ag 2 S-Ag core–shell structure was formed through a solid-state reduction reaction, in which the Ag + ions coming from Ag 2 S were reduced by sulfonate species and sulfur ions. The final Ag 2 S-Ag films display an exponentially decreased resistivity with increasing temperature from 25 to 170 °C. The negative temperature coefficient resistivity of Ag 2 S-Ag films can be adjusted by changing the S/Ag molar ratio used for the synthesis of the Ag/MPA nanoparticles, paving the way for the preparation of negative temperature-coefficient thermistors via printing technology for use in the electronics.

  18. Assessing resistance of stabilized corrosion resistant steels to intergranular corrosion

    International Nuclear Information System (INIS)

    Karas, A.; Cihal, V. Jr.; Vanek, V.; Herzan, J.; Protiva, K.; Cihal, V.

    1987-01-01

    Resistance to intergranular corrosion was determined for four types of titanium-stabilized steels from the coefficients of stabilization efficiency according to the degree the chemical composition was known. The ATA SUPER steel showed the highest resistance parameter value. The resistance of this type of steel of a specific composition, showing a relatively low value of mean nitrogen content was compared with steel of an optimized chemical composition and with low-carbon niobium stabilized, molybdenum modified steels. The comparison showed guarantees of a sufficient resistance of the steel to intergranular corrosion. The method of assessing the resistance to intergranular corrosion using the calculation of the minimum content of Cr', i.e., the effective chromium content, and the maximum effective carbon content C' giving the resistance parameter k seems to be prospective for practical use in the production of corrosion resistant steels. (author). 1 tab., 5 figs., 15 refs

  19. Electrical Resistance Alloys and Low-Expansion Alloys

    DEFF Research Database (Denmark)

    Kjer, Torben

    1996-01-01

    The article gives an overview of electrical resistance alloys and alloys with low thermal expansion. The electrical resistance alloys comprise resistance alloys, heating alloys and thermostat alloys. The low expansion alloys comprise alloys with very low expansion coefficients, alloys with very low...... thermoelastic coefficients and age hardenable low expansion alloys....

  20. The coefficient of rolling resistance (CoRR) of some pharmaceutical tablets.

    Science.gov (United States)

    Ketterhagen, William R; Bharadwaj, Rahul; Hancock, Bruno C

    2010-06-15

    Experiments have been conducted to measure the coefficient of rolling resistance (CoRR) of some pharmaceutical tablets and several common materials, such as glass beads and steel ball bearings. CoRR values are required as inputs for discrete element method (DEM) models which can be used to model particulate flows and solid dosage form manufacturing processes. Until now there have been no CoRR data reported for pharmaceutical materials, and thus these new data will help to facilitate more accurate modeling of pharmaceutical systems. Copyright 2010 Elsevier B.V. All rights reserved.

  1. Electrical resistivity, Hall coefficient and electronic mobility in indium antimonide at different magnetic fields and temperatures

    International Nuclear Information System (INIS)

    Jee, Madan; Prasad, Vijay; Singh, Amita

    1995-01-01

    The electrical resistivity, Hall coefficient and electronic mobility of n-type and p-type crystals of indium antimonide have been measured from 25 degC-100 degC temperature range. It has been found by this measurement that indium antimonide is a compound semiconductor with a high mobility 10 6 cm 2 /V.S. The Hall coefficient R H was measured as a function of magnetic field strength H for a number of samples of both p and n-type using fields up to 12 kilo gauss. The Hall coefficient R h decreases with increasing magnetic fields as well as with increase in temperature of the sample. The electric field is more effective on samples with high mobilities and consequently the deviations from linearity are manifested at comparatively low values of the electric field. The measurement of R H in weak and strong magnetic fields makes it possible to determine the separate concentration of heavy and light holes. Measured values of Hall coefficient and electrical resistivity show that there is a little variation of ρ and R h with temperatures as well as with magnetic fields. (author). 12 refs., 5 tabs

  2. Prediction of liquid metal alloy radiant properties from measurements of the Hall coefficient and the direct current resistivity

    International Nuclear Information System (INIS)

    Havstad, M.A.; Qiu, T.

    1995-04-01

    The thermal radiative properties of high temperature solid and liquid metal alloys are particularly useful to research and development efforts in laser cladding and machining, electron beam welding and laser isotope separation. However the cost, complexity, and difficulty of measuring these properties have forced the use of crude estimates from the Hagen-Rubens relation, the Drude relations, or extrapolation from low temperature or otherwise flawed data (e.g., oxidized). The authors have found in this work that published values for the Hall coefficient and the electrical resistivity of liquid metal alloys can provide useful estimates of the reflectance and emittance of some groups of binary liquid metal and high temperature solid alloys. The estimation method computes the Drude free electron parameters, and thence the optical constants and the radiant properties from the dependence of the Hall coefficient and direct current resistivity on alloy composition (the Hall coefficient gives the free electron density and the resistivity gives the average time between collisions). They find that predictions of the radiant properties of molten cerium-copper alloy, which use the measured variations in the Hall coefficient and resistivity (both highly nonlinear) as a function of alloy fraction (rather than linear combinations of the values of the pure elements) yield a good comparison to published measurements of the variation of the normal spectral emittance (a different but also nonlinear function) of cerium-copper alloy at the single wavelength available for comparison, 0.645 μm. The success of the approach in the visible range is particularly notable because one expects a Drude based approach to improve with increasing wavelength from the visible into the infrared. Details of the estimation method, the comparison between the calculation and the measured emittance, and a discussion of what groups of elements may also provide agreement is given

  3. Investigation on low room-temperature resistivity Cr/(Ba0.85Pb0.15)TiO3 positive temperature coefficient composites

    DEFF Research Database (Denmark)

    He, Zeming; Ma, J.; Qu, Yuanfang

    2009-01-01

    discussed. Using these special processes, the prepared composite with 20 wt% Cr possessed low room-temperature resistivity (2.96 Ω cm at 25 °C) and exhibited PTC effect (resistivity jump of 10), which is considered as a promising candidate for over-current protector when working at low voltage. The grain......Low room-temperature resistivity positive temperature coefficient (PTC) Cr/(Ba0.85Pb0.15)TiO3 composites were produced via a reducing sintering and a subsequent oxidation treatment. The effects of metallic content and processing conditions on materials resistivity–temperature properties were...

  4. Experimental Studies on the Changes in Resistivity and Its Anisotropy Using Electrical Resistivity Tomography

    Directory of Open Access Journals (Sweden)

    Tao Zhu

    2012-01-01

    Full Text Available Three measuring lines were arranged on one of free planes of magnetite cuboid samples. Apparent resistivity data were acquired by MIR-2007 resistivity meter when samples were under uniaxial compression of servocontrol YAW-5000F loadingmachine in laboratory. Then we constructed the residual resistivity images using electrical resistivity tomography (ERT and plotted the diagrams of apparent resistivity anisotropy coefficient (ARAC λ∗ and the included angle α between the major axis of apparent resistivity anisotropy ellipse and the axis of load with pressure and effective depth. Our results show that with increasing pressure, resistivity and the decreased (D region and increased (I region resistivity regions have complex behaviors, but when pressure is higher than a certain value, the average resistivity decrease and the area of D region expand gradually in all time with the increase of pressure, which may be significant to the monitoring and prediction of earthquake, volcanic activities, and large-scale geologic motions. The effects of pressure on λ∗ and α are not very outstanding for dry magnetite samples.

  5. Studies of gaseous multiplication coefficient in isobutane using a resistive plate chamber

    Energy Technology Data Exchange (ETDEWEB)

    Goncalves, Josemary A.C.; Botelho, Suzana; Tobias, Carmen C.B. [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil); Pontificia Univ. Catolica de Sao Paulo (PUC/SP), SP (Brazil); Lima, Iara B.; Vivaldini, Tulio C. [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil); Ridenti, Marco A.; Pascholati, Paulo R. [Universidade de Sao Paulo (USP), SP (Brazil). Inst. de Fisica. Lab. do Acelerador Linear; Fonte, Paulo; Mangiarotti, Alessio [Universidade de Coimbra (Portugal). Dept. de fisica. Lab. de Instrumentacao e Fisica Experimental de Particulas

    2009-07-01

    Full text: Due to the increasing demands concerning High Energy Physics, Nuclear Medicine and other Nuclear Applications about gaseous detectors operating in high electric fields, many efforts have been done about the choice of filling gases that fulfill these requirements. In this context, the electron transport parameters in gases, as the gaseous multiplication coefficient, play an important role not only for detector design but also for simulation and modeling of discharges, allowing the validation of electron impact cross-sections. In the present work the preliminary measurements of gaseous multiplication coefficient, as function of the reduced electric field (from 36V/cm.Torr until 93V/cm.Torr), for isobutane are presented. Among several filling gases, isobutane is widely used in resistive plate chambers RPCs, and other gaseous detectors, due to its timing properties. Although its characteristics, there is a lack of swarm parameters data in literature for this gas, mainly at high electric fields. The experimental method used is based on the Pulsed Townsend technique, which follows from Townsend equation solution for a uniform electric field. Considering the ratio between the current (I), measured in avalanche mode, and the primary ionization current (I{sub 0}), the effective multiplication coefficient can be determined, since alpha = d{sup -1}ln(I/I{sub 0}), where d is the gap between the electrodes. In our configuration, the experimental setup consists of two parallel plates enclosure in a stainless steel chamber at gas flow regime. The anode, is made of a high resistivity (2.10{sup 12}{omega}.cm) glass (3mm thick and 14mm diameter), while the cathode is of aluminium (40mm diameter). Primary electrons are produced by irradiating the cathode with a nitrogen laser (LTB MNL200-LD) and are accelerated toward the anode by means of a high voltage power supply (Bertan 225-30). In order to validate the technique and to analyze effects of non-uniformity, results for

  6. Mapping grain boundary heterogeneity at the nanoscale in a positive temperature coefficient of resistivity ceramic

    Science.gov (United States)

    Holsgrove, Kristina M.; Kepaptsoglou, Demie M.; Douglas, Alan M.; Ramasse, Quentin M.; Prestat, Eric; Haigh, Sarah J.; Ward, Michael B.; Kumar, Amit; Gregg, J. Marty; Arredondo, Miryam

    2017-06-01

    Despite being of wide commercial use in devices, the orders of magnitude increase in resistance that can be seen in some semiconducting BaTiO3-based ceramics, on heating through the Curie temperature (TC), is far from well understood. Current understanding of the behavior hinges on the role of grain boundary resistance that can be modified by polarization discontinuities which develop in the ferroelectric state. However, direct nanoscale resistance mapping to verify this model has rarely been attempted, and the potential approach to engineer polarization states at the grain boundaries, that could lead to optimized positive temperature coefficient (PTC) behavior, is strongly underdeveloped. Here we present direct visualization and nanoscale mapping in a commercially optimized BaTiO3-PbTiO3-CaTiO3 PTC ceramic using Kelvin probe force microscopy, which shows that, even in the low resistance ferroelectric state, the potential drop at grain boundaries is significantly greater than in grain interiors. Aberration-corrected scanning transmission electron microscopy and electron energy loss spectroscopy reveal new evidence of Pb-rich grain boundaries symptomatic of a higher net polarization normal to the grain boundaries compared to the purer grain interiors. These results validate the critical link between optimized PTC performance and higher local polarization at grain boundaries in this specific ceramic system and suggest a novel route towards engineering devices where an interface layer of higher spontaneous polarization could lead to enhanced PTC functionality.

  7. Mapping grain boundary heterogeneity at the nanoscale in a positive temperature coefficient of resistivity ceramic

    Directory of Open Access Journals (Sweden)

    Kristina M. Holsgrove

    2017-06-01

    Full Text Available Despite being of wide commercial use in devices, the orders of magnitude increase in resistance that can be seen in some semiconducting BaTiO3-based ceramics, on heating through the Curie temperature (TC, is far from well understood. Current understanding of the behavior hinges on the role of grain boundary resistance that can be modified by polarization discontinuities which develop in the ferroelectric state. However, direct nanoscale resistance mapping to verify this model has rarely been attempted, and the potential approach to engineer polarization states at the grain boundaries, that could lead to optimized positive temperature coefficient (PTC behavior, is strongly underdeveloped. Here we present direct visualization and nanoscale mapping in a commercially optimized BaTiO3–PbTiO3–CaTiO3 PTC ceramic using Kelvin probe force microscopy, which shows that, even in the low resistance ferroelectric state, the potential drop at grain boundaries is significantly greater than in grain interiors. Aberration-corrected scanning transmission electron microscopy and electron energy loss spectroscopy reveal new evidence of Pb-rich grain boundaries symptomatic of a higher net polarization normal to the grain boundaries compared to the purer grain interiors. These results validate the critical link between optimized PTC performance and higher local polarization at grain boundaries in this specific ceramic system and suggest a novel route towards engineering devices where an interface layer of higher spontaneous polarization could lead to enhanced PTC functionality.

  8. Dependence of Seebeck coefficient on a load resistance and energy conversion efficiency in a thermoelectric composite

    International Nuclear Information System (INIS)

    Yamashita, Osamu; Odahara, Hirotaka; Ochi, Takahiro; Satou, Kouji

    2007-01-01

    The thermo-emf ΔV and current ΔI generated by imposing the alternating temperature gradients (ATG) at a period of T and the steady temperature gradient (STG) on a thermoelectric (TE) composite were measured as a function of t, where t is the lapsed time and T was varied from 60 to or ∞ s. The STG and ATG were produced by imposing steadily and alternatively a source voltage V in the range from 1.0 to 4.0 V on two Peltier modules sandwiching a composite. ΔT, ΔV, ΔI and V P oscillate at a period T and their waveforms vary significantly with a change of T, where ΔV and V P are the voltage drops in a load resistance R L and in resistance R P of two modules. The resultant Seebeck coefficient |α| = |ΔV|/ΔT of a composite under the STG was found to be expressed as |α| = |α 0 |(1 - R comp /R T ), where R T is the total resistance of a circuit for measuring the output signals and R comp is the resistance of a composite. The effective generating power ΔW eff has a local maximum at T = 960 s for the p-type composite and at T = 480 s for the n-type one. The maximum energy conversion efficiency η of the p- and n-type composites under the ATG produced by imposing a voltage of 4.0 V at an optimum period were 0.22 and 0.23% at ΔT eff = 50 K, respectively, which are 42 and 43% higher than those at ΔT = 42 K under the STG. These maximum η for a TE composite sandwiched between two Peltier modules, were found to be expressed theoretically in terms of R P , R T , R L , α P and α, where α P and α are the resultant Seebeck coefficients of Peltier modules and a TE composite

  9. ELECTRICAL RESISTIVITY AND SEEBECK COEFFICIENT IN Ca(LaMnO COMPOUNDS PREPARED BY SOLID STATE REACTION METHOD

    Directory of Open Access Journals (Sweden)

    Jorge I. Villa

    2017-01-01

    Full Text Available By using the solid state reaction method samples of  Ca1-xLaxMnO3 (0 ≤ x ≥ 0.15 were prepared. Their transport properties were studied by electrical resistivity rho(T and Seebeck coefficient S(T measurements as a function of temperature and lanthanum content, in the temperature range between 100 and 290K. The structural and morphological properties were studied by X-ray diffraction analysis (XRD and scanning electron microscopy (SEM, respectively. The Seebeck coefficient is negative throughout the studied temperature range indicating a conduction given by negative charge carriers, its magnitude decreases with the lanthanum content from |-261| mV/K to |-120| mV/K. The electrical resistivity shows a semiconducting behavior, it was interpreted in terms of small polaron hopping model. Thermoelectric properties of the obtained compounds were studied by the thermoelectric power factor PF, which reaches maximum values around 2mW/K2cm, these values become this kind of ceramics promising thermoelectric compound, to be used in technological applications.

  10. Graphene-based mid-infrared room-temperature pyroelectric bolometers with ultrahigh temperature coefficient of resistance.

    Science.gov (United States)

    Sassi, U; Parret, R; Nanot, S; Bruna, M; Borini, S; De Fazio, D; Zhao, Z; Lidorikis, E; Koppens, F H L; Ferrari, A C; Colli, A

    2017-01-31

    There is a growing number of applications demanding highly sensitive photodetectors in the mid-infrared. Thermal photodetectors, such as bolometers, have emerged as the technology of choice, because they do not need cooling. The performance of a bolometer is linked to its temperature coefficient of resistance (TCR, ∼2-4% K -1 for state-of-the-art materials). Graphene is ideally suited for optoelectronic applications, with a variety of reported photodetectors ranging from visible to THz frequencies. For the mid-infrared, graphene-based detectors with TCRs ∼4-11% K -1 have been demonstrated. Here we present an uncooled, mid-infrared photodetector, where the pyroelectric response of a LiNbO 3 crystal is transduced with high gain (up to 200) into resistivity modulation for graphene. This is achieved by fabricating a floating metallic structure that concentrates the pyroelectric charge on the top-gate capacitor of the graphene channel, leading to TCRs up to 900% K -1 , and the ability to resolve temperature variations down to 15 μK.

  11. Experimental research and numerical simulation on flow resistance of integrated valve

    International Nuclear Information System (INIS)

    Cai Wei; Bo Hanliang; Qin Benke

    2008-01-01

    The flow resistance of the integrated valve is one of the key parameters for the design of the control rod hydraulic drive system (CRHDS). Experimental research on the improved new integrated valve was performed, and the key data such as pressure difference, volume flow, resistance coefficient and flow coefficient of each flow channel were obtained. With the computational fluid dynamics software CFX, numerical simulation was executed to analyze the effect of Re on the flow resistance. On the basis of experimental and numerical results, fitting empirical formulas of resistance coefficient were obtained, which provide experimental and theoretical foundations for CRHDS's optimized design and theoretical analysis. (authors)

  12. DEPENDENCE OF AIR SPRING PARAMETERS ON THROTTLE RESISTANCE

    Directory of Open Access Journals (Sweden)

    O. H. Reidemeister

    2016-04-01

    Full Text Available Purpose. In this paper it is necessary to conduct: 1 research and analyse the influence of throttle element pneumatic resistance on elastic and damping parameters of air spring; 2 to obtain the dependence of air spring parameters on throttle element pneumatic resistance value. Methodology. The work presents the elaborated model of the air spring as a dynamic system with three phase coordinates (cylinder pressure, auxiliary reservoir pressure, cylinder air mass. Stiffness and viscosity coefficients were determined on the basis of system response to harmonic kinematic disturbance. The data for the analysis are obtained by changing the capacity of the connecting element and the law of pressure variation between the reservoir and the cylinder. The viscosity coefficient is regarded as the viscosity ratio of the hydraulic damper, which for one oscillation cycle consumes the same energy as the air spring. The process of air condition change inside the cylinder (reservoir is considered to be adiabatic; the mass air flow through the connecting element depends on the pressure difference. Findings. We obtained the curves for spring viscosity and stiffness coefficients dependence on the throttle resistance at three different laws, linking airflow through the cylinder with the pressure difference in cylinder and reservoir. At both maximum and minimum limiting resistance values the spring viscosity tends to zero, reaching its peak in the mean resistance values. Stiffness increases monotonically with increasing resistance, tends to the limit corresponding to the absence of an auxiliary reservoir (at high resistance and the increase in cylinder volume by the reservoir volume (at low resistance. Originality.The designed scheme allows determining the optimal parameters of elastic and damping properties of the pneumatic system as function of the throttle element air resistance. Practical value.The ability to predict the parameters of elastic and damping properties

  13. Annealing effects on resistivity and Hall coefficient of neutron irradiated silicon

    International Nuclear Information System (INIS)

    Biggeri, U.

    1995-01-01

    High Temperature Annealing (HTA) treatment has been carried out on fast-neutron irradiated silicon samples with temperatures up to 300 C. Fluences of irradiation up to 1x10 14 n/cm 2 were used. Before annealing, samples irradiated with fluences higher than 1x10 13 n/cm 2 suffered the type conductivity inversion from n-type to p-type. The changes in the resisitivity and Hall coefficient during each annealing step have been measured by Hall effect analysis. Results indicate the possible creation of acceptors for low temperature annealing up to 150 C and the phosphorous release by E centres at annealing temperatures among 150 C and 200 C. Heating samples up to 300 C allows the recovering of the sample resistivity to its value before irradiation, with the peculiarity that bulks inverted to p-type after irradiation does not come back to n-type after annealing. (orig.)

  14. Converting Sabine absorption coefficients to random incidence absorption coefficients

    DEFF Research Database (Denmark)

    Jeong, Cheol-Ho

    2013-01-01

    are suggested: An optimization method for the surface impedances for locally reacting absorbers, the flow resistivity for extendedly reacting absorbers, and the flow resistance for fabrics. With four porous type absorbers, the conversion methods are validated. For absorbers backed by a rigid wall, the surface...... coefficients to random incidence absorption coefficients are proposed. The overestimations of the Sabine absorption coefficient are investigated theoretically based on Miki's model for porous absorbers backed by a rigid wall or an air cavity, resulting in conversion factors. Additionally, three optimizations...... impedance optimization produces the best results, while the flow resistivity optimization also yields reasonable results. The flow resistivity and flow resistance optimization for extendedly reacting absorbers are also found to be successful. However, the theoretical conversion factors based on Miki's model...

  15. High-temperature abnormal behavior of resistivities for Bi-In melts

    International Nuclear Information System (INIS)

    Xi Yun; Zu Fangqiu; Li Xianfen; Yu Jin; Liu Lanjun; Li Qiang; Chen Zhihao

    2004-01-01

    The patterns of electrical resistivities versus temperature in large temperature range have been studied, using the D.C. four-probe method, for liquid Bi-In alloys (Bi-In(33 wt%), Bi-In(38 wt%), Bi-In(50.5 wt%), Bi-In(66 wt%)). The clear turning point of each resistivity-temperature curves of the liquid Bi-In alloys is observed at the temperature much above the melting point, in which temperature range the resistivity-temperature coefficient increases rapidly. Except for the turning temperature range, the resistivities of Bi-In alloys increase linearly with temperature. Because resistivity is sensitive to the structure, this experiment shows the structural transition in Bi-In melts at the temperature much higher than the liquidus. And it is suggested that there are different Bi-In short-range orderings in different Bi-In melts, so the resistivity-temperature curves have the turns at different temperatures and the resistivity-temperature coefficients are also different

  16. Theoretical research on laminar friction resistance in tubes in rolling motion

    International Nuclear Information System (INIS)

    Yan Binghuo; Yu Lei; Yang Yanhua

    2010-01-01

    The model of laminar flow in tubes in rolling motion is established. The dimensionless correlation of velocity is derived, and the correlation of frictional resistance coefficient is also obtained. Of all the additional forces, only the tangential force effects on the flow. The effect of centrifugal and Coriolis forces on the flow is counteracted. The correlation of average frictional resistance coefficient is the same with that of no rolling motion. The effect of rolling motion on frictional resistance coefficient of laminar flow diminishes with the increase of Reynolds number. (authors)

  17. Corrosion-resistant coating development

    Energy Technology Data Exchange (ETDEWEB)

    Stinton, D.P.; Kupp, D.M.; Martin, R.L. [Oak Ridge National Lab., TN (United States)

    1997-12-01

    SiC-based heat exchangers have been identified as the prime candidate material for use as heat exchangers in advanced combined cycle power plants. Unfortunately, hot corrosion of the SiC-based materials created by alkali metal salts present in the combustion gases dictates the need for corrosion-resistant coatings. The well-documented corrosion resistance of CS-50 combined with its low (and tailorable) coefficient of thermal expansion and low modulus makes CS-50 an ideal candidate for this application. Coatings produced by gelcasting and traditional particulate processing have been evaluated.

  18. Molecular Scree ning of Blast Resistance Genes in Rice Germplasms Resistant to Magnaporthe oryzae

    Directory of Open Access Journals (Sweden)

    Liang Yan

    2017-01-01

    Full Text Available Molecular screening of major rice blast resistance genes was determined with molecular markers, which showed close-set linkage to 11 major rice blast resistance genes (Pi-d2, Pi-z, Piz-t, Pi-9, Pi-36, Pi-37, Pi5, Pi-b, Pik-p, Pik-h and Pi-ta2, in a collection of 32 accessions resistant to Magnaporthe oryzae. Out of the 32 accessions, the Pi-d2 and Pi-z appeared to be omnipresent and gave positive express. As the second dominant, Pi-b and Piz-t gene frequencies were 96.9% and 87.5%. And Pik-h and Pik-p gene frequencies were 43.8% and 28.1%, respectively. The molecular marker linkage to Pi-ta2 produced positive bands in eleven accessions, while the molecular marker linkage to Pi-36 and Pi-37 in only three and four accessions, respectively. The natural field evaluation analysis showed that 30 of the 32 accessions were resistant, one was moderately resistant and one was susceptible. Infection types were negatively correlated with the genotype scores of Pi-9, Pi5, Pi-b, Pi-ta2 and Pik-p, although the correlation coefficients were very little. These results are useful in identification and incorporation of functional resistance genes from these germplasms into elite cultivars through marker-assisted selection for improved blast resistance in China and worldwide.

  19. Saturation and negative temperature coefficient of electrical resistivity in liquid iron-sulfur alloys at high densities from first-principles calculations

    Science.gov (United States)

    Wagle, Fabian; Steinle-Neumann, Gerd; de Koker, Nico

    2018-03-01

    We report results on electronic transport properties of liquid Fe-S alloys at conditions of planetary cores, computed using first-principle techniques in the Kubo-Greenwood formalism. We describe a combined effect of resistivity saturation due to temperature, compression, and chemistry by comparing the electron mean free path from the Drude response of optical conductivity to the mean interatomic distance. At high compression and high sulfur concentration the Ioffe-Regel condition is satisfied, and the temperature coefficient of resistivity changes sign from positive to negative. We show that this happens due to a decrease in the d density of states at the Fermi level in response to thermal broadening.

  20. Cross-resistance to radiation in human squamous cell carcinoma cells with induced cisplatin resistance

    International Nuclear Information System (INIS)

    Komori, Keiichi

    1998-01-01

    Accumulated evidence indicates that drug resistance is induced in tumor cells treated with a variety of anti-cancer drugs and that there is a possibility of cross-resistance to ionizing radiation associated with induced drug resistance. Most in vitro studies have shown inconsistent results on cross-resistance probably because of different cell lines used and protocols for drug induction. In this study, TE3 human squamous cell carcinoma cell line was treated with a 4-day cycle of cisplatin (cis-diamminedichloroplatinum (II); CDDP) at a concentration yielding 10% cell survival. The treatment was repeated up to 3 cycles. After treatment, cells were tested for CDDP and X-ray sensitivity. One cycle of CDDP treatment induced CDDP resistance with a factor of 1.41 and 2 cycles of the treatment with a factor of 1.86. The resistance factor reached a plateau at 3 cycles of treatment. For analyzing the correlation of CDDP and X-ray resistance, 30 clones from both untreated and 3-cycle treated cells were isolated and analyzed for CDDP and X-ray sensitivity. The sensitivity was expressed as the concentration of drug or dose of X-ray required to reduce the cell survival to x% (Dx). The correlation coefficient of clones with 3-cycle treatment between CDDP and X-ray sensitivity increased gradually by increasing the end point of Dx from D 10 to D 90 , resulting in significant correlation at D 90 . The result suggested that there is a certain common repair-related mechanism affecting both CDDP and X-ray resistance in CDDP-treated cells. (author)

  1. [Resistance risk, cross-resistance and biochemical resistance mechanism of Laodelphax striatellus to buprofezin].

    Science.gov (United States)

    Mao, Xu-lian; Liu, Jin; Li, Xu-ke; Chi, Jia-jia; Liu, Yong-jie

    2016-01-01

    In order to investigate the resistance development law and biochemical resistance mechanism of Laodelphax striatellus to buprofezin, spraying rice seedlings was used to continuously screen resistant strains of L. striatellus and dipping rice seedlings was applied to determine the toxicity and cross-resistance of L. striatellus to insecticides. After 32-generation screening with buprofezin, L. striatellus developed 168.49 folds resistance and its reality heritability (h2) was 0.11. If the killing rate was 80%-90%, L. striatellus was expected to develop 10-fold resistance to buprofezin only after 5 to 6 generations breeding. Because the actual reality heritability of field populations was usually lower than that of the resistant strains, the production of field populations increasing with 10-fold resistance would need much longer time. The results of cross-resistance showed that resistant strain had high level cross-resistance with thiamethoxam and imidacloprid, low level cross-resistance with acetamiprid, and no cross-resistance with pymetrozine and chlorpyrifos. The activity of detoxification enzymes of different strains and the syergism of synergist were measured. The results showed that cytochrome P450 monooxygenase played a major role in the resistance of L. striatellus to buprofezin, the esterase played a minor role and the GSH-S-transferase had no effect. Therefore, L. striatellus would have high risk to develop resistance to buprofezin when used in the field and might be delayed by using pymetrozine and chlorpyrifos.

  2. A resistência olha a resistência

    Directory of Open Access Journals (Sweden)

    Jorge Ponciano Ribeiro

    Full Text Available Resistência é um processo humano que acontece quando a pessoa se encontra sob algum tipo de ameaça. Não é essencialmente um acontecimento psicoterapêutico. Ocorre na terapia não como uma oposição a si mesmo ou ao terapeuta, mas como uma forma de se ajustar a uma nova situação. A resistência, é por natureza, a atualização do instinto de auto-preservação. E o organismo inteligentemente segue a lei da preferência. Resistência é uma forma de contato que não pode ser destruída, mas administrada, porque ela surge como uma defesa da totalidade vivenciada pela pessoa. A Resistência é, às vezes, resistência e awareness mais que ao contato. Ela revela mais o caminho seguido do que oculta a caminhada feita. A resistência é um processo natural, porque o corpo que não resiste, morre, mas falamos em processos de auto-regulação organísmica. Valorizamos mais o que mantêm a resistência funcionando do que à própria resistência. O terapeuta também resiste, ou seja, ele se auto-regula na sua relação com o cliente. Não questionamos a resistência, mas o processo que a mantêm. Trabalhamos com nove mecanismos de defesa, também tradicionalmente, chamados de resistência.

  3. Tomographic pseudo-inversion of resistivity profiles

    Directory of Open Access Journals (Sweden)

    D. Luzio

    1997-06-01

    Full Text Available A new approach to construct vertical and/or horizontal pseudosections starting from sets of resistivity (and/or IP data is presented. In principle it consists in the division of the subsoil into a number of pixels (discretization, arranged in a 3D halfspace. The resistivity of each pixel is then obtained by a back-projection of the set of acquired experimental data, that is by arranging a set of convolutions using 3D filters. The coefficients of the filters are calculated, depending on the geometry of the electrode array used, on the basis of a mask reproducing the «influence coefficients» of all the pixels. The aim of these representations is to match the shape of the investigated structures as close as possible, so that, even if it can be considered a fast arrangement of the experimental data rather than a real inversion, it can be a useful tool in interpretation, at least as a simple preliminary sketch. This method is discussed, focussing on some critical choices regarding the construction of the filters and the use of smoothing factors; some applications on synthetic data calculated on simple models of buried resistive spheres are also presented.

  4. Temperature dependence of contact resistance at metal/MWNT interface

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sang-Eui; Moon, Kyoung-Seok; Sohn, Yoonchul, E-mail: yoonchul.son@samsung.com [Materials Research Center, Samsung Advanced Institute of Technology, Samsung Electronics, Suwon 443-803 (Korea, Republic of)

    2016-07-11

    Although contact resistance of carbon nanotube (CNT) is one of the most important factors for practical application of electronic devices, a study regarding temperature dependence on contact resistance of CNTs with metal electrodes has not been found. Here, we report an investigation of contact resistance at multiwalled nanotube (MWNT)/Ag interface as a function of temperature, using MWNT/polydimethylsiloxane (PDMS) composite. Electrical resistance of MWNT/PDMS composite revealed negative temperature coefficient (NTC). Excluding the contact resistance with Ag electrode, the NTC effect became less pronounced, showing lower intrinsic resistivity with the activation energy of 0.019 eV. Activation energy of the contact resistance of MWNT/Ag interface was determined to be 0.04 eV, two times larger than that of MWNT-MWNT network. The increase in the thermal fluctuation assisted electron tunneling is attributed to conductivity enhancement at both MWNT/MWNT and MWNT/Ag interfaces with increasing temperature.

  5. Measurement of Dynamic Resistance in Resistance Spot Welding

    DEFF Research Database (Denmark)

    Wu, Pei; Zhang, Wenqi; Bay, Niels

    Through years, the dynamic resistance across the electrodes has been used for weld quality estimation and contact resistance measurement. However, the previous methods of determining the dynamic resistance were mostly based on measuring the voltage and current on the secondary side of the transfo......Through years, the dynamic resistance across the electrodes has been used for weld quality estimation and contact resistance measurement. However, the previous methods of determining the dynamic resistance were mostly based on measuring the voltage and current on the secondary side...... of the transformer in resistance welding machines, implying defects from induction noise and interference with the leads connected to the electrodes for measuring the voltage. In this study, the dynamic resistance is determined by measuring the voltage on the primary side and the current on the secondary side...

  6. Investigation of glyphosate resistance levels and target-site based resistance (TSR) mechanisms in Conyza canadensis (L.) from apple orchards around areas of Bohai seas and Loess Plateau in China.

    Science.gov (United States)

    Mei, Yu; Xu, Yufang; Wang, Shipeng; Qiu, Lihong; Zheng, Mingqi

    2018-04-01

    The resistance levels to glyphosate and target-site based resistance mechanisms in susceptible (S) and resistant (R) Conyza canadensis (L.) populations, which were collected from apple orchards around areas of Bohai seas and Loess Plateau in China, were investigated. Among forty C. canadensis populations, eighteen populations (45%) were still susceptible; fourteen populations (35%) evolved low resistance levels resistance to glyphosate with resistance index (RI) of 2.02 to 3.90. In contrast, eight populations (20%) evolved medium resistance levels with RI of 4.35 to 8.38. The shikimic acid concentrations in R populations were highly negative relative with the glyphosate resistance levels in C. canadensis, the Pearson correlation coefficient was -0.82 treated by glyphosate at 1.8mg/L. Three 5-enoylpyruvylshikimate 3'-phosphate synthase genes (EPSPS1, EPSPS2 and EPSPS3) were cloned in all S and glyphosate-resistant C. canadensis populations. No amino acid substitution was identified at site of 102 and 106 in three EPSPS genes, which were reported to confer glyphosate resistance in other weed species. The relative expression level of EPSPS mRNA in R populations (SD07, LN05, SHX06 and SD09) was 4.5 to 13.2 times higher than in S biotype. The Pearson correlation coefficient between EPSPS expression levels and RI was 0.79, which indicated the over expression of EPSPS mRNA may cause these R populations evolve higher resistance level to glyphosate. Copyright © 2018 Elsevier Inc. All rights reserved.

  7. Correlations between Income inequality and antimicrobial resistance.

    Science.gov (United States)

    Kirby, Andrew; Herbert, Annie

    2013-01-01

    The aim of this study is to investigate if correlations exist between income inequality and antimicrobial resistance. This study's hypothesis is that income inequality at the national level is positively correlated with antimicrobial resistance within developed countries. Income inequality data were obtained from the Standardized World Income Inequality Database. Antimicrobial resistance data were obtained from the European antimicrobial Resistance Surveillance Network and outpatient antimicrobial consumption data, measured by Defined daily Doses per 1000 inhabitants per day, from the European Surveillance of antimicrobial Consumption group. Spearman's correlation coefficient (r) defined strengths of correlations of: > 0.8 as strong, > 0.5 as moderate and > 0.2 as weak. Confidence intervals and p values were defined for all r values. Correlations were calculated for the time period 2003-10, for 15 European countries. Income inequality and antimicrobial resistance correlations which were moderate or strong, with 95% confidence intervals > 0, included the following. Enterococcus faecalis resistance to aminopenicillins, vancomycin and high level gentamicin was moderately associated with income inequality (r= ≥0.54 for all three antimicrobials). Escherichia coli resistance to aminoglycosides, aminopenicillins, third generation cephalosporins and fluoroquinolones was moderately-strongly associated with income inequality (r= ≥0.7 for all four antimicrobials). Klebsiella pneumoniae resistance to third generation cephalosporins, aminoglycosides and fluoroquinolones was moderately associated with income inequality (r= ≥0.5 for all three antimicrobials). Staphylococcus aureus methicillin resistance and income inequality were strongly associated (r=0.87). As income inequality increases in European countries so do the rates of antimicrobial resistance for bacteria including E. faecalis, E. coli, K. pneumoniae and S. aureus. Further studies are needed to confirm these

  8. Induced resistance: an enhancement of basal resistance?

    NARCIS (Netherlands)

    Vos, M. de; Robben, C.; Pelt, J.A. van; Loon, L.C. van; Pieterse, C.M.J.

    2002-01-01

    Upon primary pathogen attack, plants activate resistance mechanisms at the site of infection. Besides this so-called basal resistance, plants have also the ability to enhance their defensive capacity against future pathogen attack. There are at least two types of biologically induced resistance.

  9. HIV resistance testing and detected drug resistance in Europe

    DEFF Research Database (Denmark)

    Schultze, Anna; Phillips, Andrew N; Paredes, Roger

    2015-01-01

    to Southern Europe. CONCLUSIONS: Despite a concurrent decline in virological failure and testing, drug resistance was commonly detected. This suggests a selective approach to resistance testing. The regional differences identified indicate that policy aiming to minimize the emergence of resistance......OBJECTIVES: To describe regional differences and trends in resistance testing among individuals experiencing virological failure and the prevalence of detected resistance among those individuals who had a genotypic resistance test done following virological failure. DESIGN: Multinational cohort...... study. METHODS: Individuals in EuroSIDA with virological failure (>1 RNA measurement >500 on ART after >6 months on ART) after 1997 were included. Adjusted odds ratios (aORs) for resistance testing following virological failure and aORs for the detection of resistance among those who had a test were...

  10. Analytic study of resistive instabilities

    Energy Technology Data Exchange (ETDEWEB)

    Svensson, Magnus

    2003-05-01

    In a fusion plasma there is always a small amount of resistivity that may cause instabilities. Although their rather slow growth rates they can be of major importance for fusion plasma confinement. In this work a MAPLE-code was rewritten and simplified to make it possible to analytically solve the linearized MHD-equations with resistivity in an RFP-configuration. By using the MHD-equations and expanding the unknown perturbed quantities u{sub 1r}(r) and B{sub 1r}(r) as Taylor series and solving each coefficient we could get eigenvalues, dispersion relations and a relation between the growth rate and the resistivity. The new code was first used to solve two cases with no resistivity and simple unstable equilibria which after running gave the correct expected results. The difference from running the original code with these two cases was the greater speed of the calculations and the less memory needed. Then by using an ideal MHD-stable equilibrium in a plasma with no resistivity the code gave us solutions which unfortunately were not of the expected kind but the time of the calculations was still very fast. The resistivity was finally added to the code with the ideal MHD-stable equilibrium. The program also this time gave incorrect results. We could, however, see from a relation between the growth rate and the resistivity that the solution may be approximately correct in this domain. Although we did not get all the correct results we have to consider the fact that we got results, that were not possible before. Before this work was carried out we could not get any results at all in the resistive cue because of the very long memory demanding expressions. In future work and studies it is not only possible to get the desired eigenvalues {gamma} as function of {eta} but also possible to get expressions for eigenfunctions, dispersion relations and other significant relations with a number of variable parameters. We could also use the method for any geometry and possibly for

  11. Analytic study of resistive instabilities

    International Nuclear Information System (INIS)

    Svensson, Magnus

    2003-05-01

    In a fusion plasma there is always a small amount of resistivity that may cause instabilities. Although their rather slow growth rates they can be of major importance for fusion plasma confinement. In this work a MAPLE-code was rewritten and simplified to make it possible to analytically solve the linearized MHD-equations with resistivity in an RFP-configuration. By using the MHD-equations and expanding the unknown perturbed quantities u 1r (r) and B 1r (r) as Taylor series and solving each coefficient we could get eigenvalues, dispersion relations and a relation between the growth rate and the resistivity. The new code was first used to solve two cases with no resistivity and simple unstable equilibria which after running gave the correct expected results. The difference from running the original code with these two cases was the greater speed of the calculations and the less memory needed. Then by using an ideal MHD-stable equilibrium in a plasma with no resistivity the code gave us solutions which unfortunately were not of the expected kind but the time of the calculations was still very fast. The resistivity was finally added to the code with the ideal MHD-stable equilibrium. The program also this time gave incorrect results. We could, however, see from a relation between the growth rate and the resistivity that the solution may be approximately correct in this domain. Although we did not get all the correct results we have to consider the fact that we got results, that were not possible before. Before this work was carried out we could not get any results at all in the resistive cue because of the very long memory demanding expressions. In future work and studies it is not only possible to get the desired eigenvalues γ as function of η but also possible to get expressions for eigenfunctions, dispersion relations and other significant relations with a number of variable parameters. We could also use the method for any geometry and possibly for non

  12. Statistical analysis of anomalous transport in resistive interchange turbulence

    International Nuclear Information System (INIS)

    Sugama, Hideo; Wakatani, Masahiro.

    1992-01-01

    A new anomalous transport model for resistive interchange turbulence is derived from statistical analysis applying two-scale direct-interaction approximation to resistive magnetohydrodynamic equations with a gravity term. Our model is similar to the K-ε model for eddy viscosity of turbulent shear flows in that anomalous transport coefficients are expressed in terms of by the turbulent kinetic energy K and its dissipation rate ε while K and ε are determined by transport equations. This anomalous transport model can describe some nonlocal effects such as those from boundary conditions which cannot be treated by conventional models based on the transport coefficients represented by locally determined plasma parameters. (author)

  13. Kapitza thermal resistance studied by high-frequency photothermal radiometry

    International Nuclear Information System (INIS)

    Horny, Nicolas; Chirtoc, Mihai; Hamaoui, Georges; Fleming, Austin; Ban, Heng

    2016-01-01

    Kapitza thermal resistance is determined using high-frequency photothermal radiometry (PTR) extended for modulation up to 10 MHz. Interfaces between 50 nm thick titanium coatings and silicon or stainless steel substrates are studied. In the used configuration, the PTR signal is not sensitive to the thermal conductivity of the film nor to its optical absorption coefficient, thus the Kapitza resistance is directly determined from single thermal parameter fits. Results of thermal resistances show the significant influence of the nature of the substrate, as well as of the presence of free electrons at the interface.

  14. Electrical transport and temperature coefficient of resistance in polycrystalline La0.7−xAgxCa0.3MnO3 pellets: Analysis in terms of a phase coexistence transport model and phase separation model

    International Nuclear Information System (INIS)

    Phong, P.T.; Nguyen, L.H.; Manh, D.H.; Phuc, N.X.; Lee, I.-J.

    2013-01-01

    The temperature dependent resistivity and temperature coefficient of resistance of Ag doped La 0.7−x Ag x Ca 0.3 MnO 3 polycrystalline pellets (x=0, 0.05, 0.10, 0.15, and 0.20) are investigated. Ag substitution enhances the conductivity of this system. The Curie temperature also increases from 260 to 283 K with increasing Ag content. Using phase-coexistence transport model and phase separation model, we calculated the resistivity as a function of temperature and the temperature coefficient of resistivity (TCR) behavior. Comparing the calculated maximum TCR, we found that it is related to activation energy, transition temperature, and disorder in doped manganites. The relationship between the proposed TCR behavior and the transport parameters can suggest conditions improving TCR max of doped manganites for the use of the bolometric infrared detectors

  15. Inheritance of Cry1F resistance, cross-resistance and frequency of resistant alleles in Spodoptera frugiperda (Lepidoptera: Noctuidae).

    Science.gov (United States)

    Vélez, A M; Spencer, T A; Alves, A P; Moellenbeck, D; Meagher, R L; Chirakkal, H; Siegfried, B D

    2013-12-01

    Transgenic maize, Zea maize L., expressing the Cry1F protein from Bacillus thuringiensis has been registered for Spodoptera frugiperda (J. E. Smith) control since 2003. Unexpected damage to Cry1F maize was reported in 2006 in Puerto Rico and Cry1F resistance in S. frugiperda was documented. The inheritance of Cry1F resistance was characterized in a S. frugiperda resistant strain originating from Puerto Rico, which displayed >289-fold resistance to purified Cry1F. Concentration-response bioassays of reciprocal crosses of resistant and susceptible parental populations indicated that resistance is recessive and autosomal. Bioassays of the backcross of the F1 generation crossed with the resistant parental strain suggest that a single locus is responsible for resistance. In addition, cross-resistance to Cry1Aa, Cry1Ab, Cry1Ac, Cry1Ba, Cry2Aa and Vip3Aa was assessed in the Cry1F-resistant strain. There was no significant cross-resistance to Cry1Aa, Cry1Ba and Cry2Aa, although only limited effects were observed in the susceptible strain. Vip3Aa was highly effective against susceptible and resistant insects indicating no cross-resistance with Cry1F. In contrast, low levels of cross-resistance were observed for both Cry1Ab and Cry1Ac. Because the resistance is recessive and conferred by a single locus, an F1 screening assay was used to measure the frequency of Cry1F-resistant alleles from populations of Florida and Texas in 2010 and 2011. A total frequency of resistant alleles of 0.13 and 0.02 was found for Florida and Texas populations, respectively, indicating resistant alleles could be found in US populations, although there have been no reports of reduced efficacy of Cry1F-expressing plants.

  16. Characterization of Multidrug Resistant E. faecalis Strains from Pigs of Local Origin by ADSRRS-Fingerprinting and MALDI -TOF MS; Evaluation of the Compatibility of Methods Employed for Multidrug Resistance Analysis.

    Directory of Open Access Journals (Sweden)

    Aneta Nowakiewicz

    Full Text Available The aim of this study was to characterize multidrug resistant E. faecalis strains from pigs of local origin and to analyse the relationship between resistance and genotypic and proteomic profiles by amplification of DNA fragments surrounding rare restriction sites (ADSRRS-fingerprinting and matrix-assisted laser desorption ionization time-of-flight mass spectrometry (MALDI -TOF MS. From the total pool of Enterococcus spp. isolated from 90 pigs, we selected 36 multidrug resistant E. faecalis strains, which represented three different phenotypic resistance profiles. Phenotypic resistance to tetracycline, macrolides, phenicols, and lincomycin and high-level resistance to aminoglycosides were confirmed by the occurrence of at least one corresponding resistance gene in each strain. Based on the analysis of the genotypic and phenotypic resistance of the strains tested, five distinct resistance profiles were generated. As a complement of this analysis, profiles of virulence genes were determined and these profiles corresponded to the phenotypic resistance profiles. The demonstration of resistance to a wide panel of antimicrobials by the strains tested in this study indicates the need of typing to determine the spread of resistance also at the local level. It seems that in the case of E. faecalis, type and scope of resistance strongly determines the genotypic pattern obtained with the ADSRRS-fingerprinting method. The ADSRRS-fingerprinting analysis showed consistency of the genetic profiles with the resistance profiles, while analysis of data with the use of the MALDI- TOF MS method did not demonstrate direct reproduction of the clustering pattern obtained with this method. Our observations were confirmed by statistical analysis (Simpson's index of diversity, Rand and Wallace coefficients. Even though the MALDI -TOF MS method showed slightly higher discrimination power than ADSRRS-fingerprinting, only the latter method allowed reproduction of the

  17. Inheritance and heritability of resistance to citrus leprosis.

    Science.gov (United States)

    Bastianel, Marinês; de Oliveira, Antonio Carlos; Cristofani, Mariângela; Filho, Oliveiro Guerreiro; Freitas-Astúa, Juliana; Rodrigues, Vandeclei; Astúa-Monge, Gustavo; Machado, Marcos Antônio

    2006-10-01

    ABSTRACT The genetic inheritance of resistance to leprosis, the most important viral disease of citrus in Brazil, was characterized through the phenotypic assessment of 143 hybrids resulting from crosses between tangor 'Murcott' (Citrus sinensis x C. reticulata) and sweet orange 'Pêra' (C. sinensis), considered to be resistant and susceptible to the disease, respectively. All plants were grafted onto Rangpur lime (C. limonia) and inoculated with Citrus leprosis virus, cytoplasmic type through the infestation with viruliferous mites, Brevipalpus phoenicis. The experiments were arranged in a completely randomized block design with 10 replicates. Incidence and severity of the disease in leaves and stems as well as plant growth parameters (plant height and stem diameter) were recorded for 3 years after the infestation with the viruliferous mites. The average values of all variables were analyzed using principal component analysis, discriminant factorial analysis, estimation of the clonal repeatability coefficients, and frequency of the distributions of the average values for each measured variable. The principal component analysis resulted in the identification of at least two groups with resistance and susceptibility to leprosis, respectively. About 99% of all hybrids were correctly classified according to the discriminant factorial analysis. The broad-sense heritability coefficients for characteristics associated with incidence and severity of leprosis ranged from 0.88 to 0.96. The data suggest that the inheritance of resistance to leprosis may be controlled by only a few genes.

  18. Effects of pressure and temperature on thermal contact resistance between different materials

    Directory of Open Access Journals (Sweden)

    Zhao Zhe

    2015-01-01

    Full Text Available To explore whether pressure and temperature can affect thermal contact resistance, we have proposed a new experimental approach for measurement of the thermal contact resistance. Taking the thermal contact resistance between phenolic resin and carbon-carbon composites, cuprum, and aluminum as the examples, the influence of the thermal contact resistance between specimens under pressure is tested by experiment. Two groups of experiments are performed and then an analysis on influencing factors of the thermal contact resistance is presented in this paper. The experimental results reveal that the thermal contact resistance depends not only on the thermal conductivity coefficient of materials, but on the interfacial temperature and pressure. Furthermore, the thermal contact resistance between cuprum and aluminum is more sensitive to pressure and temperature than that between phenolic resin and carbon-carbon composites.

  19. Adriamycin resistance, heat resistance and radiation response in Chinese hamster fibroblasts

    International Nuclear Information System (INIS)

    Wallner, K.; Li, G.

    1985-01-01

    Previous investigators have demonstrated synergistic interaction between hyperthermia and radiation or Adriamycin (ADR), using cell lines that are sensitive to heat or ADR alone. The authors investigated the effect of heat, radiation or ADR on Chinese hamster fibroblasts (HA-1), their heat resistant variants and their ADR resistant variants. Heat for ADR resistance did not confer cross resistance to radiation. Cells resistant to heat did show cross resistance to ADR. While cells selected for ADR resistance were not cross resistant to heat, they did not exhibit drug potentiation by hyperthermia, characteristic of ADR sensitive cells. Cytofluorometric measurement showed decreased ADR uptake in both heat and ADR resistant cells. The possibility of cross resistance between heat and ADR should be considered when designing combined modality trials

  20. Outwitting the series resistance in scanning spreading resistance microscopy

    International Nuclear Information System (INIS)

    Schulze, A.; Cao, R.; Eyben, P.; Hantschel, T.; Vandervorst, W.

    2016-01-01

    The performance of nanoelectronics devices critically depends on the distribution of active dopants inside these structures. For this reason, dopant profiling has been defined as one of the major metrology challenges by the international technology roadmap of semiconductors. Scanning spreading resistance microscopy (SSRM) has evolved as one of the most viable approaches over the last decade due to its excellent spatial resolution, sensitivity and quantification accuracy. However, in case of advanced device architectures like fins and nanowires a proper measurement of the spreading resistance is often hampered by the increasing impact of parasitic series resistances (e.g. bulk series resistance) arising from the confined nature of the aforementioned structures. In order to overcome this limitation we report in this paper the development and implementation of a novel SSRM mode (fast Fourier transform-SSRM: FFT-SSRM) which essentially decouples the spreading resistance from parasitic series resistance components. We show that this can be achieved by a force modulation (leading to a modulated spreading resistance signal) in combination with a lock-in deconvolution concept. In this paper we first introduce the principle of operation of the technique. We discuss in detail the underlying physical mechanisms as well as the technical implementation on a state-of-the-art atomic force microscope (AFM). We demonstrate the performance of FFT-SSRM and its ability to remove substantial series resistance components in practice. Eventually, the possibility of decoupling the spreading resistance from the intrinsic probe resistance will be demonstrated and discussed. - Highlights: • A novel electrical AFM mode for carrier profiling in confined volumes is presented. • Thereby the force and hence the contact area between AFM probe and sample is modulated. • Information on the spreading resistance is derived using a lock-in approach. • Bulk series resistance components are

  1. Novel resistance functions uncovered using functional metagenomic investigations of resistance reservoirs

    Directory of Open Access Journals (Sweden)

    Erica C. Pehrsson

    2013-06-01

    Full Text Available Rates of infection with antibiotic-resistant bacteria have increased precipitously over the past several decades, with far-reaching healthcare and societal costs. Recent evidence has established a link between antibiotic resistance genes in human pathogens and those found in non-pathogenic, commensal, and environmental organisms, prompting deeper investigation of natural and human-associated reservoirs of antibiotic resistance. Functional metagenomic selections, in which shotgun-cloned DNA fragments are selected for their ability to confer survival to an indicator host, have been increasingly applied to the characterization of many antibiotic resistance reservoirs. These experiments have demonstrated that antibiotic resistance genes are highly diverse and widely distributed, many times bearing little to no similarity to known sequences. Through unbiased selections for survival to antibiotic exposure, functional metagenomics can improve annotations by reducing the discovery of false-positive resistance and by allowing for the identification of previously unrecognizable resistance genes. In this review, we summarize the novel resistance functions uncovered using functional metagenomic investigations of natural and human-impacted resistance reservoirs. Examples of novel antibiotic resistance genes include those highly divergent from known sequences, those for which sequence is entirely unable to predict resistance function, bifunctional resistance genes, and those with unconventional, atypical resistance mechanisms. Overcoming antibiotic resistance in the clinic will require a better understanding of existing resistance reservoirs and the dissemination networks that govern horizontal gene exchange, informing best practices to limit the spread of resistance-conferring genes to human pathogens.

  2. A study on heat resistance of high temperature resistant coating

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Liping; Wang, Xueying; Zhang, Qibin; Qin, Yanlong; Lin, Zhu [Research Institute of Engineering Technology of CNPC, Tianjin (China)

    2005-04-15

    A high temperature resistant coating has been developed, which is mainly for heavy oil production pipes deserved the serious corrosion. The coating has excellent physical and mechanical performance and corrosion resistance at room and high temperature. In order to simulate the underground working condition of heavy oil pipes,the heat resistance of the high temperature resistant coating has been studied. The development and a study on the heat resistance of the DHT high temperature resistance coating have been introduced in this paper

  3. A study on heat resistance of high temperature resistant coating

    International Nuclear Information System (INIS)

    Zhang, Liping; Wang, Xueying; Zhang, Qibin; Qin, Yanlong; Lin, Zhu

    2005-01-01

    A high temperature resistant coating has been developed, which is mainly for heavy oil production pipes deserved the serious corrosion. The coating has excellent physical and mechanical performance and corrosion resistance at room and high temperature. In order to simulate the underground working condition of heavy oil pipes,the heat resistance of the high temperature resistant coating has been studied. The development and a study on the heat resistance of the DHT high temperature resistance coating have been introduced in this paper

  4. Detection of resistance, cross-resistance, and stability of resistance to new chemistry insecticides in Bemisia tabaci (Homoptera: Aleyrodidae).

    Science.gov (United States)

    Basit, Muhammad; Saeed, Shafqat; Saleem, Mushtaq Ahmad; Denholm, Ian; Shah, Maqbool

    2013-06-01

    Resistance levels in whitefly, Bemisia tabaci (Gennadius) collections from cotton and sunflower (up to four districts) for five neonicotinoids and two insect growth regulators (IGRs) were investigated for two consecutive years. Based on the LC50(s), all collections showed slight to moderate levels of resistance for the tested insecticides compared with the laboratory susceptible population. The data also indicated that cotton and sunflower collections had similar resistance levels. In comparison (four collections), Vehari collections showed higher resistance for acetamiprid, thiacloprid, and nitenpyram compared with those of others. Average resistance ratios for acetamiprid, thiacloprid, and nitenpyram ranged from 5- to 13-, 4- to 8-, and 9- to 13-fold, respectively. Multan and Vehari collections also exhibited moderate levels (9- to 16-fold) of resistance to buprofezin. Furthermore, toxicity of neonicotinoids against immature stages was equal to that of insect growth regulators. The data also suggested that resistance in the field populations was stable. After selection for four generations with bifenthrin (G1 to G4), resistance to bifenthrin increased to 14-fold compared with the laboratory susceptible population. Selection also increased resistance to fenpropathrin, lambdacyhalothrin, imidacloprid, acetamiprid, and diafenthuron. Cross-resistance and stability of resistance in the field populations is of some concern. Rotation of insecticides having no cross-resistance and targeting the control against immature stages may control the resistant insects, simultaneously reducing the selection pressure imposed.

  5. Resistant plasmid profile analysis of multidrug resistant Escherichia ...

    African Journals Online (AJOL)

    Background: Multi-drug resistant Escherichia coli has become a major threat and cause of many urinary tract infections (UTIs) in Abeokuta, Nigeria. Objectives: This study was carried out to determine the resistant plasmids of multidrug resistant Escherichia coli isolated from (Urinary tract infections)UTIs in Abeokuta.

  6. Turbulent resistivity driven by the magnetorotational instability

    Science.gov (United States)

    Fromang, S.; Stone, J. M.

    2009-11-01

    Aims: We measure the turbulent resistivity in the nonlinear regime of the MRI, and evaluate the turbulent magnetic Prandtl number. Methods: We perform a set of numerical simulations with the Eulerian finite volume codes Athena and Ramses in the framework of the shearing box model. We consider models including explicit dissipation coefficients and magnetic field topologies such that the net magnetic flux threading the box in both the vertical and azimuthal directions vanishes. Results: We first demonstrate good agreement between the two codes by comparing the properties of the turbulent states in simulations having identical microscopic diffusion coefficients (viscosity and resistivity). We find the properties of the turbulence do not change when the box size is increased in the radial direction, provided it is elongated in the azimuthal direction. To measure the turbulent resistivity in the disk, we impose a fixed electromotive force on the flow and measure the amplitude of the saturated magnetic field that results. We obtain a turbulent resistivity that is in rough agreement with mean field theories like the Second Order Smoothing Approximation. The numerical value translates into a turbulent magnetic Prandtl number Pmt of order unity. Pmt appears to be an increasing function of the forcing we impose. It also becomes smaller as the box size is increased in the radial direction, in good agreement with previous results obtained in very large boxes. Conclusions: Our results are in general agreement with other recently published papers studying the same problem but using different methodology. Thus, our conclusion that Pmt is of order unity appears robust.

  7. Factors associated with use of slip-resistant shoes in US limited-service restaurant workers.

    Science.gov (United States)

    Verma, Santosh K; Courtney, Theodore K; Corns, Helen L; Huang, Yueng-Hsiang; Lombardi, David A; Chang, Wen-Ruey; Brennan, Melanye J; Perry, Melissa J

    2012-06-01

    Slips and falls are a leading cause of injury at work. Several studies have indicated that slip-resistant shoes can reduce the risk of occupational slips and falls. Few studies, however, have examined the determinants of slip-resistant shoe use. This study examined the individual and workplace factors associated with slip-resistant shoe use. 475 workers from 36 limited-service restaurants in the USA participated in a study of workplace slipping. Demographic and job characteristic information about each participant was collected. Restaurant managers provided information on whether slip-resistant shoes were provided and paid for by the employer and whether any guidance was given regarding slip-resistant shoe use when they were not provided. Kitchen floor coefficient of friction was measured. Slip-resistant status of the shoes was determined by noting the presence of a 'slip-resistant' marking on the sole. Poisson regression with robust SE was used to calculate prevalence ratios. 320 participants wore slip-resistant shoes (67%). In the multivariate analysis, the prevalence of slip-resistant shoe use was lowest in 15-19-year age group. Women were more likely to wear slip-resistant shoes (prevalence ratio 1.18, 95% CI 1.07 to 1.31). The prevalence of slip-resistant shoe use was lower when no guidance regarding slip-resistant shoes was given as compared to when they were provided by the employer (prevalence ratio 0.66, 95% CI 0.55 to 0.79). Education level, job tenure and the mean coefficient of friction had no significant effects on the use of slip-resistant shoes. Provision of slip-resistant shoes was the strongest predictor of their use. Given their effectiveness and low cost, employers should consider providing slip-resistant shoes at work.

  8. Dependence of defect introduction on temperature and resistivity and some long-term annealing effects

    Science.gov (United States)

    Brucker, G. J.

    1971-01-01

    The effort reported here represents data of lithium properties in bulk-silicon samples before and after irradiation for analytical information required to characterize the interactions of lithium with radiation-induced defects in silicon. A model of the damage and recovery mechanisms in irradiated-lithium-containing solar cells is developed based on making measurements of the Hall coefficient and resistivity of samples irradiated by 1-MeV electrons. Experiments on bulk samples included Hall coefficient and resistivity measurements taken as a function of: (1) bombardment temperature, (2) resistivity, (3) fluence, (4) oxygen concentration, and (5) annealing time at temperatures from 300 to 373 K.

  9. Understanding the resistivity and absolute thermoelectric power of disordered metals and alloys

    International Nuclear Information System (INIS)

    Gasser, Jean-Georges

    2008-01-01

    We recall definitions of the electronic transport properties, direct coefficients like electrical and thermal transport conductivities and crossed thermoelectric coefficients like the Seebeck, Peltier and Thomson coefficients. We discuss the links between the different electronic transport coefficients and the experimental problems in measuring these properties in liquid metals. The electronic transport properties are interpreted in terms of the scattering of electrons by 'pseudo-atoms'. The absolute thermoelectric power (ATP), thermopower or Seebeck coefficient is known as the derivative of the electrical resistivity versus energy. The key is to understand the concept of resistivity versus energy. We show that the resistivity follows approximately a 1/E curve. The structure factor modulates this curve and, for a Fermi energy corresponding to noble and divalent metals, induces a positive thermopower when the free electron theory predicts a negative one. A second modulation is introduced by the pseudopotential squared form factor or equivalently by the squared t matrix of the scattering potential. This term sometimes introduces an anti-resonance (divalent metals) which lowers the resistivity, and sometimes a resonance having an important effect on the transition metals. Following the position of the Fermi energy, the thermopower can be positive or negative. For heavy semi-metals, the density of states splits into an s and a p band, themselves different from a free electron E 0.5 curve. The electrons available to be scattered enter the Ziman formula. Thus if the density of states is not a free electron one, a third modulation of the ρ ≅ 1/E curve is needed, which also can change the sign of the thermopower. For alloys, different contributions weighted by the concentrations are needed to explain the concentration dependent resistivity or thermopower. The formalism is the same for amorphous metals. It is possible that this mechanism can be extended to high

  10. Resistant plasmid profile analysis of multidrug resistant Escherichia ...

    African Journals Online (AJOL)

    Multiple drug resistance isolates causing UTI has seri- ous implications for the empiric therapy against patho- genic isolates and for the possible co-selection of antimicrobial resistant mediated by multi drug resistant plasmids21,22. E. coli from clinical isolates are known to harbour plasmids of different molecular sizes23.

  11. Resistance to Linezolid

    DEFF Research Database (Denmark)

    Vester, Birte; Ntokou, Eleni

    2017-01-01

    Linezolid is an antimicrobial agent that binds to the bacterial ribosome and thereby inhibits protein synthesis. Soon after its release as a clinical drug, it became clear that bacteria could become resistant to linezolid. The resistance mechanisms are mainly causing alteration of the drug target...... site, but probably efflux might also play a role. The resistance is still rare in surveillance studies, but outbreaks of resistant clones from hospitals have been observed. So far the main mechanisms of resistance are occurrence of mutations in ribosomal genes or obtaining plasmids with a gene coding...... for a methyltransferase providing resistance. The most obvious way to avoid resistance may be development of derivatives of linezolid overcoming the known resistance mechanisms....

  12. Near-zero temperature coefficient of resistivity associated with magnetic ordering in antiperovskite Mn{sub 3+x}Ni{sub 1−x}N

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Sihao; Sun, Ying; Wang, Lei; Shi, Kewen; Hu, Pengwei; Wang, Cong, E-mail: congwang@buaa.edu.cn [Center for Condensed Matter and Materials Physics, Department of Physics, Beihang University, Beijing 100191 (China); Wu, Hui; Huang, Qingzhen [NIST Center for Neutron Research, National Institute of Standards and Technology, Gaithersburg, Maryland 20899-6102 (United States)

    2016-01-25

    The near-zero temperature coefficient of resistivity (NZ-TCR) behavior is reported in the antiperovskite compounds Mn{sub 3+x}Ni{sub 1−x}N (0 ≤ x ≤ 0.333). Our results indicate that the broad temperature range (above 275 K extending to above 220 K) of NZ-TCR is obtained by Mn doping at the Ni site. The short-range magnetic ordering is revealed by both neutron powder diffraction and inverse magnetic susceptibility. Further, we find a strong correlation between the anomalous resistivity change of Mn{sub 3+x}Ni{sub 1−x}N from the metal-like to the NZ-TCR behavior and the lack of the long-range magnetic ordering. The possible mechanism of NZ-TCR behavior is discussed using the spin-disorder scattering model.

  13. Low-level quinolone-resistance in multi-drug resistant typhoid

    Energy Technology Data Exchange (ETDEWEB)

    Mirza, S H; Khan, M A [Armed Forces Inst. of Pathology, Rawalpindi (Pakistan). Dept. of Microbiolgy

    2008-01-15

    To find out the frequency of low-level quinolone-resistance in Multi-Drug Resistant (MDR) typhoid using nalidixic acid screening disc. Blood was obtained from suspected cases of typhoid fever and cultured in to BacT/ALERT. The positive blood cultures bottles were subcultured. The isolates were identified by colony morphology and biochemical tests using API-20E galleries. Susceptibility testing of isolates was done by modified Kirby-Bauer disc diffusion method on Muellar Hinton Agar. For the isolates, which were resistant to nalidixic acid by disc diffusion method, Minimal Inhibitory Concentrations (MICs) of ciprofloxacin and nalidixic acid were determined by using the E-test strips. Disc diffusion susceptibility tests and MICs were interpreted according to the guidelines provided by National Committee for Control Laboratory Standard (NCCLS). A total of 21(65.5%) out of 32 isolates of Salmonellae were nalidixic acid-resistant by disk diffusion method. All the nalidixic acid-resistant isolates by disc diffusion method were confirmed by MICs for both ciprofloxacin and nalidixic acid. All the nalidixic acid-resistant isolates had a ciprofloxacin MIC of 0.25-1 microg/ml (reduced susceptibility) and nalidixic acid MICs > 32 microg (resistant). Out of all Salmonella isolates, 24 (75%) were found to be MDR, and all were S. typbi. Low-level quinolone-resistance in typhoid was high in this small series. Screening for nalidixic acid resistance with a 30 microg nalidixic acid disk is a reliable and cost-effective method to detect low-level fluoroquinolone resistance, especially in the developing countries. (author)

  14. Low-level quinolone-resistance in multi-drug resistant typhoid

    International Nuclear Information System (INIS)

    Mirza, S.H.; Khan, M.A.

    2008-01-01

    To find out the frequency of low-level quinolone-resistance in Multi-Drug Resistant (MDR) typhoid using nalidixic acid screening disc. Blood was obtained from suspected cases of typhoid fever and cultured in to BacT/ALERT. The positive blood cultures bottles were subcultured. The isolates were identified by colony morphology and biochemical tests using API-20E galleries. Susceptibility testing of isolates was done by modified Kirby-Bauer disc diffusion method on Muellar Hinton Agar. For the isolates, which were resistant to nalidixic acid by disc diffusion method, Minimal Inhibitory Concentrations (MICs) of ciprofloxacin and nalidixic acid were determined by using the E-test strips. Disc diffusion susceptibility tests and MICs were interpreted according to the guidelines provided by National Committee for Control Laboratory Standard (NCCLS). A total of 21(65.5%) out of 32 isolates of Salmonellae were nalidixic acid-resistant by disk diffusion method. All the nalidixic acid-resistant isolates by disc diffusion method were confirmed by MICs for both ciprofloxacin and nalidixic acid. All the nalidixic acid-resistant isolates had a ciprofloxacin MIC of 0.25-1 microg/ml (reduced susceptibility) and nalidixic acid MICs > 32 microg (resistant). Out of all Salmonella isolates, 24 (75%) were found to be MDR, and all were S. typbi. Low-level quinolone-resistance in typhoid was high in this small series. Screening for nalidixic acid resistance with a 30 microg nalidixic acid disk is a reliable and cost-effective method to detect low-level fluoroquinolone resistance, especially in the developing countries. (author)

  15. RELATIONSHIP BETWEEN ROLLING AND SLIP RESISTANCE IN ROLLING BEARINGS

    Directory of Open Access Journals (Sweden)

    L. M. Bondarenko

    2016-06-01

    Full Text Available Purpose. About one of the causes of slip rolling is known from the second half of the 19th century, it was believed that the slip resistance appears at the place of contact due to different speeds on the arc of contact. Only in the mid-20th century it was proved that this resistance is negligible in rolling resistance. However (for some unknown reason it is ignored the fact that in practice in rolling bearings may rotate both the inner ring with a stationary outer one, and vice versa almost in equal relations. It is not taken into account the fact that the ball or roller in the rolling bearings runs the different distance along the roller path of the outer and inner bearing cages in one revolution. This fact is not taken into account in determining the calculated values for the friction coefficient of a rolling bearing reduced to the shaft. Therefore, the aim of this work is to determine the influence of path length on the track riding the outer and inner race of the bearing on the determination of the calculated value of the coefficient of friction of rolling bearings is given to the shaft. Methodology. The solution technique is based on the theory of plane motion of a rigid body, the theory of Hertzian contact deformation and the analytical dependencies for determination of coefficient of rolling friction. Findings. The obtained dependences on determination of rolling resistance of the balls or rollers along the bearing tracks of inner and outer bearing cages as well as path difference metering of the rolling on them allows to analytically obtain the rolling resistance and slipping for any size of bearings and different devices of bearing units. It is also possible at the design stage of rolling nodes to handle not only the design but also the content of the node. Originality. Using the analytical dependences for determination of the rolling resistance of bodies at point and line contacts, and also account for the difference in the path of the

  16. Microstructure and wear resistance of in situ porous TiO/Cu composites

    Science.gov (United States)

    Qin, Qingdong; Huang, Bowei; Li, Wei

    2016-07-01

    An in situ porous TiO/Cu composite is successfully prepared using powder metallurgy by the reaction of Ti2CO and Cu powder. Morphological examination of the composite shows that the porosity of composites lies in the range between 10.2% and 35.2%. Dry sliding un-lubricated wear tests show that the wear resistance of the composite is higher than that of the Cu-Al alloy ingot. The coefficient of friction test shows that, as the volume fraction of the reinforced phase increases, the coefficient of friction decreases. The wear rate variation trend of the oil-lubricated wear test results is similar to that of the un-lubricated wear test results. The coefficient of friction for oil lubrication is similar for different volume fractions of the reinforced phase. The wear resistance of the composite at a sliding velocity of 200 rpm is slightly larger than that at 50 rpm. The porosity of the composites enhances the high-velocity oil-lubricated sliding wear resistance.

  17. Antibiotic resistance

    Directory of Open Access Journals (Sweden)

    Marianne Frieri

    2017-07-01

    Full Text Available Summary: Antimicrobial resistance in bacterial pathogens is a challenge that is associated with high morbidity and mortality. Multidrug resistance patterns in Gram-positive and -negative bacteria are difficult to treat and may even be untreatable with conventional antibiotics. There is currently a shortage of effective therapies, lack of successful prevention measures, and only a few new antibiotics, which require development of novel treatment options and alternative antimicrobial therapies. Biofilms are involved in multidrug resistance and can present challenges for infection control. Virulence, Staphylococcus aureus, Clostridium difficile infection, vancomycin-resistant enterococci, and control in the Emergency Department are also discussed. Keywords: Antibiotic resistance, Biofilms, Infections, Public health, Emergency Department

  18. Insecticide resistance and resistance mechanisms in bed bugs, Cimex spp. (Hemiptera: Cimicidae).

    Science.gov (United States)

    Dang, Kai; Doggett, Stephen L; Veera Singham, G; Lee, Chow-Yang

    2017-06-29

    The worldwide resurgence of bed bugs [both Cimex lectularius L. and Cimex hemipterus (F.)] over the past two decades is believed in large part to be due to the development of insecticide resistance. The transcriptomic and genomic studies since 2010, as well as morphological, biochemical and behavioral studies, have helped insecticide resistance research on bed bugs. Multiple resistance mechanisms, including penetration resistance through thickening or remodelling of the cuticle, metabolic resistance by increased activities of detoxification enzymes (e.g. cytochrome P450 monooxygenases and esterases), and knockdown resistance by kdr mutations, have been experimentally identified as conferring insecticide resistance in bed bugs. Other candidate resistance mechanisms, including behavioral resistance, some types of physiological resistance (e.g. increasing activities of esterases by point mutations, glutathione S-transferase, target site insensitivity including altered AChEs, GABA receptor insensitivity and altered nAChRs), symbiont-mediated resistance and other potential, yet undiscovered mechanisms may exist. This article reviews recent studies of resistance mechanisms and the genes governing insecticide resistance, potential candidate resistance mechanisms, and methods of monitoring insecticide resistance in bed bugs. This article provides an insight into the knowledge essential for the development of both insecticide resistance management (IRM) and integrated pest management (IPM) strategies for successful bed bug management.

  19. Glyphosate-Resistant Parthenium hysterophorus in the Caribbean Islands: Non Target Site Resistance and Target Site Resistance in Relation to Resistance Levels.

    Directory of Open Access Journals (Sweden)

    Enzo Bracamonte

    2016-12-01

    Full Text Available Glyphosate has been the most intensely herbicide used worldwide for decades, and continues to be a single tool for controlling weeds in woody crops. However, the adoption of this herbicide in a wide range of culture systems has led to the emergence of resistant weeds. Glyphosate has been widely used primarily on citrus in the Caribbean area, but a study of resistance in the Caribbean islands of Cuba and the Dominican Republic has never been carried out. Unfortunately, Parthenium hysterophorus has developed glyphosate-resistance in both islands, independently. The resistance level and mechanisms of different P. hysterophorus accessions (three collected in Cuba (Cu-R and four collected in the Dominican Republic (Do-R have been studied under greenhouse and laboratory conditions. In in vivo assays (glyphosate dose causing 50% reduction in above-ground vegetative biomass and survival, the resistance factor levels showed susceptible accessions (Cu-S≥Do-S, low-resistance accessions (Cu-R3Do-R2>Cu-R2>Do-R3>Do-R4>Cu-R3>>Cu-S≥Do-S. Glyphosate was degraded to aminomethylphosphonic acid, glyoxylate and sarcosine by >88% in resistant accessions except in Cu-R3 and Do-R4 resistant accessions (51.12 and 44.21, respectively, whereas a little glyphosate (<9.32% was degraded in both susceptible accessions at 96 h after treatment. There were significant differences between P. hysterophorus accessions in the 5-enolpyruvylshikimate-3-phosphate synthase (EPSPS activity enzyme with and without different glyphosate rates. The R accessions showed values of between 0.026 and 0.21 µmol µg-1 TSP protein min-1 basal EPSPS activity values with respect to the S (0.024 and 0.025 accessions. The same trend was found in the EPSPS enzyme activity treated with glyphosate, where a higher enzyme activity inhibition (glyphosate µM corresponded to greater resistance levels in P. hysterophorus accessions. One amino acid substitution was found at position 106 in EPSPS, consisting

  20. Experimental verification of temperature coefficients of resistance for uniformly doped P-type resistors in SOI

    Science.gov (United States)

    Olszacki, M.; Maj, C.; Bahri, M. Al; Marrot, J.-C.; Boukabache, A.; Pons, P.; Napieralski, A.

    2010-06-01

    Many today's microsystems like strain-gauge-based piezoresistive pressure sensors contain doped resistors. If one wants to predict correctly the temperature impact on the performance of such devices, the accurate data about the temperature coefficients of resistance (TCR) are essential. Although such data may be calculated using one of the existing mobility models, our experiments showed that we can observe the huge mismatch between the calculated and measured values. Thus, in order to investigate the TCR values, a set of the test structures that contained doped P-type resistors was fabricated. As the TCR value also depends on the doping profile shape, we decided to use the very thin, 340 nm thick SOI wafers in order to fabricate the quasi-uniformly doped silicon layers ranging from 2 × 1017 at cm-3 to 1.6 × 1019 at cm-3. The results showed that the experimental data for the first-order TCR are quite far from the calculated ones especially over the doping range of 1018-1019 at cm-3 and quite close to the experimental ones obtained by Bullis about 50 years ago for bulk silicon. Moreover, for the first time, second-order coefficients that were not very consistent with the calculations were obtained.

  1. Experimental verification of temperature coefficients of resistance for uniformly doped P-type resistors in SOI

    International Nuclear Information System (INIS)

    Olszacki, M; Maj, C; Al Bahri, M; Marrot, J-C; Boukabache, A; Pons, P; Napieralski, A

    2010-01-01

    Many today's microsystems like strain-gauge-based piezoresistive pressure sensors contain doped resistors. If one wants to predict correctly the temperature impact on the performance of such devices, the accurate data about the temperature coefficients of resistance (TCR) are essential. Although such data may be calculated using one of the existing mobility models, our experiments showed that we can observe the huge mismatch between the calculated and measured values. Thus, in order to investigate the TCR values, a set of the test structures that contained doped P-type resistors was fabricated. As the TCR value also depends on the doping profile shape, we decided to use the very thin, 340 nm thick SOI wafers in order to fabricate the quasi-uniformly doped silicon layers ranging from 2 × 10 17 at cm −3 to 1.6 × 10 19 at cm −3 . The results showed that the experimental data for the first-order TCR are quite far from the calculated ones especially over the doping range of 10 18 –10 19 at cm −3 and quite close to the experimental ones obtained by Bullis about 50 years ago for bulk silicon. Moreover, for the first time, second-order coefficients that were not very consistent with the calculations were obtained.

  2. Reconceptualizing resistance: sociology and the affective dimension of resistance.

    Science.gov (United States)

    Hynes, Maria

    2013-12-01

    This paper re-examines the sociological study of resistance in light of growing interest in the concept of affect. Recent claims that we are witness to an 'affective turn' and calls for a 'new sociological empiricism' sensitive to affect indicate an emerging paradigm shift in sociology. Yet, mainstream sociological study of resistance tends to have been largely unaffected by this shift. To this end, this paper presents a case for the significance of affect as a lens by which to approach the study of resistance. My claim is not simply that the forms of actions we would normally recognize as resistance have an affective dimension. Rather, it is that the theory of affect broadens 'resistance' beyond the purview of the two dominant modes of analysis in sociology; namely, the study of macropolitical forms, on the one hand, and the micropolitics of everyday resistance on the other. This broadened perspective challenges the persistent assumption that ideological forms of power and resistance are the most pertinent to the contemporary world, suggesting that much power and resistance today is of a more affective nature. In making this argument, it is a Deleuzian reading of affect that is pursued, which opens up to a level of analysis beyond the common understanding of affect as emotion. I argue that an affective approach to resistance would pay attention to those barely perceptible transitions in power and mobilizations of bodily potential that operate below the conscious perceptions and subjective emotions of social actors. These affective transitions constitute a new site at which both power and resistance operate. © London School of Economics and Political Science 2013.

  3. Ion implantation to improve mechanical and electrical properties of resistive materials based on ruthenium dioxide

    International Nuclear Information System (INIS)

    Byeli, A.V.; Shykh, S.K.; Beresina, V.P.

    1996-01-01

    This paper reports the influence of ion implantation, using different chemical species, on the surface micromorphology, wear resistance, coefficient of friction and electrical resistivity, and its variation during friction for resistive materials based on ruthenium dioxide. It is demonstrated that nitrogen and hydrogen ions are the most effective for modifying surface properties. (Author)

  4. Review of resistance factor for steel: resistance distributions and resistance factor calibration

    International Nuclear Information System (INIS)

    Schmidt, B.J.; Bartlett, F.M.

    2002-01-01

    Changes in the Canadian steel industry warrant a review of the steel resistance factor in CSA Standard S16 (formerly S16.1) 'Limit states design of steel structures', originally calibrated in the landmark study by Kennedy and Gad Aly in 1980. This paper presents statistical parameters for the bending, compression, and tension resistances of W, WWF, and HSS components produced since 1999 that have been derived from geometric and material properties presented in a companion paper. The resistance factor for steel was recalibrated for the live and dead load combination in the 1995 National Building Code of Canada. A resistance factor of 0.95 is suitable for laterally supported beams, stocky columns, and tension members failing by yield of the gross section, whereas the current value of 0.90 is appropriate for intermediate columns and tension members failing by fracture of the net section. (author)

  5. Antimicrobial resistance determinant microarray for analysis of multi-drug resistant isolates

    Science.gov (United States)

    Taitt, Chris Rowe; Leski, Tomasz; Stenger, David; Vora, Gary J.; House, Brent; Nicklasson, Matilda; Pimentel, Guillermo; Zurawski, Daniel V.; Kirkup, Benjamin C.; Craft, David; Waterman, Paige E.; Lesho, Emil P.; Bangurae, Umaru; Ansumana, Rashid

    2012-06-01

    The prevalence of multidrug-resistant infections in personnel wounded in Iraq and Afghanistan has made it challenging for physicians to choose effective therapeutics in a timely fashion. To address the challenge of identifying the potential for drug resistance, we have developed the Antimicrobial Resistance Determinant Microarray (ARDM) to provide DNAbased analysis for over 250 resistance genes covering 12 classes of antibiotics. Over 70 drug-resistant bacteria from different geographic regions have been analyzed on ARDM, with significant differences in patterns of resistance identified: genes for resistance to sulfonamides, trimethoprim, chloramphenicol, rifampin, and macrolide-lincosamidesulfonamide drugs were more frequently identified in isolates from sources in Iraq/Afghanistan. Of particular concern was the presence of genes responsible for resistance to many of the last-resort antibiotics used to treat war traumaassociated infections.

  6. Effect of barnacle fouling on ship resistance and powering.

    Science.gov (United States)

    Demirel, Yigit Kemal; Uzun, Dogancan; Zhang, Yansheng; Fang, Ho-Chun; Day, Alexander H; Turan, Osman

    2017-11-01

    Predictions of added resistance and the effective power of ships were made for varying barnacle fouling conditions. A series of towing tests was carried out using flat plates covered with artificial barnacles. The tests were designed to allow the examination of the effects of barnacle height and percentage coverage on the resistance and effective power of ships. The drag coefficients and roughness function values were evaluated for the flat plates. The roughness effects of the fouling conditions on the ships' frictional resistances were predicted. Added resistance diagrams were then plotted using these predictions, and powering penalties for these ships were calculated using the diagrams generated. The results indicate that the effect of barnacle size is significant, since a 10% coverage of barnacles each 5 mm in height caused a similar level of added power requirements to a 50% coverage of barnacles each 1.25 mm in height.

  7. Temporal Relationship Between Hyperuricemia and Insulin Resistance and Its Impact on Future Risk of Hypertension.

    Science.gov (United States)

    Han, Tianshu; Lan, Li; Qu, Rongge; Xu, Qian; Jiang, Ruyue; Na, Lixin; Sun, Changhao

    2017-10-01

    Although hyperuricemia and insulin resistance significantly correlated, their temporal sequence and how the sequence influence on future risk of hypertension are largely unknown. This study assessed temporal relationship between uric acid and insulin resistance and its impact on future risk of hypertension by examining a longitudinal cohort including 8543 subjects aged 20 to 74 years from China, with an average follow-up of 5.3 years. Measurements of fasting uric acid, as well as fasting and 2-hour serum glucose and insulin, were obtained at baseline and follow-up. Indicators of hepatic and peripheral insulin resistance were calculated. Cross-lagged panel and mediation analysis were used to examine the temporal relationship between uric acid and insulin resistance and its impact on follow-up hypertension. After adjusting for covariates, the cross-lagged path coefficients ( β 1 values) from baseline uric acid to follow-up insulin resistance indices were significantly greater than path coefficients ( β 2 values) from baseline insulin resistance indices to follow-up uric acid ( β 1 =0.110 versus β 2 =0.017; P hypertensive group were significantly greater than that in the normotensive group ( P hypertension, and the mediation effect of peripheral insulin resistance was significantly greater than that of hepatic insulin resistance (31.3% versus 13.2%; P hypertension than hepatic insulin resistance does. © 2017 American Heart Association, Inc.

  8. Resistant Hypertension.

    Science.gov (United States)

    Doroszko, Adrian; Janus, Agnieszka; Szahidewicz-Krupska, Ewa; Mazur, Grzegorz; Derkacz, Arkadiusz

    2016-01-01

    Resistant hypertension is a severe medical condition which is estimated to appear in 9-18% of hypertensive patients. Due to higher cardiovascular risk, this disorder requires special diagnosis and treatment. The heterogeneous etiology, risk factors and comorbidities of resistant hypertension stand in need of sophisticated evaluation to confirm the diagnosis and select the best therapeutic options, which should consider lifestyle modifications as well as pharmacological and interventional treatment. After having excluded pseudohypertension, inappropriate blood pressure measurement and control as well as the white coat effect, suspicion of resistant hypertension requires an analysis of drugs which the hypertensive patient is treated with. According to one definition - ineffective treatment with 3 or more antihypertensive drugs including diuretics makes it possible to diagnose resistant hypertension. A multidrug therapy including angiotensin - converting enzyme inhibitors, angiotensin II receptor blockers, beta blockers, diuretics, long-acting calcium channel blockers and mineralocorticoid receptor antagonists has been demonstrated to be effective in resistant hypertension treatment. Nevertheless, optional, innovative therapies, e.g. a renal denervation or baroreflex activation, may create a novel pathway of blood pressure lowering procedures. The right diagnosis of this disease needs to eliminate the secondary causes of resistant hypertension e.g. obstructive sleep apnea, atherosclerosis and renal or hormonal disorders. This paper briefly summarizes the identification of the causes of resistant hypertension and therapeutic strategies, which may contribute to the proper diagnosis and an improvement of the long term management of resistant hypertension.

  9. Friction correction for model ship resistance and propulsion tests in ice at NRC's OCRE-RC

    Directory of Open Access Journals (Sweden)

    Michael Lau

    2018-05-01

    Full Text Available This paper documents the result of a preliminary analysis on the influence of hull-ice friction coefficient on model resistance and power predictions and their correlation to full-scale measurements. The study is based on previous model-scale/full-scale correlations performed on the National Research Council - Ocean, Coastal, and River Engineering Research Center's (NRC/OCRE-RC model test data. There are two objectives for the current study: (1 to validate NRC/OCRE-RC's modeling standards in regarding to its practice of specifying a CFC (Correlation Friction Coefficient of 0.05 for all its ship models; and (2 to develop a correction methodology for its resistance and propulsion predictions when the model is prepared with an ice friction coefficient slightly deviated from the CFC of 0.05. The mean CFC of 0.056 and 0.050 for perfect correlation as computed from the resistance and power analysis, respectively, have justified NRC/OCRE-RC's selection of 0.05 for the CFC of all its models. Furthermore, a procedure for minor friction corrections is developed. Keywords: Model test, Ice resistance, Power, Friction correction, Correlation friction coefficient

  10. Antibiotic Resistance

    DEFF Research Database (Denmark)

    Munck, Christian

    morbidity and mortality as well as an increase in the cost of treatment. Understanding how bacteria respond to antibiotic exposure gives the foundations for a rational approach to counteract antimicrobial resistance. In the work presented in this thesis, I explore the two fundamental sources...... of antimicrobial resistance: (1) adaptive mutations and (2) horizontal acquisition of resistance genes from antibiotic gene reservoirs. By studying the geno- and phenotypic changes of E. coli in response to single and drug-pair exposures, I uncover the evolutionary trajectories leading to adaptive resistance. I...... to rationally design drug combinations that limit the evolution of antibiotic resistance due to counteracting evolutionary trajectories. My results highlight that an in-depth knowledge about the genetic responses to the individual antimicrobial compounds enables the prediction of responses to drug combinations...

  11. Resistance and sheet resistance measurements using electron beam induced current

    International Nuclear Information System (INIS)

    Czerwinski, A.; Pluska, M.; Ratajczak, J.; Szerling, A.; KaPtcki, J.

    2006-01-01

    A method for measurement of spatially uniform or nonuniform resistance in layers and strips, based on electron beam induced current (EBIC) technique, is described. High electron beam currents are used so that the overall resistance of the measurement circuit affects the EBIC signal. During the evaluation, the electron beam is scanned along the measured object, whose load resistance varies with the distance. The variation is compensated by an adjustable resistance within an external circuit. The method has been experimentally deployed for sheet resistance determination of buried regions of lateral confinements in semiconductor laser heterostructures manufactured by molecular beam epitaxy

  12. Larson-Miller Constant of Heat-Resistant Steel

    Science.gov (United States)

    Tamura, Manabu; Abe, Fujio; Shiba, Kiyoyuki; Sakasegawa, Hideo; Tanigawa, Hiroyasu

    2013-06-01

    Long-term rupture data for 79 types of heat-resistant steels including carbon steel, low-alloy steel, high-alloy steel, austenitic stainless steel, and superalloy were analyzed, and a constant for the Larson-Miller (LM) parameter was obtained in the current study for each material. The calculated LM constant, C, is approximately 20 for heat-resistant steels and alloys except for high-alloy martensitic steels with high creep resistance, for which C ≈ 30 . The apparent activation energy was also calculated, and the LM constant was found to be proportional to the apparent activation energy with a high correlation coefficient, which suggests that the LM constant is a material constant possessing intrinsic physical meaning. The contribution of the entropy change to the LM constant is not small, especially for several martensitic steels with large values of C. Deformation of such martensitic steels should accompany a large entropy change of 10 times the gas constant at least, besides the entropy change due to self-diffusion.

  13. Dissemination of antibiotic resistance in methicillin-resistant Staphylococcus aureus and vancomycin-resistant S aureus strains isolated from hospital effluents.

    Science.gov (United States)

    Mandal, Santi M; Ghosh, Ananta K; Pati, Bikas R

    2015-12-01

    Vancomycin-resistant Staphylococcus aureus (VRSA) and methicillin-resistant S aureus (MRSA) strains were examined in hospital effluents. Most S aureus strains are resistant to methicillin (MRSA), followed by tetracycline. Approximately 15% of MRSA strains are also resistant to vancomycin (VRSA). All VRSA strains developed a VanR/VanS-regulated 2-component system of VanA-type resistance in their genome. Results indicate that there is a possibility of developing resistance to aminoglycosides by VRSA strains in the near future. Copyright © 2015 Association for Professionals in Infection Control and Epidemiology, Inc. Published by Elsevier Inc. All rights reserved.

  14. Microstructure and temperature coefficient of resistance of thin cermet resistor films deposited from CrSi2-Cr-SiC targets by S-gun magnetron

    International Nuclear Information System (INIS)

    Felmetsger, Valery V.

    2010-01-01

    Technological solutions for producing nanoscale cermet resistor films with sheet resistances above 1000 Ω/□ and low temperature coefficients of resistance (TCR) have been investigated. 2-40 nm thick cermet films were sputter deposited from CrSi 2 -Cr-SiC targets by a dual cathode dc S-gun magnetron. In addition to studying film resistance versus temperature, the nanofilm structural features and composition were analyzed using scanning electron microscopy, atomic force microscopy, high-resolution transmission electron microscopy, energy-dispersive x-ray spectroscopy, and electron energy loss spectroscopy. This study has revealed that all cermet resistor films deposited at ambient and elevated temperatures were amorphous. The atomic ratio of Si to Cr in these films was about 2 to 1. The film TCR displayed a significant increase when the deposited film thickness was reduced below 2.5 nm. An optimized sputter process consisting of wafer degassing, cermet film deposition at elevated temperature with rf substrate bias, and a double annealing in vacuum, consisting of in situ annealing following the film sputtering and an additional annealing following the exposure of the wafers to air, has been found to be very effective for the film thermal stabilization and for fine tuning the film TCR. Cermet films with thicknesses in the range of 2.5-4 nm deposited using this technique had sheet resistances ranging from 1800 to 1200 Ω/□ and TCR values from -50 ppm/ deg. C to near zero, respectively. A possible mechanism responsible for the high efficiency of annealing the cermet films in vacuum (after preliminary exposure to air), resulting in resistance stabilization and TCR reduction, is also discussed.

  15. Dinitroaniline herbicide resistance in a multiple-resistant Lolium rigidum population.

    Science.gov (United States)

    Chen, Jinyi; Yu, Qin; Owen, Mechelle; Han, Heping; Powles, Stephen

    2018-04-01

    The pre-emergence dinitroaniline herbicides (such as trifluralin and pendimethalin) are vital to Australian no-till farming systems. A Lolium rigidum population collected from the Western Australian grain belt with a 12-year trifluralin use history was characterised for resistance to dinitroaniline, acetyl CoA carboxylase (ACCase)- and acetolactate synthase (ALS)-inhibiting herbicides. Target-site resistance mechanisms were investigated. This L. rigidum population exhibited 32-fold resistance to trifluralin, as compared with the susceptible population. It also displayed 12- to 30-fold cross-resistance to other dinitroaniline herbicides (pendimethalin, ethalfluralin and oryzalin). In addition, this population showed multiple resistance to commonly used post-emergence ACCase- and ALS-inhibiting herbicides. Two target-site α-tubulin gene mutations (Val-202-Phe and Thr-239-Ile) previously documented in other dinitroaniline-resistant weed species were identified, and some known target-site mutations in ACCase (Ile-1781-Leu, Asp-2078-Gly and Cys-2088-Arg) and ALS (Pro-197-Gln/Ser) were found in the same population. An agar-based Petri dish screening method was established for the rapid diagnosis of resistance to dinitroaniline herbicides. Evolution of target-site resistance to both pre- and post-emergence herbicides was confirmed in a single L. rigidum population. The α-tubulin mutations Val-202-Phe and Thr-239-Ile, documented here for the first time in L. rigidum, are likely to be responsible for dinitroaniline resistance in this population. Early detection of dinitroaniline herbicide resistance and integrated weed management strategies are needed to maintain the effectiveness of dinitroaniline herbicides. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  16. Antimicrobial resistance: the major contribution of poor governance and corruption to this growing problem.

    Directory of Open Access Journals (Sweden)

    Peter Collignon

    Full Text Available To determine how important governmental, social, and economic factors are in driving antibiotic resistance compared to the factors usually considered the main driving factors-antibiotic usage and levels of economic development.A retrospective multivariate analysis of the variation of antibiotic resistance in Europe in terms of human antibiotic usage, private health care expenditure, tertiary education, the level of economic advancement (per capita GDP, and quality of governance (corruption. The model was estimated using a panel data set involving 7 common human bloodstream isolates and covering 28 European countries for the period 1998-2010.Only 28% of the total variation in antibiotic resistance among countries is attributable to variation in antibiotic usage. If time effects are included the explanatory power increases to 33%. However when the control of corruption indicator is included as an additional variable, 63% of the total variation in antibiotic resistance is now explained by the regression. The complete multivariate regression only accomplishes an additional 7% in terms of goodness of fit, indicating that corruption is the main socioeconomic factor that explains antibiotic resistance. The income level of a country appeared to have no effect on resistance rates in the multivariate analysis. The estimated impact of corruption was statistically significant (p< 0.01. The coefficient indicates that an improvement of one unit in the corruption indicator is associated with a reduction in antibiotic resistance by approximately 0.7 units. The estimated coefficient of private health expenditure showed that one unit reduction is associated with a 0.2 unit decrease in antibiotic resistance.These findings support the hypothesis that poor governance and corruption contributes to levels of antibiotic resistance and correlate better than antibiotic usage volumes with resistance rates. We conclude that addressing corruption and improving governance

  17. Etoxazole resistance in predatory mite Phytoseiulus persimilis A.-H. (Acari: Phytoseiidae): Cross-resistance, inheritance and biochemical resistance mechanisms.

    Science.gov (United States)

    Yorulmaz Salman, Sibel; Aydınlı, Fatma; Ay, Recep

    2015-07-01

    Phytoseiulus persimilis of the family Phytoseiidae is an effective predatory mite species that is used to control pest mites. The LC50 and LC60 values of etoxazole were determined on P. persimilis using a leaf-disc method and spraying tower. A laboratory selection population designated ETO6 was found to have a 111.63-fold resistance to etoxazole following 6 selection cycles. This population developed low cross-resistance to spinosad, spiromesifen, acetamiprid, indoxacarb, chlorantraniliprole, milbemectin and moderate cross-resistance to deltamethrin. PBO, IBP and DEM synergised resistance 3.17-, 2.85- and 3.60-fold respectively. Crossing experiments revealed that etoxazole resistance in the ETO6 population was an intermediately dominant and polygenic. In addition, detoxifying enzyme activities were increased 2.71-fold for esterase, 3.09-fold for glutathione S-transferase (GST) and 2.76-fold for cytochrome P450 monooxygenase (P450) in the ETO6 population. Selection for etoxazole under laboratory conditions resulted in the development of etoxazole resistance in the predatory mite P. persimilis that are resistant to pesticides are considered valuable for use in resistance management programmes within integrated pest control strategies. Copyright © 2014 Elsevier Inc. All rights reserved.

  18. Relaxation resistance of heat resisting alloys with cobalt

    International Nuclear Information System (INIS)

    Borzdyka, A.M.

    1977-01-01

    Relaxation resistance of refractory nickel-chromium alloys containing 5 to 14 % cobalt is under study. The tests involve the use of circular samples at 800 deg to 850 deg C. It is shown that an alloy containing 14% cobalt possesses the best relaxation resistance exceeding that of nickel-chromium alloys without any cobalt by a factor of 1.5 to 2. The relaxation resistance of an alloy with 5% cobalt can be increased by hardening at repeated loading

  19. Phenotypic- and Genotypic-Resistance Detection for Adaptive Resistance Management in Tetranychus urticae Koch.

    Directory of Open Access Journals (Sweden)

    Deok Ho Kwon

    Full Text Available Rapid resistance detection is necessary for the adaptive management of acaricide-resistant populations of Tetranychus urticae. Detection of phenotypic and genotypic resistance was conducted by employing residual contact vial bioassay (RCV and quantitative sequencing (QS methods, respectively. RCV was useful for detecting the acaricide resistance levels of T. urticae, particularly for on-site resistance detection; however, it was only applicable for rapid-acting acaricides (12 out of 19 tested acaricides. QS was effective for determining the frequencies of resistance alleles on a population basis, which corresponded to 12 nonsynonymous point mutations associated with target-site resistance to five types of acaricides [organophosphates (monocrotophos, pirimiphos-methyl, dimethoate and chlorpyrifos, pyrethroids (fenpropathrin and bifenthrin, abamectin, bifenazate and etoxazole]. Most field-collected mites exhibited high levels of multiple resistance, as determined by RCV and QS data, suggesting the seriousness of their current acaricide resistance status in rose cultivation areas in Korea. The correlation analyses revealed moderate to high levels of positive relationships between the resistance allele frequencies and the actual resistance levels in only five of the acaricides evaluated, which limits the general application of allele frequency as a direct indicator for estimating actual resistance levels. Nevertheless, the resistance allele frequency data alone allowed for the evaluation of the genetic resistance potential and background of test mite populations. The combined use of RCV and QS provides basic information on resistance levels, which is essential for choosing appropriate acaricides for the management of resistant T. urticae.

  20. Intensive care antibiotic consumption and resistance patterns: a cross-correlation analysis

    Directory of Open Access Journals (Sweden)

    Luminita Baditoiu

    2017-11-01

    Full Text Available Abstract Background Over recent decades, a dramatic increase in infections caused by multidrug-resistant pathogens has been observed worldwide. The aim of the present study was to investigate the relationship between local resistance bacterial patterns and antibiotic consumption in an intensive care unit in a Romanian university hospital. Methods A prospective study was conducted between 1st January 2012 and 31st December 2013. Data covering the consumption of antibacterial drugs and the incidence density for the main resistance phenotypes was collected on a monthly basis, and this data was aggregated quarterly. The relationship between the antibiotic consumption and resistance was investigated using cross-correlation, and four regression models were constructed, using the SPSS version 20.0 (IBM, Chicago, IL and the R version 3.2.3 packages. Results During the period studied, the incidence of combined-resistant and carbapenem-resistant P. aeruginosa strains increased significantly [(gradient = 0.78, R2 = 0.707, p = 0.009 (gradient = 0.74, R2 = 0.666, p = 0.013 respectively], mirroring the increase in consumption of β-lactam antibiotics with β-lactamase inhibitors (piperacillin/tazobactam and carbapenems (meropenem [(gradient = 10.91, R2 = 0.698, p = 0.010 and (gradient = 14.63, R2 = 0.753, p = 0.005 respectively]. The highest cross-correlation coefficients for zero time lags were found between combined-resistant vs. penicillins consumption and carbapenem-resistant P. aeruginosa strains vs. carbapenems consumption (0.876 and 0.928, respectively. The best model describing the relation between combined-resistant P. aeruginosa strains and penicillins consumption during a given quarter incorporates both the consumption and the incidence of combined-resistant strains in the hospital department during the previous quarter (multiple R2 = 0.953, p = 0.017. The best model for explaining the carbapenem resistance of P

  1. High temperature electrical resistivity and Seebeck coefficient of Ge2Sb2Te5 thin films

    Science.gov (United States)

    Adnane, L.; Dirisaglik, F.; Cywar, A.; Cil, K.; Zhu, Y.; Lam, C.; Anwar, A. F. M.; Gokirmak, A.; Silva, H.

    2017-09-01

    High-temperature characterization of the thermoelectric properties of chalcogenide Ge2Sb2Te5 (GST) is critical for phase change memory devices, which utilize self-heating to quickly switch between amorphous and crystalline states and experience significant thermoelectric effects. In this work, the electrical resistivity and Seebeck coefficient are measured simultaneously as a function of temperature, from room temperature to 600 °C, on 50 nm and 200 nm GST thin films deposited on silicon dioxide. Multiple heating and cooling cycles with increasingly maximum temperature allow temperature-dependent characterization of the material at each crystalline state; this is in contrast to continuous measurements which return the combined effects of the temperature dependence and changes in the material. The results show p-type conduction (S > 0), linear S(T), and a positive Thomson coefficient (dS/dT) up to melting temperature. The results also reveal an interesting linearity between dS/dT and the conduction activation energy for mixed amorphous-fcc GST, which can be used to estimate one parameter from the other. A percolation model, together with effective medium theory, is adopted to correlate the conductivity of the material with average grain sizes obtained from XRD measurements. XRD diffraction measurements show plane-dependent thermal expansion for the cubic and hexagonal phases.

  2. Resistant mechanisms and molecular epidemiology of imipenem-resistant Acinetobacter baumannii.

    Science.gov (United States)

    Xiao, Shu-Zhen; Chu, Hai-Qing; Han, Li-Zhong; Zhang, Zhe-Min; Li, Bing; Zhao, Lan; Xu, Liyun

    2016-09-01

    The aim of the study was to investigate the resistant mechanisms and homology of imipenem-resistant Acinetobacter baumannii (A. baumannii). A total of 46 non-duplicate imipenem‑resistant A. baumannii clinical isolates were collected from three tertiary hospitals between July, 2011 and June, 2012. The minimal inhibitory concentrations (MICs) of antimicrobial agents were determined using the agar dilution method. Phenylalanine‑arginine β-naphthylamide was used to detect the presence of the efflux pump-mediated resistant mechanism. Polymerase chain reaction was employed to amplify genes associated with drug resistance, including β‑lactamase genes, efflux pump genes and outer membrane protein gene CarO. A few amplicons were randomly selected and sequenced. Multilocus sequence analysis (MLST) was employed in typing A. baumanni. A. baumannii was resistant to imipenem, simultaneously showing resistance to several other antimicrobials. In addtition, 13 A. baumannii were found to mediate drug resistance through operation of the efflux pump. Of the various drug resistance genes tested, blaOXA‑51 was present in 46 isolates, blaOXA‑23 gene was present in 44 isolates and blaNDM gene was found in only one strain. Other drug resistant‑associated genes, including blaKPC, blaIMP, blaOXA-24, blaOXA‑58, blaSHV, blaGIM and blaVIM were not detected. Mutation of adeS and outer membrane protein gene CarO were found in a few of the imipenem‑resistant isolates. The MLST analysis revealed that all 46 clinical isolates were clustered into 11 genotypes and the most frequent genotype was ST208. In conclusion, β‑lactamase genes, genes involved in efflux pump and mutation of outer membrane protein encoding gene may be important in mediating imipenem resistance in A. baumannii. Of the 11 different genotypes, ST11 was shared by the majority of A. baumannii, which may be due to horizontal transfer of patients from hospitals.

  3. Multidrug-Resistant Candida

    DEFF Research Database (Denmark)

    Arendrup, Maiken Cavling; Patterson, Thomas F

    2017-01-01

    Invasive Candida infections remain an important cause of morbidity and mortality, especially in hospitalized and immunocompromised or critically ill patients. A limited number of antifungal agents from only a few drug classes are available to treat patients with these serious infections. Resistance...... can be either intrinsic or acquired. Resistance mechanisms are not exchanged between Candida; thus, acquired resistance either emerges in response to an antifungal selection pressure in the individual patient or, more rarely, occur due to horizontal transmission of resistant strains between patients....... Although multidrug resistance is uncommon, increasing reports of multidrug resistance to the azoles, echinocandins, and polyenes have occurred in several Candida species, most notably Candida glabrata and more recently Candida auris. Drivers are overall antifungal use, subtherapeutic drug levels at sites...

  4. Physical characteristics and resistance parameters of typical urban cyclists.

    Science.gov (United States)

    Tengattini, Simone; Bigazzi, Alexander York

    2018-03-30

    This study investigates the rolling and drag resistance parameters and bicycle and cargo masses of typical urban cyclists. These factors are important for modelling of cyclist speed, power and energy expenditure, with applications including exercise performance, health and safety assessments and transportation network analysis. However, representative values for diverse urban travellers have not been established. Resistance parameters were measured utilizing a field coast-down test for 557 intercepted cyclists in Vancouver, Canada. Masses were also measured, along with other bicycle attributes such as tire pressure and size. The average (standard deviation) of coefficient of rolling resistance, effective frontal area, bicycle plus cargo mass, and bicycle-only mass were 0.0077 (0.0036), 0.559 (0.170) m 2 , 18.3 (4.1) kg, and 13.7 (3.3) kg, respectively. The range of measured values is wider and higher than suggested in existing literature, which focusses on sport cyclists. Significant correlations are identified between resistance parameters and rider and bicycle attributes, indicating higher resistance parameters for less sport-oriented cyclists. The findings of this study are important for appropriately characterising the full range of urban cyclists, including commuters and casual riders.

  5. Nonlinear dead water resistance at subcritical speed

    Science.gov (United States)

    Grue, John

    2015-08-01

    The dead water resistance F 1 = /1 2 C d w ρ S U 2 (ρ fluid density, U ship speed, S wetted body surface, Cdw resistance coefficient) on a ship moving at subcritical speed along the upper layer of a two-layer fluid is calculated by a strongly nonlinear method assuming potential flow in each layer. The ship dimensions correspond to those of the Polar ship Fram. The ship draught, b0, is varied in the range 0.25h0-0.9h0 (h0 the upper layer depth). The calculations show that Cdw/(b0/h0)2 depends on the Froude number only, in the range close to critical speed, Fr = U/c0 ˜ 0.875-1.125 (c0 the linear internal long wave speed), irrespective of the ship draught. The function Cdw/(b0/h0)2 attains a maximum at subcritical Froude number depending on the draught. Maximum Cdw/(b0/h0)2 becomes 0.15 for Fr = 0.76, b0/h0 = 0.9, and 0.16 for Fr = 0.74, b0/h0 = 1, where the latter extrapolated value of the dead water resistance coefficient is about 60 times higher than the frictional drag coefficient and relevant for the historical dead water observations. The nonlinear Cdw significantly exceeds linear theory (Fr < 0.85). The ship generated waves have a wave height comparable to the upper layer depth. Calculations of three-dimensional wave patterns at critical speed compare well to available laboratory experiments. Upstream solitary waves are generated in a wave tank of finite width, when the layer depths differ, causing an oscillation of the force. In a wide ocean, a very wide wave system develops at critical speed. The force approaches a constant value for increasing time.

  6. Thermal-Interaction Matrix For Resistive Test Structure

    Science.gov (United States)

    Buehler, Martin G.; Dhiman, Jaipal K.; Zamani, Nasser

    1990-01-01

    Linear mathematical model predicts increase in temperature in each segment of 15-segment resistive structure used to test electromigration. Assumption of linearity based on fact: equations that govern flow of heat are linear and coefficients in equations (heat conductivities and capacities) depend only weakly on temperature and considered constant over limited range of temperature.

  7. Giant magneto resistance and temperature coefficient of resistance in Sm0.55Sr0.30Ag0.15MnO3 perovskite

    Directory of Open Access Journals (Sweden)

    Masroor Ahmad Bhat

    2016-12-01

    Full Text Available Silver ions substituted samarium strontium manganite (Sm0.55Sr0.30Ag0.15MnO3 pervoskite was synthesized by using respective oxides in stoichiometric ratio through solid state reaction. The as-prepared sample was characterized by various analytical techniques to confirm its formation and understand the effect of monovalent silver ions in pervoskite lattice. X-ray diffraction pattern confirms the single phase formation while grain morphology in SEM image indicates good connectivity among the grains. The enhancement in metal to insulator transition temperature shows quenched disorder and magnetoresistance phenomena. The magnetoresistance (MR and temperature coefficient of resistance (TCR emerge from grain growth factor and homogeneity induced by Ag+ ions in the lattice. The reduction in hysteresis loss resulted from antiferromagnetic - ferromagnetic (TN and ferromagnetic - paramagnetic (Tc transitions reveals the removal of disorder in perovskite lattice by Ag+ ions substitution. This increases the magnetic moment across distinct ions on the applying magnetic field. The rise in MR% (~99% with silver doping emerging from smooth spin tunneling of the grains across the boundary and suppression of the disordered magnetic fluctuations with increase in magnetic field has been reported. The present compound exhibits the first order nature of magnetism and observed first time the highest value of TCR ~ 95%.

  8. Combating Antibiotic Resistance

    Science.gov (United States)

    ... Bacteria Phasing Out Certain Antibiotic Use in Farm Animals FDA: Cutting-Edge Technology Sheds Light on Antibiotic Resistance For More Information Antibiotics and Antibiotic Resistance Antimicrobial Resistance Information for Consumers and Health Professionals CDC: ...

  9. HIV Resistance Testing

    Science.gov (United States)

    ... 14, 2016 Select a Language: Fact Sheet 126 HIV Resistance Testing WHAT IS RESISTANCE? HOW DOES RESISTANCE ... ARVs. If you miss doses of your medications, HIV will multiply more easily. More mutations will occur. ...

  10. Using data on resistance prevalence per sample in the surveillance of antimicrobial resistance

    DEFF Research Database (Denmark)

    Vieira, Antonio; Shuyu, Wu; Jensen, Lars Bogø

    2008-01-01

    Objectives: In most existing antimicrobial resistance monitoring programmes, one single bacterial colony from each collected sample is susceptibility tested against a panel of antimicrobials. Detecting the proportion of colonies resistant to different antimicrobials in each sample can provide...... quantitative data on antimicrobial resistance (resistance prevalence per sample). Methods: In this study, a total of 98 faecal samples from slaughter pigs were tested for tetracycline and sulphonamide resistance in Escherichia coli using the single colony method, and these results were compared...... with the results obtained using the resistance prevalence per sample method. Results: The results obtained by the resistance prevalence per sample method showed a lower occurrence of resistance. Tetracycline resistance in E. coli was found in 36.7% of the samples using the single colony method, while the mean...

  11. Resisting Organizational Change

    OpenAIRE

    Andersson, Gunnar

    2015-01-01

    We are continuously reminded of how change induces controversy and resistance, regardless of support. We repeatedly experience resistance in difficulties of implementation, little progress, and poor results, rather than increased productivity as anticipated. In a detailed account of how change plays out, a mosaic of what resistance looks like emerges. The picture is both familiar and absolutely concrete, and challenges the structural assumptions and dichotomies on support and resistance in an...

  12. High chlorpyrifos resistance in Culex pipiens mosquitoes: strong synergy between resistance genes

    Science.gov (United States)

    Alout, H; Labbé, P; Berthomieu, A; Makoundou, P; Fort, P; Pasteur, N; Weill, M

    2016-01-01

    We investigated the genetic determinism of high chlorpyrifos resistance (HCR), a phenotype first described in 1999 in Culex pipiens mosquitoes surviving chlorpyrifos doses ⩾1 mg l−1 and more recently found in field samples from Tunisia, Israel or Indian Ocean islands. Through chlorpyrifos selection, we selected several HCR strains that displayed over 10 000-fold resistance. All strains were homozygous for resistant alleles at two main loci: the ace-1 gene, with the resistant ace-1R allele expressing the insensitive G119S acetylcholinesterase, and a resistant allele of an unknown gene (named T) linked to the sex and ace-2 genes. We constructed a strain carrying only the T-resistant allele and studied its resistance characteristics. By crossing this strain with strains harboring different alleles at the ace-1 locus, we showed that the resistant ace-1R and the T alleles act in strong synergy, as they elicited a resistance 100 times higher than expected from a simple multiplicative effect. This effect was specific to chlorpyrifos and parathion and was not affected by synergists. We also examined how HCR was expressed in strains carrying other ace-1-resistant alleles, such as ace-1V or the duplicated ace-1D allele, currently spreading worldwide. We identified two major parameters that influenced the level of resistance: the number and the nature of the ace-1-resistant alleles and the number of T alleles. Our data fit a model that predicts that the T allele acts by decreasing chlorpyrifos concentration in the compartment targeted in insects. PMID:26463842

  13. Measurement of Dynamic Resistance in Resistance Spot Welding

    DEFF Research Database (Denmark)

    Wu, Pei; Lu, J.; Zhang, Wenqi

    2007-01-01

    is influenced by inductive noise caused by the high welding current. In this study, the dynamic resistance is determined by measuring the voltage at primary side and current at secondary side. This increases the accuracy of measurement because of higher signal-noise ratio, and allows to apply to in-process......The conventional methods of determining the dynamic resistance were mostly done by measuring the voltage and current at secondary side of transformer in resistance welding machines, in which the measuring set-up normally interferes with the movement of electrode, and the measuring precision...

  14. A passive quantitative measurement of airway resistance using depth data.

    Science.gov (United States)

    Ostadabbas, Sarah; Bulach, Christoph; Ku, David N; Anderson, Larry J; Ghovanloo, Maysam

    2014-01-01

    The Respiratory Syncytial Virus (RSV) is the most common cause of serious lower respiratory tract infections in infants and young children. RSV often causes increased airway resistance, clinically detected as wheezing by chest auscultation. In this disease, expiratory flows are significantly reduced due to the high resistance in patient's airway passages. A quantitative method for measuring resistance can have a great benefit to diagnosis and management of children with RSV infections as well as with other lung diseases. Airway resistance is defined as the lung pressure divided by the airflow. In this paper, we propose a method to quantify resistance through a simple, non-contact measurement of chest volume that can act as a surrogate measure of the lung pressure and volumetric airflow. We used depth data collected by a Microsoft Kinect camera for the measurement of the lung volume over time. In our experimentation, breathing through a number of plastic straws induced different airway resistances. For a standard spirometry test, our volume/flow estimation using Kinect showed strong correlation with the flow data collected by a commercially-available spirometer (five subjects, each performing 20 breathing trials, correlation coefficient = 0.88, with 95% confidence interval). As the number of straws decreased, emulating a higher airway obstruction, our algorithm was sufficient to distinguish between several levels of airway resistance.

  15. Temperature dependence of the electrical resistivity of amorphous Co80-xErxB20 alloys

    International Nuclear Information System (INIS)

    Touraghe, O.; Khatami, M.; Menny, A.; Lassri, H.; Nouneh, K.

    2008-01-01

    The temperature dependence of the electrical resistivity of amorphous Co 80-x Er x B 20 alloys with x=0, 3.9, 7.5 and 8.6 prepared by melt spinning in pure argon atmosphere was studied. All amorphous alloys investigated here are found to exhibit a resistivity minimum at low temperature. The electrical resistivity exhibits logarithmic temperature dependence below the temperature of resistivity minimum T min . In addition, the resistivity shows quadratic temperature behavior in the interval T min < T<77 K. At high temperature, the electrical resistivity was discussed by the extended Ziman theory. For the whole series of alloys, the composition dependence of the temperature coefficient of electrical resistivity α shows a change in structural short range occurring in the composition range 8-9 at%

  16. DIRProt: a computational approach for discriminating insecticide resistant proteins from non-resistant proteins.

    Science.gov (United States)

    Meher, Prabina Kumar; Sahu, Tanmaya Kumar; Banchariya, Anjali; Rao, Atmakuri Ramakrishna

    2017-03-24

    Insecticide resistance is a major challenge for the control program of insect pests in the fields of crop protection, human and animal health etc. Resistance to different insecticides is conferred by the proteins encoded from certain class of genes of the insects. To distinguish the insecticide resistant proteins from non-resistant proteins, no computational tool is available till date. Thus, development of such a computational tool will be helpful in predicting the insecticide resistant proteins, which can be targeted for developing appropriate insecticides. Five different sets of feature viz., amino acid composition (AAC), di-peptide composition (DPC), pseudo amino acid composition (PAAC), composition-transition-distribution (CTD) and auto-correlation function (ACF) were used to map the protein sequences into numeric feature vectors. The encoded numeric vectors were then used as input in support vector machine (SVM) for classification of insecticide resistant and non-resistant proteins. Higher accuracies were obtained under RBF kernel than that of other kernels. Further, accuracies were observed to be higher for DPC feature set as compared to others. The proposed approach achieved an overall accuracy of >90% in discriminating resistant from non-resistant proteins. Further, the two classes of resistant proteins i.e., detoxification-based and target-based were discriminated from non-resistant proteins with >95% accuracy. Besides, >95% accuracy was also observed for discrimination of proteins involved in detoxification- and target-based resistance mechanisms. The proposed approach not only outperformed Blastp, PSI-Blast and Delta-Blast algorithms, but also achieved >92% accuracy while assessed using an independent dataset of 75 insecticide resistant proteins. This paper presents the first computational approach for discriminating the insecticide resistant proteins from non-resistant proteins. Based on the proposed approach, an online prediction server DIRProt has

  17. Resistance patterns, prevalence, and predictors of fluoroquinolones resistance in multidrug resistant tuberculosis patients

    Directory of Open Access Journals (Sweden)

    Nafees Ahmad

    2016-01-01

    Conclusion: The high degree of drug resistance observed, particularly to fluoroquinolones, is alarming. We recommend the adoption of more restrictive policies to control non-prescription sale of fluoroquinolones, its rational use by physicians, and training doctors in both private and public–private mix sectors to prevent further increase in fluoroquinolones resistant Mycobacterium tuberculosis strains.

  18. Shear flow generation and turbulence suppression by resistive ballooning and resistive interchange modes

    International Nuclear Information System (INIS)

    Guzdar, P.N.; Drake, J.F.

    1993-01-01

    The generation of shear flow by resistive ballooning modes and resistive interchange modes is compared and contrasted using a 3-D fluid code. The resistive ballooning modes give rise to poloidally asymmetric transport and hence drive poloidal rotation due to the Reynold's Stress as well as the anomalous Stringer/Winsor mechanism. On the other hand the resistive interchange mode can drive shear flow only through the Reynold's Stress. The studies show that if the self-consistent sheared flow is suppressed, the resistive ballooning modes give rise to a larger anomalous transport than produced by the resistive interchange modes. Furthermore the shear flow generated by the resistive ballooning modes is larger than that driven by the resistive interchange modes due to the combined effect of the dual mechanisms stated earlier. As a consequence strong suppression of the fluctuations as well as reduction of the transport occurs for resistive ballooning modes. On the other hand, for the resistive interchange modes the level of fluctuation as well as the anomalous transport is not reduced by the self consistent shear flow generated by the Reynold's Stress. This latter result is in agreement with some earlier 3-D simulation of resistive interchange modes

  19. Rapid report acetamiprid resistance and cross-resistance in the diamondback moth, Plutella xylostella.

    Science.gov (United States)

    Ninsin, Kodwo D

    2004-09-01

    A 110-fold acetamiprid-resistant Plutella xylostella (L) strain was established after four selection experiments (in five generations) on a 9.5-fold resistant colony in the laboratory. The resistant strain did not show cross-resistance to chlorfluazuron or Bacillus thuringiensis subsp kurstaki Berliner, but displayed low resistance to cartap and phenthoate.

  20. Occurrence of integrons and resistance genes among sulphonamide-resistant Shigella spp. from Brazil

    DEFF Research Database (Denmark)

    Peirano, G.; Agersø, Yvonne; Aarestrup, Frank Møller

    2005-01-01

    Objectives: To determine the occurrence of class 1 and 2 integrons and antimicrobial resistance genes among sulphonamide-resistant Shigella strains isolated in Brazil during 1999-2003. Methods: Sixty-two Shigella (Shigella flexneri, n = 47 and Shigella sonnei, n = 15) were tested against 21...... antimicrobial agents. The presence of integrons classes 1 and 2 and antimicrobial resistance genes was investigated by PCR using specific primers. Results: A total of eight antimicrobial resistance profiles were identified, with the profile of resistance to sulfamethoxazole, trimethoprim, spectinomycin...... of 2214 bp harbouring a gene cassette array conferring resistance to trimethoprim, streptothricin and spectinomycin/streptomycin. The genes coding for resistance to chloramphenicol (catA1), tetracycline [tet(A) and tet(B)] and ampicillin (bla(OXA) and bla(TEM)), were detected in resistant strains...

  1. Results of the freeze resistance test, swelling index and coefficient of permeability of finegrained mining waste reinforced with cements

    Science.gov (United States)

    Morman, Justyna

    2018-04-01

    The article presents the result of laboratory tests for mining waste with grain size of 0 to 2 mm stabilized with cement. Used for stabilization of cement CEM I 42.5 R and blast furnace cement CEM III / A 42.5N - LH / HSR / NA and a plasticizer sealant. Cement was added to the mining waste test in the proportions of 5 - 8% in relation to the skeleton's weight. For the cemented samples, the freeze resistance test, swelling index, coefficient of permeability and pH of water leachate were tested. The addition of a cement binder resulted in diminishing the water permeability of mining waste and limiting the leaching of fine particles from the material.

  2. Resistivity and magnetoresistance studies of Nb3Ir and V3Sb compounds

    International Nuclear Information System (INIS)

    Ghosh, M.; Barman, A.; Das, A.; Meikap, A.K.; De, S.K.; Chatterjee, S.

    1997-01-01

    We have performed measurements of electrical resistivity and magnetoresistivity of the compounds Nb 3 Ir and V 3 Sb in the temperature range 1.8 K ≤ T ≤ 300 K in absence as well as in presence of a magnetic field up to 7.7 T. At high temperature the resistivity shows a linear behaviour whereas the low temperature resistivity shows a T 2 behaviour. The data are fitted to several theories in order to explain the anomalous behaviour of the resistivity. The simple s-d scattering model is not adequate to offer a proper explanation for the positive magnetoresistance. The enhancement of the coefficient A of the T 2 term and the deviation from the quadratic field dependence of the resistivity may be due to the anisotropy in the compounds. (orig.)

  3. Multidrug-resistant tuberculosis

    Directory of Open Access Journals (Sweden)

    McNerney Ruth

    2008-01-01

    Full Text Available Abstract Background With almost 9 million new cases each year, tuberculosis remains one of the most feared diseases on the planet. Led by the STOP-TB Partnership and WHO, recent efforts to combat the disease have made considerable progress in a number of countries. However, the emergence of mutated strains of Mycobacterium tuberculosis that are resistant to the major anti-tuberculosis drugs poses a deadly threat to control efforts. Multidrug-resistant tuberculosis (MDR-TB has been reported in all regions of the world. More recently, extensively drug resistant-tuberculosis (XDR-TB that is also resistant to second line drugs has emerged in a number of countries. To ensure that adequate resources are allocated to prevent the emergence and spread of drug resistance it is important to understand the scale of the problem. In this article we propose that current methods of describing the epidemiology of drug resistant tuberculosis are not adequate for this purpose and argue for the inclusion of population based statistics in global surveillance data. Discussion Whereas the prevalence of tuberculosis is presented as the proportion of individuals within a defined population having disease, the prevalence of drug resistant tuberculosis is usually presented as the proportion of tuberculosis cases exhibiting resistance to anti-tuberculosis drugs. Global surveillance activities have identified countries in Eastern Europe, the former Soviet Union and regions of China as having a high proportion of MDR-TB cases and international commentary has focused primarily on the urgent need to improve control in these settings. Other regions, such as sub-Saharan Africa have been observed as having a low proportion of drug resistant cases. However, if one considers the incidence of new tuberculosis cases with drug resistant disease in terms of the population then countries of sub-Saharan Africa have amongst the highest rates of transmitted MDR-TB in the world. We propose

  4. Resistant and Refractory Hypertension: Antihypertensive Treatment Resistance vs Treatment Failure

    Science.gov (United States)

    Siddiqui, Mohammed; Dudenbostel, Tanja; Calhoun, David A.

    2017-01-01

    Resistant or difficult to treat hypertension is defined as high blood pressure that remains uncontrolled with 3 or more different antihypertensive medications, including a diuretic. Recent definitions also include controlled blood pressure with use of 4 or more medications as also being resistant to treatment. Recently, refractory hypertension, an extreme phenotype of antihypertensive treatment failure has been defined as hypertension uncontrolled with use of 5 or more antihypertensive agents, including a long-acting thiazide diuretic and a mineralocorticoid receptor antagonist. Patients with resistant vs refractory hypertension share similar characteristics and comorbidities, including obesity, African American race, female sex, diabetes, coronary heart disease, chronic kidney disease, and obstructive sleep apnea. Patients with refractory vs resistant hypertension tend to be younger and are more likely to have been diagnosed with congestive heart failure. Refractory hypertension might also differ from resistant hypertension in terms of underlying cause. Preliminary evidence suggests that refractory hypertension is more likely to be neurogenic in etiology (ie, heightened sympathetic tone), vs a volume-dependent hypertension that is more characteristic of resistant hypertension in general. PMID:26514749

  5. Extensively Drug-Resistant Tuberculosis: Principles of Resistance, Diagnosis, and Management.

    Science.gov (United States)

    Wilson, John W; Tsukayama, Dean T

    2016-04-01

    Extensively drug-resistant (XDR) tuberculosis (TB) is an unfortunate by-product of mankind's medical and pharmaceutical ingenuity during the past 60 years. Although new drug developments have enabled TB to be more readily curable, inappropriate TB management has led to the emergence of drug-resistant disease. Extensively drug-resistant TB describes Mycobacterium tuberculosis that is collectively resistant to isoniazid, rifampin, a fluoroquinolone, and an injectable agent. It proliferates when established case management and infection control procedures are not followed. Optimized treatment outcomes necessitate time-sensitive diagnoses, along with expanded combinations and prolonged durations of antimicrobial drug therapy. The challenges to public health institutions are immense and most noteworthy in underresourced communities and in patients coinfected with human immunodeficiency virus. A comprehensive and multidisciplinary case management approach is required to optimize outcomes. We review the principles of TB drug resistance and the risk factors, diagnosis, and managerial approaches for extensively drug-resistant TB. Treatment outcomes, cost, and unresolved medical issues are also discussed. Copyright © 2016 Mayo Foundation for Medical Education and Research. Published by Elsevier Inc. All rights reserved.

  6. Prevalence of chlorhexidine-resistant methicillin-resistant Staphylococcus aureus following prolonged exposure.

    Science.gov (United States)

    Schlett, Carey D; Millar, Eugene V; Crawford, Katrina B; Cui, Tianyuan; Lanier, Jeffrey B; Tribble, David R; Ellis, Michael W

    2014-08-01

    Chlorhexidine has been increasingly utilized in outpatient settings to control methicillin-resistant Staphylococcus aureus (MRSA) outbreaks and as a component of programs for MRSA decolonization and prevention of skin and soft-tissue infections (SSTIs). The objective of this study was to determine the prevalence of chlorhexidine resistance in clinical and colonizing MRSA isolates obtained in the context of a community-based cluster-randomized controlled trial for SSTI prevention, during which 10,030 soldiers were issued chlorhexidine for body washing. We obtained epidemiological data on study participants and performed molecular analysis of MRSA isolates, including PCR assays for determinants of chlorhexidine resistance and high-level mupirocin resistance and pulsed-field gel electrophoresis (PFGE). During the study period, May 2010 to January 2012, we identified 720 MRSA isolates, of which 615 (85.4%) were available for molecular analysis, i.e., 341 clinical and 274 colonizing isolates. Overall, only 10 (1.6%) of 615 isolates were chlorhexidine resistant, including three from the chlorhexidine group and seven from nonchlorhexidine groups (P > 0.99). Five (1.5%) of the 341 clinical isolates and five (1.8%) of the 274 colonizing isolates harbored chlorhexidine resistance genes, and four (40%) of the 10 possessed genetic determinants for mupirocin resistance. All chlorhexidine-resistant isolates were USA300. The overall prevalence of chlorhexidine resistance in MRSA isolates obtained from our study participants was low. We found no association between extended chlorhexidine use and the prevalence of chlorhexidine-resistant MRSA isolates; however, continued surveillance is warranted, as this agent continues to be utilized for infection control and prevention efforts. Copyright © 2014, American Society for Microbiology. All Rights Reserved.

  7. Improvement of wear and corrosion resistances of 17-4PH stainless steel by plasma nitrocarburizing

    International Nuclear Information System (INIS)

    Liu, R.L.; Yan, M.F.

    2010-01-01

    17-4PH stainless steel was plasma nitrocarburized at 460 o C for improving its mechanical properties without compromising its desirable corrosion resistance. The plasma nitrocarburized layers were studied by optical microscope, X-ray diffractometer, microhardness tester, pin-on-disc tribometer and the anodic polarization method in a 3.5% NaCl solution. The experimental results show that the nitrocarburized layer depths increase with increasing duration time and the layers growth conform approximately to the parabolic law. The phases in the nitrocarburized layer are mainly of γ'-Fe 4 N and α'-Fe with traces of CrN phase. The surface hardness of the modified specimen is more than 1200 HV, which is three times higher than that of untreated one. The friction coefficient and corrosion resistance of the specimen can be apparently improved by plasma nitrocarburizing. With the increase of duration time, the surface hardness slightly decreases whereas the friction coefficient and corrosion resistance of the modified specimen are first increase and then decrease. The 8 h treated specimen has the lowest friction coefficient and the best corrosion resistance in the present test conditions.

  8. KTA 625 alloy tube with excellent corrosion resistance and heat resistance

    International Nuclear Information System (INIS)

    Fujiwara, Kazuo; Kadonaga, Toshiki; Kikuma, Seiji.

    1982-01-01

    The problems when seamless tubes are produced by using nickel base 625 alloy (61Ni-22Cr-9Mo-Cb) which is known as a corrosion resistant and heat resistant alloyF were examined, and the confirmation experiment was carried out on its corrosion resistance and heat resistance. Various difficulties have been experienced in the tube making owing to the characteristics due to the chemical composition, but they were able to be solved by the repeated experiments. As for the characteristics of the product, the corrosion resistance was excellent particularly in the environment containing high temperature, high concentration chloride, and also the heat resistance was excellent in the wide temperature range from normal temperature to 1000 deg C. From these facts, the wide fields of application are expected for these alloy tubes, including the evaporation and concentration equipment for radioactive wastes in atomic energy field. Expecting the increase of demand hereafter, Kobe Steel Ltd. examined the problems when seamless tubes are produced from the 625 alloy by Ugine Sejournet process. The aptitude for tube production such as the chemical composition, production process and the product characteristics, the corrosion resistance against chloride, hydrogen sulfide, polythionic and other acids,F the high temperature strength and oxidation resistance are reported. (Kako, I.)

  9. Korelasi Skid Resistance dengan Kedalaman Tekstur pada Permukaan Perkerasan Lentur

    Directory of Open Access Journals (Sweden)

    Indra Jaya Pandia

    2016-12-01

    Full Text Available Skid Resistance is the force generated between the pavement surface and vehicle’s tires to resist the vehicle advance motion when braking. In this research the correlation between skid resistance value and texture depth on the pavement surface will be determined. The skid resistance value determined by using the British Pendulum Tester (BPT and to determine the texture depth used Sand Patch Method. The research is conducted directly on a macro textured surface with two methods. The first method is applied on Ngumban Surbakti Road where the samples tested with the same distance from the edge of the pavement along the road; The second method is applied on Jend. Sudirman Road where the samples tested with specific distances from the edge of the pavement until the median. From the results of the analysis, there are some conclusions: (1 there is a positive correlation between the texture depth and skid resistance with a coefficient of determination (R2 = 0.028 on Ngumban Surbakti Road and (R2 = 0.191 on  Jend. Sudirman Road;(2 the increase of skid resistance value is directly proportional to the increase of surface texture depth value; and (3 the different methods did not cause significant yield differences.

  10. Cross-Resistance of UV- or Chlorine Dioxide-Resistant Echovirus 11 to Other Disinfectants

    Directory of Open Access Journals (Sweden)

    Qingxia Zhong

    2017-10-01

    Full Text Available The emergence of waterborne viruses with resistance to disinfection has been demonstrated in the laboratory and in the environment. Yet, the implications of such resistance for virus control remain obscure. In this study we investigate if viruses with resistance to a given disinfection method exhibit cross-resistance to other disinfectants. Chlorine dioxide (ClO2- or UV-resistant populations of echovirus 11 were exposed to five inactivating treatments (free chlorine, ClO2, UV radiation, sunlight, and heat, and the extent of cross-resistance was determined. The ClO2-resistant population exhibited cross-resistance to free chlorine, but to none of the other inactivating treatments tested. We furthermore demonstrated that ClO2 and free chlorine act by a similar mechanism, in that they mainly inhibit the binding of echovirus 11 to its host cell. As such, viruses with host binding mechanisms that can withstand ClO2 treatment were also better able to withstand oxidation by free chlorine. Conversely, the UV-resistant population was not significantly cross-resistant to any other disinfection treatment. Overall, our results indicate that viruses with resistance to multiple disinfectants exist, but that they can be controlled by inactivating methods that operate by a distinctly different mechanism. We therefore suggest to utilize two disinfection barriers that act by different mechanisms in order to control disinfection-resistant viruses.

  11. Resistivity and Hall effect in Y9Co7

    International Nuclear Information System (INIS)

    Ali, N.; Datars, W.R.; Kozlowski, G.; Woods, S.B.

    1987-01-01

    The temperature dependence of the resistivity and Hall effect of Y 9 Co 7 has been measured from room temperature to 1.6 K. The saturation of the resistivity at high temperature is similar to that of A15 compounds and can be interpreted in terms of a localised phonon mode formation as shown by Yu and Anderson for A15 compounds. A T 2 -dependence of the resistivity is observed for temperatures below approx.= 25 K. A similar T 2 -dependence at low temperatures is always observed in A15 compounds as well and is not fully understood. However, a T 2 -dependence below 10 K does not seem to be due to itinerant ferromagnetism in Y 9 Co 7 as concluded recently by Kolodziejczyk and Spalek. The observation of a peak at approx.= 25 K in the Hall coefficient suggests a spin glass type of freezing at low temperatures which possibly can account for the T 2 -dependence of the resistivity below approx.= 10 K. (author)

  12. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More sharing options ... of Animation of Antimicrobial Resistance More in Antimicrobial ... Antimicrobial Resistance Monitoring System About NARMS 2015 NARMS Integrated ...

  13. Antibiotic resistance reservoirs

    NARCIS (Netherlands)

    Versluis, Dennis

    2016-01-01

    One of the major threats to human health in the 21st century is the emergence of pathogenic bacteria that are resistant to multiple antibiotics, thereby limiting treatment options. An important route through which pathogens become resistant is via acquisition of resistance genes from

  14. An Experimental Study of the Electrical Contact Resistance in Resistance Welding

    DEFF Research Database (Denmark)

    Song, Quanfeng; Zhang, Wenqi; Bay, Niels

    2005-01-01

    Electrical contact resistance is of critical importance in resistance welding. In this article, the contact resistance is experimentally investigated for welding mild steel, stainless steel, and aluminum to themselves. A parametric study was carried out on a Gleeble® machine, investigating...

  15. Analysis and modeling of resistive switching mechanisms oriented to resistive random-access memory

    International Nuclear Information System (INIS)

    Huang Da; Wu Jun-Jie; Tang Yu-Hua

    2013-01-01

    With the progress of the semiconductor industry, the resistive random-access memory (RAM) has drawn increasing attention. The discovery of the memristor has brought much attention to this study. Research has focused on the resistive switching characteristics of different materials and the analysis of resistive switching mechanisms. We discuss the resistive switching mechanisms of different materials in this paper and analyze the differences of those mechanisms from the view point of circuitry to establish their respective circuit models. Finally, simulations are presented. We give the prospect of using different materials in resistive RAM on account of their resistive switching mechanisms, which are applied to explain their resistive switchings

  16. Antimicrobial Resistance

    Science.gov (United States)

    ... least 10 countries (Australia, Austria, Canada, France, Japan, Norway, Slovenia, South Africa, Sweden and the United Kingdom ... plan Global report on surveillance Country situation analysis Policy to combat antimicrobial resistance More on antimicrobial resistance ...

  17. Antimicrobial Resistance

    Science.gov (United States)

    ... can prevent and manage antimicrobial resistance. It is collaborating with partners to strengthen the evidence base and ... on the global action plan. WHO has been leading multiple initiatives to address antimicrobial resistance: World Antibiotic ...

  18. Evaluation of some garlic (Allium Sativum L.) mutants resistant to white rot disease by RAPD analysis

    International Nuclear Information System (INIS)

    Nabulsi, I.; Al-Safadi, B.; Mir ali, N.; Arabi, M.I.E.

    2002-01-01

    Random amplified polymorphic DNA (RAPD) analysis was used to evaluate genetic diversity among eight garlic mutants resistant to white rot disease (Sclerotium cepivorum) and two controls. Twelve of 13 synthetic random primers were found to identify polymorphism in amplification products. Mutants characterised with moderate resistance to white rot were closely related to the control using cluster and correlation analyses. On the other hand, highly resistant mutants were quite distant from the control with low correlation coefficients. The banding patterns produced by primer OPB-15 (GGAAGGGTGTT) with highly resistant mutants may be used as genetic markers for early selection of resistant plants. (author)

  19. Pyrethroid resistance and cross-resistance in the German cockroach, Blattella germanica (L).

    Science.gov (United States)

    Wei, Y; Appel, A G; Moar, W J; Liu, N

    2001-11-01

    A German cockroach (Blatella germanica (L)) strain, Apyr-R, was collected from Opelika, Alabama after control failures with pyrethroid insecticides. Levels of resistance to permethrin and deltamethrin in Apyr-R (97- and 480-fold, respectively, compared with a susceptible strain, ACY) were partially or mostly suppressed by piperonyl butoxide (PBO) and S,S,S,-tributylphosphorotrithioate (DEF), suggesting that P450 monooxygenases and hydrolases are involved in resistance to these two pyrethroids in Apyr-R. However, incomplete suppression of pyrethroid resistance with PBO and DEF implies that one or more additional mechanisms are involved in resistance. Injection, compared with topical application, resulted in 43- and 48-fold increases in toxicity of permethrin in ACY and Apyr-R, respectively. Similarly, injection increased the toxicity of deltamethrin 27-fold in ACY and 28-fold in Apyr-R. These data indicate that cuticular penetration is one of the obstacles for the effectiveness of pyrethroids against German cockroaches. However, injection did not change the levels of resistance to either permethrin or deltamethrin, suggesting that a decrease in the rate of cuticular penetration may not play an important role in pyrethroid resistance in Apyr-R. Apyr-R showed cross-resistance to imidacloprid, with a resistance ratio of 10. PBO treatment resulted in no significant change in the toxicity of imidacloprid, implying that P450 monooxygenase-mediated detoxication is not the mechanism responsible for cross-resistance. Apyr-R showed no cross-resistance to spinosad, although spinosad had relatively low toxicity to German cockroaches compared with other insecticides tested in this study. This result further confirmed that the mode of action of spinosad to insects is unique. Fipronil, a relatively new insecticide, was highly toxic to German cockroaches, and the multi-resistance mechanisms in Apyr-R did not confer significant cross-resistance to this compound. Thus, we propose

  20. Resistance and cross-resistance profile of the diaryltriazine NNRTI and candidate microbicide UAMC01398.

    Science.gov (United States)

    Ariën, Kevin K; Venkatraj, Muthusamy; Michiels, Johan; Joossens, Jurgen; Vereecken, Katleen; Van der Veken, Pieter; Heeres, Jan; De Winter, Hans; Heyndrickx, Leo; Augustyns, Koen; Vanham, Guido

    2016-05-01

    The resistance development, cross-resistance to other NNRTIs and the impact of resistance on viral replicative fitness were studied for the new and potent NNRTI UAMC01398. Resistance was selected by dose escalation and by single high-dose selection against a comprehensive panel of NNRTIs used as therapeutics and NNRTIs under investigation for pre-exposure prophylaxis of sexual HIV transmission. A panel of 27 site-directed mutants with single mutations or combinations of mutations involved in reverse transcriptase (RT) inhibitor-mediated resistance was developed and used to confirm resistance to UAMC01398. Cross-resistance to other NNRTIs was assessed, as well as susceptibility of UAMC01398-resistant HIV to diarylpyrimidine-resistant viruses. Finally, the impact of UAMC01398 resistance on HIV replicative fitness was studied. We showed that UAMC01398 has potent activity against dapivirine-resistant HIV, that at least four mutations in the RT are required in concert for resistance and that the resistance profile is similar to rilpivirine, both genotypically and phenotypically. Resistance development to UAMC01398 is associated with a severe fitness cost. These data, together with the enhanced safety profile and good solubility in aqueous gels, make UAMC01398 an excellent candidate for HIV topical prevention. © The Author 2016. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  1. Correlation of physical properties of ceramic materials with resistance to fracture by thermal shock

    Science.gov (United States)

    Lidman, W G; Bobrowsky, A R

    1949-01-01

    An analysis is made to determine which properties of materials affect their resistance to fracture by thermal stresses.From this analysis, a parameter is evaluated that is correlated with the resistance of ceramic materials to fracture by thermal shock as experimentally determined. This parameter may be used to predict qualitatively the resistance of a material to fracture by thermal shock. Resistance to fracture by thermal shock is shown to be dependent upon the following material properties: thermal conductivity, tensile strength, thermal expansion, and ductility modulus. For qualitative prediction of resistance of materials to fracture by thermal shock, the parameter may be expressed as the product of thermal conductivity and tensile strength divided by the product of linear coefficient of thermal expansion and ductility modulus of the specimen.

  2. Resisting Mind Control.

    Science.gov (United States)

    Anderson, Susan M.; Zimbardo, Philip G.

    1980-01-01

    Provides conceptual analyses of mind control techniques along with practical advice on how to resist these techniques. The authors stress that effective mind control stems more from everyday social relations than from exotic technological gimmicks. Suggestions are given for resisting persuasion, resisting systems, and challenging the system.…

  3. Cross-resistance and Inheritance of Resistance to Emamectin Benzoate in Spodoptera exigua (Lepidoptera: Noctuidae).

    Science.gov (United States)

    Che, Wunan; Huang, Jianlei; Guan, Fang; Wu, Yidong; Yang, Yihua

    2015-08-01

    Beet armyworm, Spodoptera exigua (Hübner), is a worldwide pest of many crops. Chemical insecticides are heavily used for its control in China, and serious resistance has been evolved in the field to a variety of insecticides including emamectin benzoate. Through repeated backcrossing to a susceptible strain (WH-S) and selection with emamectin benzoate, the trait conferring resistance to emamectin benzoate in a field-collected population of S. exigua (moderately resistant to emamectin benzoate and strongly resistant to pyrethroids and indoxacarb) was introgressed into WH-S to generate a near-isogenic resistant strain (WH-EB). Compared with WH-S, the WH-EB strain developed a 1,110-fold resistance to emamectin benzoate and a high level of cross-resistance to abamectin (202-fold), with low levels of cross-resistance to cypermethrin (10-fold) and chlorfluazuron (7-fold), but no cross-resistance to representatives of another six different classes of insecticides (chlorantraniliprole, chlorfenapyr, indoxacarb, spinosad, tebufenozide, and chlorpyrifos). Resistance to emamectin benzoate in WH-EB was autosomal, incompletely dominant, and polygenic. Limited cross-resistance in WH-EB indicates that emamectin benzoate can be rotated with other classes of insecticides to which it does not show cross-resistance to delay the evolution of resistance in S. exigua. The incompletely dominant nature of resistance in S. exigua may explain the rapid evolution of resistance to emamectin benzoate in the field, and careful deployment of this chemical within a resistance management program should be considered. © The Authors 2015. Published by Oxford University Press on behalf of Entomological Society of America. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  4. Resistance to lambda-cyhalothrin in Spanish field populations of Ceratitis capitata and metabolic resistance mediated by P450 in a resistant strain.

    Science.gov (United States)

    Arouri, Rabeh; Le Goff, Gaelle; Hemden, Hiethem; Navarro-Llopis, Vicente; M'saad, Mariem; Castañera, Pedro; Feyereisen, René; Hernández-Crespo, Pedro; Ortego, Félix

    2015-09-01

    The withdrawal of malathion in the European Union in 2009 resulted in a large increase in lambda-cyhalothrin applications for the control of the Mediterranean fruit fly, Ceratitis capitata, in Spanish citrus crops. Spanish field populations of C. capitata have developed resistance to lambda-cyhalothrin (6-14-fold), achieving LC50 values (129-287 ppm) higher than the recommended concentration for field treatments (125 ppm). These results contrast with the high susceptibility to lambda-cyhalothrin found in three Tunisian field populations. We have studied the mechanism of resistance in the laboratory-selected resistant strain W-1Kλ (205-fold resistance). Bioassays with synergists showed that resistance was almost completely suppressed by the P450 inhibitor PBO. The study of the expression of 53 P450 genes belonging to the CYP4, CYP6, CYP9 and CYP12 families in C. capitata revealed that CYP6A51 was overexpressed (13-18-fold) in the resistant strain. The W-1Kλ strain also showed high levels of cross-resistance to etofenprox (240-fold) and deltamethrin (150-fold). Field-evolved resistance to lambda-cyhalothrin has been found in C. capitata. Metabolic resistance mediated by P450 appears to be the main resistance mechanism in the resistant strain W-1Kλ. The levels of cross-resistance found may compromise the effectiveness of other pyrethroids for the control of this species. © 2014 Society of Chemical Industry. © 2014 Society of Chemical Industry.

  5. Resistance to Powdery Mildews

    DEFF Research Database (Denmark)

    Siwoszek, Agnieszka Izabela

    in majority of them. Resistance to barley powdery mildew in the field is controlled by use of resistant varieties in a combination with fungicides. Early disease management is crucial for effective control. Yet, the pathogen commonly develops fungicide resistance due to simple point mutations. Several studies...... protection. In the present study, I provide an overview of the current knowledge about plant pathogens and plant disease resistance. I use Arabidopsis as a model to investigate the mechanism of non-host resistance, presumed to be the most durable and broad-spectrum form of resistance. I attempt to determine...

  6. plasmid mediated resistance in multidrug resistant bacteria isolated

    African Journals Online (AJOL)

    User

    PLASMID MEDIATED RESISTANCE IN MULTIDRUG RESISTANT BACTERIA. ISOLATED FROM CHILDREN WITH SUSPECTED SEPTICAEMIA IN ZARIA,. NIGERIA. AbdulAziz, Z. A.,1* Ehinmidu, J. O.,1 Adeshina, G. O.,1 Pala, Y. Y2., Yusuf, S. S2. and. Bugaje, M. A.3. 1Department of Pharmaceutics and Pharmaceutical ...

  7. Electrical resistivity measurements to predict abrasion resistance of ...

    Indian Academy of Sciences (India)

    WINTEC

    increasing expansion of highway and other construction works and decreasing natural aggregate resources in the world, the demand for crushed stone aggregates has in- creased from day-to-day. One of the important properties of rock aggregates is abrasion resistance. The abrasion resistance of aggregates is generally ...

  8. Flexible screen printed thick film thermoelectric generator with reduced material resistivity

    International Nuclear Information System (INIS)

    Cao, Z; Koukharenko, E; Torah, R N; Tudor, J; Beeby, S P

    2014-01-01

    This work presents a flexible thick-film Bismuth Tellurium/Antimony Tellurium (BiTe/SbTe) thermoelectric generator (TEG) with reduced material resistivity fabricated by screen printing technology. Cold isostatic pressing (CIP) was introduced to lower the resistivity of the printed thermoelectric materials. The Seebeck coefficient (α) and the resistivity (ρ) of printed materials were measured as a function of applied pressure. A prototype TEG with 8 thermocouples was fabricated on flexible polyimide substrate. The dimension of a single printed element was 20 mm × 2 mm × 78.4 pm. The coiled-up prototype produced a voltage of 36.4 mV and a maximum power of 40.3 nW from a temperature gradient of 20 °C

  9. Resistant hypertension.

    Science.gov (United States)

    Wei, Fang-Fei; Zhang, Zhen-Yu; Huang, Qi-Fang; Yang, Wen-Yi; Staessen, Jan A

    2018-06-15

    The publication of the first non-randomised proof-of-concept trial of renal denervation as a treatment modality in treatment-resistant hypertension set the stage for a search for novel devices with the expectation that technology would reduce the burden of hypertension by reducing or eliminating the costly and lifelong use of blood pressure lowering medications. As we demonstrate in this review, this idea so attractive to manufacturers and invasive cardiologists and radiologists overlooked decades of careful pathophysiological research in a disease, which still remains enigmatic but remains the major cause of cardiovascular mortality worldwide. To make our point, we first reviewed the prevalence and risks associated with treatment-resistant hypertension. Next, we highlighted the key points required for the diagnosis of treatment-resistant hypertension, including the recording of the ambulatory blood pressure and the assessment of adherence to medication. Finally, we summarised new insights in the management of treatment-resistant hypertension by medication and devices and in the future research. Throughout our review, we focused on new evidence became available since 2013. Our conclusion is that optimising medical treatment based on simple algorithms remains the state of the art in treatment-resistant hypertension.

  10. Estimating SPT-N Value Based on Soil Resistivity using Hybrid ANN-PSO Algorithm

    Science.gov (United States)

    Nur Asmawisham Alel, Mohd; Ruben Anak Upom, Mark; Asnida Abdullah, Rini; Hazreek Zainal Abidin, Mohd

    2018-04-01

    Standard Penetration Resistance (N value) is used in many empirical geotechnical engineering formulas. Meanwhile, soil resistivity is a measure of soil’s resistance to electrical flow. For a particular site, usually, only a limited N value data are available. In contrast, resistivity data can be obtained extensively. Moreover, previous studies showed evidence of a correlation between N value and resistivity value. Yet, no existing method is able to interpret resistivity data for estimation of N value. Thus, the aim is to develop a method for estimating N-value using resistivity data. This study proposes a hybrid Artificial Neural Network-Particle Swarm Optimization (ANN-PSO) method to estimate N value using resistivity data. Five different ANN-PSO models based on five boreholes were developed and analyzed. The performance metrics used were the coefficient of determination, R2 and mean absolute error, MAE. Analysis of result found that this method can estimate N value (R2 best=0.85 and MAEbest=0.54) given that the constraint, Δ {\\bar{l}}ref, is satisfied. The results suggest that ANN-PSO method can be used to estimate N value with good accuracy.

  11. A technique for the determination of center of gravity and rolling resistance for tilt-seat wheelchairs.

    Science.gov (United States)

    Lemaire, E D; Lamontagne, M; Barclay, H W; John, T; Martel, G

    1991-01-01

    A balance platform setup was defined for use in the determination of the center of gravity in the sagittal plane for a wheelchair and patient. Using the center of gravity information, measurements from the wheelchair and patient (weight, tire coefficients of friction), and various assumptions (constant speed, level-concrete surface, patient-wheelchair system is a rigid body), a method for estimating the rolling resistance for a wheelchair was outlined. The center of gravity and rolling resistance techniques were validated against criterion values (center of gravity error = 1 percent, rolling resistance root mean square error = 0.33 N, rolling resistance Pearson correlation coefficient = 0.995). Consistent results were also obtained from a test dummy and five subjects. Once the center of gravity is known, it is possible to evaluate the stability of a wheelchair (in terms of tipping over) and the interaction between the level of stability and rolling resistance. These quantitative measures are expected to be of use in the setup of wheelchairs with a variable seat angle and variable wheelbase length or when making comparisons between different wheelchairs.

  12. Field investigation of skid resistance degradation of asphalt pavement during early service

    Directory of Open Access Journals (Sweden)

    Yinghao Miao

    2016-07-01

    Full Text Available This paper documents a field investigation into the skid resistance degradation of asphalt pavement during early service. Field tests were conducted 7 times during more than 2 years. There are 2 highway sections included in the field tests, which cover 4 asphalt surface types, i.e., dense asphalt concrete (DAC, rubber asphalt concrete (RAC, stone matrix asphalt (SMA, and ultra-thin wearing course (UTWC. Macrotexture and friction data were collected using the sand patch method and the dynamic friction tester respectively. The degradation of the mean texture depth (MTD and the friction coefficient at slip speed of 60 km/h (DFT60 were analyzed. The international friction index (IFI was also calculated using the friction coefficient at slip speed of 20 km/h (DFT20 with MTD to evaluate the skid resistance degradation. The UTWC has relatively good skid resistance even after 7.4 × 106 standard vehicle passes. The SMA has very stable friction performance which maintains almost the same friction level after 4.61 × 106 standard vehicle passes. The DAC and RAC have relatively poor friction performance while the RAC has better macrotexture. The changing trends of skid resistance with traffic wear can be fitted by a logarithmic model for all surface types. The SMA and UTWC have relatively clear relationship between DFT20 and MTD, while the RAC and the DAC show more complex. Keywords: Asphalt pavement, Skid resistance, Degradation, International friction index

  13. [Resistance risk and resistance stability of Frankliniella occidentalis to imidacloprid, emamectin benzoate, and phoxim].

    Science.gov (United States)

    Wang, Sheng-Yin; Yu, Yi; Liu, Yong-Jie; Ma, Jing-Yu

    2012-12-01

    In order to effectively control the damage of Frankliniella occidentalis (Pergande), Phaseolus vuglaris was dipped with imidacloprid, phoxim, and emamectin benzoate, respectively to select the resistance populations of F. occidentalis from its susceptible population, and the resistance inheritance and resistance risk were analyzed with the resistance reality heredity. After 32, 32, and 24 generations' selection, the F. occidentalis populations obtained 13.8-fold, 29.4-fold and 39.0-fold resistance to imidacloprid, phoxim, and emamectin benzoate, respectively. The resistance reality heritability to imidacloprid, phoxim, and emamectin benzoate was 0.112, 0.166, and 0.259, respectively. The resistance development rate to emamectin benzoate was the fastest, followed by to phoxim, and to imidacloprid. The higher the resistance levels of the selected populations, the lower the differences between the larva and adult susceptibility to imidacloprid, phoxim, and emamectin benzoate. Stopping selection for 12 continuous generations, the resistance level of the selected resistance populations to imidacloprid, phoxim, and emamectin benzoate had definite decline, but it was difficult to regain the original susceptibility. F. occidentalis had a greater potential to gain high level resistance to imidacloprid, phoxim, and emamectin benzoate. Compared with the resistance of F. occidentalis to phoxim and emamectin benzoate, the resistance to imidacloprid increased slower and decreased faster, and thus, imidacloprid was more appropriate to control F. occidentalis in practice.

  14. Resistance Selection and Characterization of Chlorantraniliprole Resistance in Plutella xylostella (Lepidoptera: Plutellidae).

    Science.gov (United States)

    Liu, Xia; Wang, Hong-Yan; Ning, Yu-Bo; Qiao, Kang; Wang, Kai-Yun

    2015-08-01

    The diamondback moth, Plutella xylostella (L.), is considered one of the most damaging lepidopteran pests, and it has developed resistance to all conventional insecticide classes in the field. Chlorantraniliprole is the first commercial insecticide that belongs to the new chemical class of diamide insecticides. But, P. xylostella have already shown resistance to chlorantraniliprole in China. After 52 generations of selection with chlorantraniliprole, ∼48.17-fold resistance was observed. The resistant strain showed cross-resistance to flubendiamide (7.29-fold), abamectin (6.11-fold), and cyantraniliprole (3.31-fold). Quantitative real-time polymerase chain reaction analysis showed that the expression of the ryanodine receptor gene was higher in the resistant strain than that in the susceptible strain. Enzyme assays indicated that cytochrome P450 activity in the resistant strain was 4.26 times higher compared with the susceptible strain, whereas no difference was seen for glutathione-S-transferase and esterase. Moreover, the toxicity of chlorantraniliprole in the resistant strain could be synergized by piperonyl butoxide, but not by diethyl maleate, and S,S,S-tributyl phosphorothioate. These results can serve as an important base for guiding the use of insecticide in field and delaying the development of pests that are resistant to the insecticides. © The Authors 2015. Published by Oxford University Press on behalf of Entomological Society of America. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  15. Emergence of multidrug-resistant, extensively drug-resistant and untreatable gonorrhea

    Science.gov (United States)

    Unemo, Magnus; Nicholas, Robert A

    2013-01-01

    The new superbug Neisseria gonorrhoeae has retained resistance to antimicrobials previously recommended for first-line treatment and has now demonstrated its capacity to develop resistance to the extended-spectrum cephalosporin, ceftriaxone, the last remaining option for first-line empiric treatment of gonorrhea. An era of untreatable gonorrhea may be approaching, which represents an exceedingly serious public health problem. Herein, we review the evolution, origin and spread of antimicrobial resistance and resistance determinants (with a focus on extended-spectrum cephalosporins) in N. gonorrhoeae, detail the current situation regarding verified treatment failures with extended-spectrum cephalosporins and future treatment options, and highlight essential actions to meet the large public health challenge that arises with the possible emergence of untreatable gonorrhea. Essential actions include: implementing action/response plans globally and nationally; enhancing surveillance of gonococcal antimicrobial resistance, treatment failures and antimicrobial use/misuse; and improving prevention, early diagnosis and treatment of gonorrhea. Novel treatment strategies, antimicrobials (or other compounds) and, ideally, a vaccine must be developed. PMID:23231489

  16. Cross-resistance, inheritance and biochemical mechanisms of imidacloprid resistance in B-biotype Bemisia tabaci.

    Science.gov (United States)

    Wang, Zhenyu; Yao, Mingde; Wu, Yidong

    2009-11-01

    The B-type Bemisia tabaci (Gennadius) has become established in many regions in China, and neonicotinoids are extensively used to control this pest. Imidacloprid resistance in a laboratory-selected strain of B-type B. tabaci was characterised in order to provide the basis for recommending resistance management tactics. The NJ-Imi strain of B-type B. tabaci was selected from the NJ strain with imidacloprid for 30 generations. The NJ-Imi strain exhibited 490-fold resistance to imidacloprid, high levels of cross-resistance to three other neonicotinoids, low levels of cross-resistance to monosultap, cartap and spinosad, but no cross-resistance to abamectin and cypermethrin. Imidacloprid resistance in the NJ-Imi strain was autosomal and semi-dominant. It is shown that enhanced detoxification mediated by cytochrome-P450-dependent monooxygenases contributes to imidacloprid resistance to some extent in the NJ-Imi strain. Results from synergist bioassays and cross-resistance patterns indicated that target-site insensitivity may be involved in imidacloprid resistance in the NJ-Imi strain of B. tabaci. Although oxidative detoxification mediated by P450 monooxygenases is involved in imidacloprid resistance in the NJ-Imi strain of B-type B. tabaci, target-site modification as an additional resistance mechanism cannot be ruled out. Considering the high risk of cross-resistance, neonicotinoids should be regarded as a single group when implementing an insecticide rotation scheme in B. tabaci control. (c) 2009 Society of Chemical Industry.

  17. Mechanisms of Antibiotic Resistance

    Science.gov (United States)

    Munita, Jose M.; Arias, Cesar A.

    2015-01-01

    Emergence of resistance among the most important bacterial pathogens is recognized as a major public health threat affecting humans worldwide. Multidrug-resistant organisms have emerged not only in the hospital environment but are now often identified in community settings, suggesting that reservoirs of antibiotic-resistant bacteria are present outside the hospital. The bacterial response to the antibiotic “attack” is the prime example of bacterial adaptation and the pinnacle of evolution. “Survival of the fittest” is a consequence of an immense genetic plasticity of bacterial pathogens that trigger specific responses that result in mutational adaptations, acquisition of genetic material or alteration of gene expression producing resistance to virtually all antibiotics currently available in clinical practice. Therefore, understanding the biochemical and genetic basis of resistance is of paramount importance to design strategies to curtail the emergence and spread of resistance and devise innovative therapeutic approaches against multidrug-resistant organisms. In this chapter, we will describe in detail the major mechanisms of antibiotic resistance encountered in clinical practice providing specific examples in relevant bacterial pathogens. PMID:27227291

  18. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... video) Animation of Antimicrobial Resistance (text version) Arabic Translation of Animation of Antimicrobial Resistance Chinese Translation of Animation of Antimicrobial Resistance French Translation of ...

  19. Mango resistance to fruit flies. II - resistance of the alfa cultivar

    Energy Technology Data Exchange (ETDEWEB)

    Rossetto, C.J.; Bortoletto, N., E-mail: rossetto@iac.sp.gov.b [Agencia Paulista de Tecnologia dos Agronegocios (APTA), Votuporanga, SP (Brazil). Polo Regional do Noroeste Paulista; Walder, J.M.M.; Mastrangelo, T. de A., E-mail: jmwalder@cena.usp.b [Centro de Energia Nuclear na Agricultura (CENA/USP), Piracicaba, SP (Brazil); Carvalho, C.R.L.; Castro, J.V. de, E-mail: climonta@iac.sp.gov.b, E-mail: josalba@iac.sp.gov.b [Instituto Agronomico de Campinas, SP (Brazil); Pinto, A.C. de Q. [EMBRAPA, Brasilia, DF (Brazil); Cortelazzo, A.L., E-mail: angelo@unicamp.b [Universidade Estadual de Campinas (UNICAMP), SP (Brazil). Inst. de Biologia

    2006-07-01

    The percentage of infested mango fruits of five selected mango varieties was evaluated during three years under field conditions. Three varieties with field resistance to fruit flies had less then 10% of fruits infested. Tommy Atkins, the susceptible commercial check, had 42,9% and the susceptible check had 98.9 % of infested fruits. The three field resistant varieties plus the susceptible commercial check, Tommy Atkins, were further tested in laboratory, under caged conditions, with artificial infestation of Anastrepha obliqua. The attempts of oviposition and the number of pupae developed from each fruit were evaluated. Under caged conditions, the cultivar Alfa maintained its field resistance and Espada Stahl and IAC 111 lost the field resistance and were as susceptible as Tommy Atkins. The attempts of oviposition were positively and highly correlated with the number of pupae developed in the fruits. Non preference for oviposition was confirmed as the main mechanism of resistance of mango fruits to fruit flies. In the absence of a more susceptible variety (no choice test) the cultivar Alfa has kept the resistance (author)

  20. Mango resistance to fruit flies. II - resistance of the alfa cultivar

    International Nuclear Information System (INIS)

    Rossetto, C.J.; Bortoletto, N.; Carvalho, C.R.L.; Castro, J.V. de; Pinto, A.C. de Q.; Cortelazzo, A.L.

    2006-01-01

    The percentage of infested mango fruits of five selected mango varieties was evaluated during three years under field conditions. Three varieties with field resistance to fruit flies had less then 10% of fruits infested. Tommy Atkins, the susceptible commercial check, had 42,9% and the susceptible check had 98.9 % of infested fruits. The three field resistant varieties plus the susceptible commercial check, Tommy Atkins, were further tested in laboratory, under caged conditions, with artificial infestation of Anastrepha obliqua. The attempts of oviposition and the number of pupae developed from each fruit were evaluated. Under caged conditions, the cultivar Alfa maintained its field resistance and Espada Stahl and IAC 111 lost the field resistance and were as susceptible as Tommy Atkins. The attempts of oviposition were positively and highly correlated with the number of pupae developed in the fruits. Non preference for oviposition was confirmed as the main mechanism of resistance of mango fruits to fruit flies. In the absence of a more susceptible variety (no choice test) the cultivar Alfa has kept the resistance (author)

  1. Investigation on wear resistance and corrosion resistance of electron beam cladding co-alloy coating on Inconel617

    Science.gov (United States)

    Liu, Hailang; Zhang, Guopei; Huang, Yiping; Qi, Zhengwei; Wang, Bo; Yu, Zhibiao; Wang, Dezhi

    2018-04-01

    To improve surface properties of Inconel 617 alloy (referred to as 617 alloy), co-alloy coating metallurgically bonded to substrate was prepared on the surface of 617 alloy by electron beam cladding. The microstructure, phase composition, microhardness, tribological properties and corrosion resistance of the coatings were investigated. The XRD results of the coatings reinforced by co-alloy (Co800) revealed the presence of γ-Co, CoCx and Cr23C6 phase as matrix and new metastable phases of Cr2Ni3 and Co3Mo2Si. These hypoeutectic structures contain primary dendrites and interdendritic eutectics. The metallurgical bonding forms well between the cladding layer and the matrix of 617 alloy. In most studied conditions, the co-alloy coating displays a better hardness, tribological performance, i.e., lower coefficient of frictions and wear rates, corrosion resistance in 1 mol L‑1 HCl solution, than the 617 alloy.

  2. Cross-resistance of bisultap resistant strain of Nilaparvata lugens and its biochemical mechanism.

    Science.gov (United States)

    Ling, Shanfeng; Zhang, Runjie

    2011-02-01

    The resistant (R) strain of the planthopper Nilaparvata lugens (Stål) selected for bisultap resistance displayed 7.7-fold resistance to bisultap and also had cross-resistance to nereistoxin (monosultap, thiocyclam, and cartap), chlorpyrifos, dimethoate, and malathion but no cross-resistance to buprofezin, imidacloprid, and fipronil. To find out the biochemical mechanism of resistance to bisultap, biochemical assay was done. The results showed that cytochrome P450 monooxygenases (P450) activity in R strain was 2.71-fold that in susceptible strain (S strain), in which the changed activity for general esterase (EST) was 1.91 and for glutathione S-transferases only 1.32. Piperonyl butoxide (PBO) could significantly inhibit P450 activity (percentage of inhibition [PI]: 37.31%) in the R strain, with ESTs PI = 16.04% by triphenyl phosphate (TPP). The results also demonstrated that diethyl maleate had no synergism with bisultap. However, PBO displayed significant synergism in three different strains, and the synergism increased with resistance (S strain 1.42, Lab strain, 2.24 and R strain, 3.23). TPP also showed synergism for three strains, especially in R strain (synergistic ratio = 2.47). An in vitro biochemical study and in vivo synergistic study indicated that P450 might be play important role in the biochemical mechanism of bisultap resistance and that esterase might be the important factor of bisultap resistance. Acetylcholinesterase (AChE) insensitivity play important role in bisultap resistance. We suggest that buprofezin, imidacloprid, and fipronil could be used in resistance management programs for N. lugens via alternation and rotation with bisultap.

  3. Drug-resistant tuberculosis in Sindh

    International Nuclear Information System (INIS)

    Almani, S.A.; Memon, N.M.; Qureshi, A.F.

    2002-01-01

    Objective: To assess the prevalence of primary and secondary drug resistance amongst the clinical isolates of M.tuberculosis, to identify risk factors and how to overcome this problem. Design: A case series of 50 indoor patients with sputum smear-positive pulmonary tuberculosis. Place and duration of Study: Department of Medicine, Liaquat University of Medical and Health Sciences Jamshoro, Sindh, (Pakistan) from January 1999 to December 2000. Patients and methods: Four first line anti-tuberculous drugs rifampicine, ethambutol and streptomycin were tested for sensitivity pattern. Results: Twelve (26.66%) were sensitive to all four drugs, 12(26.66%) were resistant to one drug, 14 (31.11%) were resistant to two drugs, 2 (4.44%) were resistant to three drugs, and 5(11.11%) were resistant to all four drugs. Resistance to isoniazid was the most common in 27 cases (60%) with primary resistance in 6(13.33%) and secondary resistance in 21(46.66%), followed by resistance to streptomycin in 17 cases (37.77%) with primary resistance in 5(11.11%) and secondary resistance in 12 (26.66%). Resistance to ethambutol in 10 cases (22.22%) and rifampicine in 11 (24.44%) and all cases were secondary. Similarly multi-drugs resistance (MRD) TB was found in 11(24.44%) isolates. Conclusion: This study showed high prevalence of drug resistance among clinical isolates of M. tuberculosis. Their is a need to establish centers at number of places with adequate facilities for susceptibility testing so that the resistant pattern could be ascertained and treatment regimens tailored accordingly. (author)

  4. In vivo assessment of cardiac insulin resistance by nuclear probes using an iodinated tracer of glucose transport

    Energy Technology Data Exchange (ETDEWEB)

    Briat, Arnaud; Slimani, Lotfi; Perret, Pascale; Villemain, Daniele; Fagret, Daniel; Ghezzi, Catherine [INSERM, E0340, Radiopharmaceutiques Biocliniques, Grenoble (France); Univ Grenoble, Grenoble (France); Halimi, Serge [Univ Grenoble, Grenoble (France); Hopital Michallon, Service de Diabetologie, CHRU Grenoble, Grenoble (France); Demongeot, Jacques [Univ Grenoble, Grenoble (France); CNRS, UMR 5525, Grenoble (France)

    2007-11-15

    Insulin resistance, implying depressed cellular sensitivity to insulin, is a risk factor for type 2 diabetes and cardiovascular disease. This study is the first step towards the development of a technique of insulin resistance measurement in humans with a new tracer of glucose transport, [{sup 123}I]6-deoxy-6-iodo-D-glucose (6DIG). We investigated 6DIG kinetics in anaesthetised control rats and in three models of insulin-resistant rats: fructose fed, Zucker and ZDF. The study of myocardial 6DIG activity was performed under two conditions: first, 6DIG was injected under the baseline condition and then it was injected after a bolus injection of insulin. After each injection, radioactivity was measured over 45 min by external detection via NaI probes, in the heart and blood. A tri-compartment model was developed to obtain fractional transfer coefficients of 6DIG from the blood to the heart. These coefficients were significantly increased with insulin in control rats and did not change significantly in insulin-resistant rats. The ratio of the coefficient obtained under insulin to that obtained under basal conditions gave an index of cardiac insulin resistance for each animal. The mean values of these ratios were significantly lower in insulin-resistant than in control rats: 1.16 {+-} 0.06 vs 2.28 {+-} 0.18 (p < 0.001) for the fructose-fed group, 0.92 {+-} 0.05 vs 1.62 {+-} 0.25 (p < 0.01) for the Zucker group and 1.34 {+-} 0.06 vs 2.01 {+-} 0.26 (p < 0.05) for the ZDF group. These results show that 6DIG could be a useful tracer to image cardiac insulin resistance. (orig.)

  5. Corrosion-resistant metal surfaces

    Science.gov (United States)

    Sugama, Toshifumi [Wading River, NY

    2009-03-24

    The present invention relates to metal surfaces having thereon an ultrathin (e.g., less than ten nanometer thickness) corrosion-resistant film, thereby rendering the metal surfaces corrosion-resistant. The corrosion-resistant film includes an at least partially crosslinked amido-functionalized silanol component in combination with rare-earth metal oxide nanoparticles. The invention also relates to methods for producing such corrosion-resistant films.

  6. Influence of temperature and heat treatment on crack resistance of ceramic tungsten

    International Nuclear Information System (INIS)

    Uskov, E.I.; Babak, A.V.; Bega, N.D.

    1983-01-01

    The effect of testing temperature in the range from 20 to 2000 deg C, and recrystallization annealing at 2200 deg C on crack resistance of ceramic tungsten in vacuum, is investigated. The extension diagrams thus obtained have been treated in accordance with the standard technique. The value of the critical crack loading and the stress intensity coefficient have been determined. Structural changes have been controlled with X-ray structural methods. Crack resistance of tungsten increases in the test temperature range from 20 deg C to Tsub(x) which is connected with the increase of mobility of screw components of dislocation loops. At the temperature more than Tsub(x) the plasticity growth of ceramic tungsten takes place simultaneously with grain boundary embrittlement. Recrystallization annealing at 2200 deg C creates the structure resistant to temperature effect; crack resistance being minimum

  7. Contribution of non-target-site resistance in imidazolinone-resistant Imisun sunflower

    Directory of Open Access Journals (Sweden)

    Gabriela Breccia

    2017-08-01

    Full Text Available ABSTRACT The first commercial herbicide-resistant trait in sunflower (Helianthus annuus L. is known as ‘Imisun’. Imidazolinone resistance in Imisun cultivars has been reported to be genetically controlled by a major gene (known as Imr1 or Ahasl1-1 and modifier genes. Imr1 is an allelic variant of the Ahasl1 locus that codes for the acetohydroxyacid synthase, which is the target site of these herbicides. The mechanism of resistance endowed by modifier genes has not been characterized and it could be related to non-target-site resistance. The objective of this study was to evaluate the role of cytochrome P450 monooxygenases (P450s in Imisun resistance. The response to imazapyr herbicide in combination with P450s inhibitor malathion was evaluated in 2 Imisun lines, IMI-1 and RHA426. Malathion reduced herbicide efficacy in both lines, but IMI-1 was affected in a greater extent. A significant reduction in plant growth in response to P450s inhibitors 1-aminobenzotriazole and piperonyl butoxide treatment was detected in the Imisun line HA425. The increased susceptibility to imazapyr after P450s-inhibitor treatment indicates that herbicide metabolism by P450s is a mechanism involved in Imisun resistance. These results also suggest the involvement of different P450s isozymes in endowing resistance to imazapyr in Imisun cultivars.

  8. Global solvability, non-resistive limit and magnetic boundary layer of the compressible heat-conductive MHD equations

    OpenAIRE

    Zhang, Jianwen; Zhao, Xiaokui

    2015-01-01

    In general, the resistivity is inversely proportional to the electrical conductivity, and is usually taken to be zero when the conducting fluid is of extremely high conductivity (e.g., ideal conductors). In this paper, we first establish the global well-posedness of strong solution to an initial-boundary value problem of the one-dimensional compressible, viscous, heat-conductive, non-resistive MHD equations with general heat-conductivity coefficient and large data. Then, the non-resistive lim...

  9. Resistance to Wheat Curl Mite in Arthropod-Resistant Rye-Wheat Translocation Lines

    Directory of Open Access Journals (Sweden)

    Lina Maria Aguirre-Rojas

    2017-11-01

    Full Text Available The wheat curl mite, Aceria toschiella (Keifer, and a complex of viruses vectored by A. toschiella substantially reduce wheat yields in every wheat-producing continent in the world. The development of A. toschiella-resistant wheat cultivars is a proven economically and ecologically viable method of controlling this pest. This study assessed A. toschiella resistance in wheat genotypes containing the H13, H21, H25, H26, H18 and Hdic genes for resistance to the Hessian fly, Mayetiola destructor (Say and in 94M370 wheat, which contains the Dn7 gene for resistance to the Russian wheat aphid, Diuraphis noxia (Kurdjumov. A. toschiella populations produced on plants containing Dn7 and H21 were significantly lower than those on plants of the susceptible control and no different than those on the resistant control. Dn7 resistance to D. noxia and H21 resistance to M. destructor resulted from translocations of chromatin from rye into wheat (H21—2BS/2RL, Dn7—1BL/1RS. These results provide new wheat pest management information, indicating that Dn7 and H21 constitute resources that can be used to reduce yield losses caused by A. toschiella, M. destructor, D. noxia, and wheat streak mosaic virus infection by transferring multi-pest resistance to single sources of germplasm.

  10. Genetic Determinants of High-Level Oxacillin Resistance in Methicillin-Resistant Staphylococcus aureus.

    Science.gov (United States)

    Pardos de la Gandara, Maria; Borges, Vitor; Chung, Marilyn; Milheiriço, Catarina; Gomes, João Paulo; de Lencastre, Herminia; Tomasz, Alexander

    2018-06-01

    Methicillin-resistant Staphylococcus aureus (MRSA) strains carry either a mecA - or a mecC -mediated mechanism of resistance to beta-lactam antibiotics, and the phenotypic expression of resistance shows extensive strain-to-strain variation. In recent communications, we identified the genetic determinants associated with the stringent stress response that play a major role in the antibiotic resistant phenotype of the historically earliest "archaic" clone of MRSA and in the mecC -carrying MRSA strain LGA251. Here, we sought to test whether or not the same genetic determinants also contribute to the resistant phenotype of highly and homogeneously resistant (H*R) derivatives of a major contemporary MRSA clone, USA300. We found that the resistance phenotype was linked to six genes ( fruB , gmk , hpt , purB , prsA , and relA ), which were most frequently targeted among the analyzed 20 H*R strains (one mutation per clone in 19 of the 20 H*R strains). Besides the strong parallels with our previous findings (five of the six genes matched), all but one of the repeatedly targeted genes were found to be linked to guanine metabolism, pointing to the key role that this pathway plays in defining the level of antibiotic resistance independent of the clonal type of MRSA. Copyright © 2018 American Society for Microbiology.

  11. Recessive Resistance to Plant Viruses: Potential Resistance Genes Beyond Translation Initiation Factors

    Directory of Open Access Journals (Sweden)

    Masayoshi Hashimoto

    2016-10-01

    Full Text Available The ability of plant viruses to propagate their genomes in host cells depends on many host factors. In the absence of an agrochemical that specifically targets plant viral infection cycles, one of the most effective methods for controlling viral diseases in plants is taking advantage of the host plant’s resistance machinery. Recessive resistance is conferred by a recessive gene mutation that encodes a host factor critical for viral infection. It is a branch of the resistance machinery and, as an inherited characteristic, is very durable. Moreover, recessive resistance may be acquired by a deficiency in a negative regulator of plant defense responses, possibly due to the autoactivation of defense signaling. Eukaryotic translation initiation factor (eIF 4E and eIF4G and their isoforms are the most widely exploited recessive resistance genes in several crop species, and they are effective against a subset of viral species. However, the establishment of efficient, recessive resistance-type antiviral control strategies against a wider range of plant viral diseases requires genetic resources other than eIF4Es. In this review, we focus on recent advances related to antiviral recessive resistance genes evaluated in model plants and several crop species. We also address the roles of next-generation sequencing and genome editing technologies in improving plant genetic resources for recessive resistance-based antiviral breeding in various crop species.

  12. Quantum resistance standard accuracy close to the zero-dissipation state

    Energy Technology Data Exchange (ETDEWEB)

    Schopfer, F.; Poirier, W. [Laboratoire National de métrologie et d' Essais (LNE), 29 avenue Roger Hennequin, 78197 Trappes (France)

    2013-08-14

    We report on a comparison of four GaAs/AlGaAs-based quantum resistance standards using an original technique adapted from the well-known Wheatstone bridge. This work shows that the quantized Hall resistance at Landau level filling factor ν=2 can be reproducible with a relative uncertainty of 32×10{sup −12} in the dissipationless limit of the quantum Hall effect regime. In the presence of a very small dissipation characterized by a mean macroscopic longitudinal resistivity R{sub xx}(B) of a few μΩ, the discrepancy ΔR{sub H}(B) between quantum Hall resistors measured on the Hall plateau at magnetic induction B turns out to follow the so-called resistivity rule R{sub xx}(B)=αB×d(ΔR{sub H}(B))/dB. While the dissipation increases with the measurement current value, the coefficient α stays constant in the range investigated (40−120 μA). This result enlightens the impact of the dissipation emergence in the two-dimensional electron gas on the Hall resistance quantization, which is of major interest for the resistance metrology. The quantum Hall effect is used to realize a universal resistance standard only linked to the electron charge e and the Planck constant h and it is known to play a central role in the upcoming revised Système International of units. There are therefore fundamental and practical benefits in testing the reproducibility property of the quantum Hall effect with better and better accuracy.

  13. Resistance to valproic acid as predictor of treatment resistance in genetic generalized epilepsies

    DEFF Research Database (Denmark)

    Gesche, Joanna; Khanevski, Marina; Solberg, Carl

    2017-01-01

    for refractory seizures. Resistance to valproic acid had a specificity of 100% to identify patients with drug resistance and correlated strongly with bad social outcome and seizure burden. Conversely, 21.2% of all patients with refractory seizures according to the ILAE definition later became seizure free...... (mainly with valproic acid). Our data suggest that "drug resistant GGE" must not be declared unless patients were adequately treated with valproic acid, and advocate resistance to valproic acid as a new clinical biomarker for drug-resistant GGE. A PowerPoint slide summarizing this article is available...

  14. Investigation and Treatment of Fusidic Acid Resistance Among Methicillin-Resistant Staphylococcal Isolates from Egypt.

    Science.gov (United States)

    Abouelfetouh, Alaa; Kassem, Mervat; Naguib, Marwa; El-Nakeeb, Moustafa

    2017-01-01

    Methicillin resistance among staphylococci isolated from patients in northern Egypt has escalated alarmingly in the past decade. Data about the prevalence of fusidic acid (FA) resistance in Egyptian clinical isolates are limited. This work investigates the prevalence and mechanism of FA resistance among 81 methicillin-resistant staphylococcal isolates from major hospitals of Alexandria, Egypt. Some combinations for treating infections due to resistant isolates were studied. Twenty-six isolates (32.1%) were FA resistant (minimum inhibitory concentrations [MICs] = 2-1,024 μg/ml), and fusB and fusC genes coding for FA resistance were detected in 30.77% and 34.62% of the FA-resistant strains, respectively. One highly resistant isolate, S502 (MIC = 1,024 μg/ml), possessed both genes. Plasmid curing resulted in fusB loss and MIC decrease by 16-64 folds. Conjugation caused acquisition of FA resistance among susceptible isolates. Serial passages in subinhibitory FA concentrations produced mutants with increased MIC by 4-32 folds. The combination of FA with rifampin, gentamicin, or ampicillin/sulbactam, in a subinhibitory concentration, was synergistic against the isolates, including serial passage mutants, decreasing number of survivors by an average of 2-4 logs. A relatively moderate rate of FA resistance was detected in Alexandria hospitals. Combination therapy with gentamicin, rifampin, or ampicillin/sulbactam is crucial to preserve the effectiveness of FA.

  15. Antibiotic Resistance in Foodborne Pathogens

    OpenAIRE

    Walsh, Ciara; Duffy, Geraldine

    2013-01-01

    Wide-spread antibiotic resistance among bacterial pathogens is now a serious public health issue and multi-antibiotic resistance has been reported in many foodborne pathogens including Salmonella and E. coli. A study to determine antibiotic resistance profiles of a range of Salmonella and Verocytotoxigenic E.coli (VTEC) isolated from Irish foods revealed significant levels of antibiotic resistance in the strains. S. typhimurium DT104 were multiantibiotic resistant with 97% resistant to 7 anti...

  16. Hydraulic resistance of biofilms

    KAUST Repository

    Dreszer, C.; Vrouwenvelder, Johannes S.; Paulitsch-Fuchs, Astrid H.; Zwijnenburg, Arie; Kruithof, Joop C.; Flemming, Hans Curt

    2013-01-01

    resistance is very low compared to the expected biofilm resistance and, thus, biofilm resistance can be determined accurately. Transmembrane pressure drop was monitored. As biofilm parameters, thickness, total cell number, TOC, and extracellular polymeric

  17. Selection for chlorpyrifos resistance in Liriomyza sativae Blanchard: Cross-resistance patterns, stability and biochemical mechanisms.

    Science.gov (United States)

    Askari-Saryazdi, Ghasem; Hejazi, Mir Jalil; Ferguson, J Scott; Rashidi, Mohammad-Reza

    2015-10-01

    The vegetable leafminer (VLM), Liriomyza sativae (Diptera: Agromyzidae) is a serious pest of vegetable crops and ornamentals worldwide. In cropping systems with inappropriate management strategies, development of resistance to insecticides in leafminers is probable. Chlorpyrifos is a commonly used pesticide for controlling leafminers in Iran, but resistance to this insecticide in leafminers has not been characterized. In order to develop strategies to minimize resistance in the field and greenhouse, a laboratory selected chlorpyrifos resistant strain of L. sativae was used to characterize resistance and determine the rate of development and stability of resistance. Selecting for resistance in the laboratory after 23 generations yielded a chlorpyrifos resistant selected strain (CRSS) with a resistance ratio of 40.34, determined on the larval stage. CRSS exhibited no cross-resistance to other tested insecticides except for diazinon. Synergism and biochemical assays indicated that esterases (EST) had a key role in metabolic resistance to chlorpyrifos, but glutathione S-transferase (GST) and mixed function oxidase (MFO) were not mediators in this resistance. In CRSS acetylcholinesterase (AChE) was more active than the susceptible strain, Sharif (SH). AChE in CRSS was also less sensitive to inhibition by propoxur. The kinetics parameters (Km and Vmax) of AChE indicated that affinities and hydrolyzing efficiencies of this enzyme in CRSS were higher than SH. Susceptibility to chlorpyrifos in L. sativae was re-gained in the absence of insecticide pressure. Synergism, biochemical and cross-resistance assays revealed that overactivity of metabolic enzymes and reduction in target site sensitivity are probably joint factors in chlorpyrifos resistance. An effective insecticide resistance management program is necessary to prevent fast resistance development in crop systems. Copyright © 2015 Elsevier Inc. All rights reserved.

  18. Intra and interobserver variability of renal allograft ultrasound volume and resistive index measurements

    International Nuclear Information System (INIS)

    Mancini, Marcello; Liuzzi, Raffaele; Daniele, Stefania; Raffio, Teresa; Salvatore, Marco; Sabbatini, Massimo; Cianciaruso, Bruno; Ferrara, Liberato Aldo

    2005-01-01

    Purpose: Aim of the presents study was to evaluate the repeatability and reproducibility of the Doppler Resistive Index (R.I.) and the Ultrasound renal volume measurement in renal transplants. Materials and methods: Twenty -six consecutive patients (18 men, 8 women) mean age of 42,8±12,4 years (M±SD)(range 22-65 years) were studied twice by each of two trained sonographers using a color Doppler ultrasound scanner. Twelve of them had a normal allograft function (defined as stable serum creatinine levels ≤123,76 μmol/L), whilst the remaining 14 had decreased allograft function (serum creatinine 132.6-265.2 μmol/L). Results were given as mean of 6 measurements performed at upper, middle and lower pole of the kidney. Intra- and interobserver variability was assessed by the repeatability coefficient and coefficient of variation (CV). Results: Regarding Resistive Index measurement, repeatability coefficient was between 0.04 and 0.06 and the coefficient of variation was [it

  19. Resistance of Bacteria to Biocides.

    Science.gov (United States)

    Maillard, Jean-Yves

    2018-04-01

    Biocides and formulated biocides are used worldwide for an increasing number of applications despite tightening regulations in Europe and in the United States. One concern is that such intense usage of biocides could lead to increased bacterial resistance to a product and cross-resistance to unrelated antimicrobials including chemotherapeutic antibiotics. Evidence to justify such a concern comes mostly from the use of health care-relevant bacterial isolates, although the number of studies of the resistance characteristics of veterinary isolates to biocides have increased the past few years. One problem remains the definition of "resistance" and how to measure resistance to a biocide. This has yet to be addressed globally, although the measurement of resistance is becoming more pressing, with regulators both in Europe and in the United States demanding that manufacturers provide evidence that their biocidal products will not impact on bacterial resistance. Alongside in vitro evidence of potential antimicrobial cross-resistance following biocide exposure, our understanding of the mechanisms of bacterial resistance and, more recently, our understanding of the effect of biocides to induce a mechanism(s) of resistance in bacteria has improved. This article aims to provide an understanding of the development of antimicrobial resistance in bacteria following a biocide exposure. The sections provide evidence of the occurrence of bacterial resistance and its mechanisms of action and debate how to measure bacterial resistance to biocides. Examples pertinent to the veterinary field are used where appropriate.

  20. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer.

    Science.gov (United States)

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-07-04

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns.

  1. Irreversibility in transformation behavior of equiatomic nickel-titanium alloy by electrical resistivity measurement

    International Nuclear Information System (INIS)

    Matsumoto, Hitoshi

    2004-01-01

    Measurements of the electrical resistivity were precisely performed on shape memory Ni 50 Ti 50 alloy in order to reveal the irreversible behavior of the thermoelastic martensitic transformation with thermal cycling. The hump in the electrical resistivity during cooling is enhanced with increasing the number of complete thermal cycles to result in a peak, although no peak in the electrical resistivity is observed on the reverse transformation during heating. The electrical resistivity in the low-temperature phase, of which the temperature dependence is linear, increases with increasing the number of complete thermal cycles. The temperature coefficient of the electrical resistivity in the temperature region of the high-temperature phase increases with elevating the temperature. The transformation is strongly influenced by incomplete thermal cycles to result in a peak in the resistivity even on the reverse transformation after incomplete thermal cycling. It is thought that the anomalous behavior such as enhancement of a resistivity-peak, the increase in the electrical resistivity of the low-temperature phase, and the nonlinear relation between the resistivity and the temperature in the high-temperature phase are attributable to the appearance of an intermediate phase stabilized by transformation-induced defects, the accumulation of the transformation-induced defects, and the electron scattering due to the softening of a phonon mode in the high-temperature phase, respectively. It proved useful to make more accurate measurements of the electrical resistivity in order to investigate the intrinsic behavior of the transformation in NiTi

  2. Camptothecin resistance

    DEFF Research Database (Denmark)

    Brangi, M; Litman, Thomas; Ciotti, M

    1999-01-01

    . Glucuronides were found at equal levels in both parental and resistant colon cancer cell lines for epirubicin and to a lesser extent for SN-38 and mitoxantrone. Low levels of glucuronidation could also be detected in the resistant breast cancer cells. These results were confirmed by analysis of the UGT1A...

  3. Regicide and Resistance

    DEFF Research Database (Denmark)

    Flohr, Mikkel

    2016-01-01

    This article examines the role of resistance in Michel Foucault’s political thought. The article recovers this otherwise obscured aspect of Foucault’s thought through a systematic analysis of his theoretical regicide and consequent reconceptualization of power, agency and resistance. It is argued...... that Foucault developed a highly original account of resistance, which was, and should continue to be considered, central to his thought and its critical potential. It is shown how Foucault’s concept of resistance overcomes the limitation of voluntarism and determinism, which continue to mare contemporary...... political theory, providing a passage from the critique of contemporary configurations of power to the irrepressible possibility that they may be contested and changed....

  4. The effect of electrode contact resistance and capacitive coupling on Complex Resistivity measurements

    DEFF Research Database (Denmark)

    Ingeman-Nielsen, Thomas

    2006-01-01

    The effect of electrode contact resistance and capacitive coupling on complex resistivity (CR) measurements is studied in this paper. An equivalent circuit model for the receiver is developed to describe the effects. The model shows that CR measurements are severely affected even at relatively lo...... with the contact resistance artificially increased by resistors. The results emphasize the importance of keeping contact resistance low in CR measurements....

  5. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More sharing options ... produced a nine-minute animation explaining how antimicrobial resistance both emerges and proliferates among bacteria. Over time, ...

  6. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More sharing ... CVM) produced a nine-minute animation explaining how antimicrobial resistance both emerges and proliferates among bacteria. Over ...

  7. Appraisal of wheat germplasm for adult plant resistance against stripe rust

    Directory of Open Access Journals (Sweden)

    Saleem Kamran

    2015-12-01

    Full Text Available The resurgence of wheat stripe rust is of great concern for world food security. Owing to resistance breakdown and the appearance of new virulent high-temperature adapted races of Puccinia striiformis f. sp. tritici (Pst, many high yielding commercial varieties in the country lost their yield potential. Searching for new sources of resistance is the best approach to mitigate the problem. Quantitative resistance (partial or adult plant or durable resistance is reported to be more stable than race specific resistance. In the current perusal, a repertoire of 57 promising wheat lines along with the KLcheck line Morocco, developed through hybridisation and selection of local and international lines with International Maize and Wheat Improvement Center (CIMMYT origin, were evaluated under natural field conditions at Nuclear Institute for Agriculture and Biology (NIAB during the 2012−2013 and 2013−2014 time periods. Final rust severity (FRS, the area under the rust progress curve (AURPC, the relative area under the rust progress curve (rAURPC, and the coefficient of infection (CI were unraveled to infer the level of quantitative resistance. Final rust severity was recorded when the susceptible check exhibited 100% severity. There were 21 lines which were immune (no disease, 16 which were resistant, five moderately resistant, two resistant-to-moderately resistant, one moderately resistant-to-moderately susceptible, 5 moderately susceptible-to-susceptible, one moderately susceptible, and six exhibited a susceptible response. Nevertheless, 51 lines exhibited a high level of partial resistance while the three lines, NW-5-1212-1, NW-7-30-1, and NW-7-5 all showed a moderate level of partial resistance based on FRS, while 54 lines, on the basis of AURPC and rAURPC, were identified as conferring a high level of partial resistance. Moreover, adult plant resistance was conferred by 47 wheat lines, based on CI value. It was striking that, 13 immune lines

  8. Assessment of slipping resistance of footwear and floor surfaces. Influence of manufacture and utilization of the products.

    Science.gov (United States)

    Leclercq, S; Tisserand, M; Saulnier, H

    1995-02-01

    The slipping resistance of footwear or floor surfaces is a characteristic which it is vital to be able to quantify. Work is being carried out at the International Standard Organization (ISO) and at the European Committee for Standardization (CEN) into the slip resistance of both work shoes and protective footwear, involving analysis of the latest developments in both the validity of measuring methods and the extent of the influence of certain experimental parameters on the slip resistance. Two industrial factors, independent of the measuring process but essential to the determination of the coefficient of friction of the models have been experimentally studied, namely the effect of the manufacture of the footwear or floor surfaces on the scattering of the specific coefficient of friction of a referenced model and the effect on the coefficient of friction of mechanical wear and tear on the soles as a result of their use respectively. Work into slipping on industrial floor surfaces is less advanced. Yet, problems raised call for the same approach than for problems linked to slipping resistance of footwear, and applications will be also at first related to standardized measurement conditions.

  9. Phenotypic Resistance to Antibiotics

    Directory of Open Access Journals (Sweden)

    Jose L. Martinez

    2013-04-01

    Full Text Available The development of antibiotic resistance is usually associated with genetic changes, either to the acquisition of resistance genes, or to mutations in elements relevant for the activity of the antibiotic. However, in some situations resistance can be achieved without any genetic alteration; this is called phenotypic resistance. Non-inherited resistance is associated to specific processes such as growth in biofilms, a stationary growth phase or persistence. These situations might occur during infection but they are not usually considered in classical susceptibility tests at the clinical microbiology laboratories. Recent work has also shown that the susceptibility to antibiotics is highly dependent on the bacterial metabolism and that global metabolic regulators can modulate this phenotype. This modulation includes situations in which bacteria can be more resistant or more susceptible to antibiotics. Understanding these processes will thus help in establishing novel therapeutic approaches based on the actual susceptibility shown by bacteria during infection, which might differ from that determined in the laboratory. In this review, we discuss different examples of phenotypic resistance and the mechanisms that regulate the crosstalk between bacterial metabolism and the susceptibility to antibiotics. Finally, information on strategies currently under development for diminishing the phenotypic resistance to antibiotics of bacterial pathogens is presented.

  10. Resistance and Resistant Reaction of Gossypium arboreum to the Reniform, Nematode, Rotylenchulus reniformis

    Science.gov (United States)

    Carter, William W.

    1981-01-01

    Gossypium arboreum 'Nanking CB 1402' possessed a high level of resistance to Rotylenchulus reniformis. Within 16 h, the nematode penetrated roots of resistant and susceptible cottons equally. After 36 h, significantly fewer nematodes were found in resistant roots. Larvae fed in either an endodermal or pericyclic cell and had no specificity for root tissue of a particular age. In roots of resistant G. arboreum '1402,' wall breakdown of pericyclic cells was evident after 3 d, endodermal and cortical cells collapsed, and the hypertrophied pericyclic cells disintegrated within 12 d. Cell walls immediately adjacent to the nematode's head were thickened and more safranin positive in resistant than in susceptible cotton cultivars. Several other cultivars of G. arboreum were also resistant to R. reniformis, based on nematode fecundity and percent egg reduction. PMID:19300777

  11. Metabolic Resistance in Bed Bugs

    Directory of Open Access Journals (Sweden)

    Omprakash Mittapalli

    2011-03-01

    Full Text Available Blood-feeding insects have evolved resistance to various insecticides (organochlorines, pyrethroids, carbamates, etc. through gene mutations and increased metabolism. Bed bugs (Cimex lectularius are hematophagous ectoparasites that are poised to become one of the major pests in households throughout the United States. Currently, C. lectularius has attained a high global impact status due to its sudden and rampant resurgence. Resistance to pesticides is one factor implicated in this phenomenon. Although much emphasis has been placed on target sensitivity, little to no knowledge is available on the role of key metabolic players (e.g., cytochrome P450s and glutathione S-transferases towards pesticide resistance in C. lectularius. In this review, we discuss different modes of resistance (target sensitivity, penetration resistance, behavioral resistance, and metabolic resistance with more emphasis on metabolic resistance.

  12. Molecular Detection of Antimicrobial Resistance

    Science.gov (United States)

    Fluit, Ad C.; Visser, Maarten R.; Schmitz, Franz-Josef

    2001-01-01

    The determination of antimicrobial susceptibility of a clinical isolate, especially with increasing resistance, is often crucial for the optimal antimicrobial therapy of infected patients. Nucleic acid-based assays for the detection of resistance may offer advantages over phenotypic assays. Examples are the detection of the methicillin resistance-encoding mecA gene in staphylococci, rifampin resistance in Mycobacterium tuberculosis, and the spread of resistance determinants across the globe. However, molecular assays for the detection of resistance have a number of limitations. New resistance mechanisms may be missed, and in some cases the number of different genes makes generating an assay too costly to compete with phenotypic assays. In addition, proper quality control for molecular assays poses a problem for many laboratories, and this results in questionable results at best. The development of new molecular techniques, e.g., PCR using molecular beacons and DNA chips, expands the possibilities for monitoring resistance. Although molecular techniques for the detection of antimicrobial resistance clearly are winning a place in routine diagnostics, phenotypic assays are still the method of choice for most resistance determinations. In this review, we describe the applications of molecular techniques for the detection of antimicrobial resistance and the current state of the art. PMID:11585788

  13. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More sharing options ... CVM) produced a nine-minute animation explaining how antimicrobial resistance both emerges and proliferates among bacteria. Over time, ...

  14. Fate of antibiotic resistant bacteria and genes during wastewater chlorination: implication for antibiotic resistance control.

    Directory of Open Access Journals (Sweden)

    Qing-Bin Yuan

    Full Text Available This study investigated fates of nine antibiotic-resistant bacteria as well as two series of antibiotic resistance genes in wastewater treated by various doses of chlorine (0, 15, 30, 60, 150 and 300 mg Cl2 min/L. The results indicated that chlorination was effective in inactivating antibiotic-resistant bacteria. Most bacteria were inactivated completely at the lowest dose (15 mg Cl2 min/L. By comparison, sulfadiazine- and erythromycin-resistant bacteria exhibited tolerance to low chlorine dose (up to 60 mg Cl2 min/L. However, quantitative real-time PCRs revealed that chlorination decreased limited erythromycin or tetracycline resistance genes, with the removal levels of overall erythromycin and tetracycline resistance genes at 0.42 ± 0.12 log and 0.10 ± 0.02 log, respectively. About 40% of erythromycin-resistance genes and 80% of tetracycline resistance genes could not be removed by chlorination. Chlorination was considered not effective in controlling antimicrobial resistance. More concern needs to be paid to the potential risk of antibiotic resistance genes in the wastewater after chlorination.

  15. Evaluation of water content in rock mass by electromagnetic and resistivity tomography. Denjiha, hiteiko tomography ni yoru ganban no gansui jotai hyoka

    Energy Technology Data Exchange (ETDEWEB)

    Inaba, T; Inoue, M; Matsumoto, K [Kajima Corp., Tokyo (Japan)

    1993-10-31

    As a method to evaluate the rock mass, electromagnetic tomography and resistivity tomography were tested on the original site. The electromagnetic tomography can tomographically analyze the propagation velocity and initial amplitude damping. The resistivity tomography uses a finite element method from the initial resistivity distribution model and finally gives a model which is nearly equal to the actual resistivity distribution. Both the above tomographic analyses can detect the crushed spot as a low velocity, high damping and low resistivity spot. However, the electromagnetic tomography could detect finer structure than the resistivity tomography. The water content of rock mass was evaluated from the damping coefficient which was given by the amplitude damping tomography of electromagnetic wave. If it is compared with the water content which is given by the dielectric constant of velocity tomography, there partially exists discrepancy between both, because the damping coefficient contains information on cracks which disperse and reflect the electromagnetic wave. Between the resistivity and porosity, there exists a known experimental formula, which was satisfied by the presently given resistivity. 9 refs., 10 figs.

  16. Hydraulic resistance of biofilms

    KAUST Repository

    Dreszer, C.

    2013-02-01

    Biofilms may interfere with membrane performance in at least three ways: (i) increase of the transmembrane pressure drop, (ii) increase of feed channel (feed-concentrate) pressure drop, and (iii) increase of transmembrane passage. Given the relevance of biofouling, it is surprising how few data exist about the hydraulic resistance of biofilms that may affect the transmembrane pressure drop and membrane passage. In this study, biofilms were generated in a lab scale cross flow microfiltration system at two fluxes (20 and 100Lm-2h-1) and constant cross flow (0.1ms-1). As a nutrient source, acetate was added (1.0mgL-1 acetate C) besides a control without nutrient supply. A microfiltration (MF) membrane was chosen because the MF membrane resistance is very low compared to the expected biofilm resistance and, thus, biofilm resistance can be determined accurately. Transmembrane pressure drop was monitored. As biofilm parameters, thickness, total cell number, TOC, and extracellular polymeric substances (EPS) were determined, it was demonstrated that no internal membrane fouling occurred and that the fouling layer actually consisted of a grown biofilm and was not a filter cake of accumulated bacterial cells. At 20Lm-2h-1 flux with a nutrient dosage of 1mgL-1 acetate C, the resistance after 4 days reached a value of 6×1012m-1. At 100Lm-2h-1 flux under the same conditions, the resistance was 5×1013m-1. No correlation of biofilm resistance to biofilm thickness was found; Biofilms with similar thickness could have different resistance depending on the applied flux. The cell number in biofilms was between 4×107 and 5×108 cellscm-2. At this number, bacterial cells make up less than a half percent of the overall biofilm volume and therefore did not hamper the water flow through the biofilm significantly. A flux of 100Lm-2h-1 with nutrient supply caused higher cell numbers, more biomass, and higher biofilm resistance than a flux of 20Lm-2h-1. However, the biofilm thickness

  17. Cross-resistance and biochemical mechanisms of resistance to indoxacarb in the diamondback moth, Plutella xylostella.

    Science.gov (United States)

    Zhang, Shuzhen; Zhang, Xiaolei; Shen, Jun; Li, Dongyang; Wan, Hu; You, Hong; Li, Jianhong

    2017-08-01

    Indoxacarb belongs to a class of insecticides known as oxadiazines and is the first commercialized pyrazoline-type voltage-dependent sodium channel blocker. A moderate level of resistance to indoxacarb has evolved in field populations of Plutella xylostella from Central China. In the present study, cross-resistance, resistance stability and metabolic mechanisms of indoxacarb resistance were investigated in this moth species. A P. xylostella strain with a high level of resistance to indoxacarb was obtained through continuous selection in the laboratory. The strain showed cross-resistance to metaflumizone, beta-cypermethrin and chlorfenapyr, but no resistance to cyantraniliprole, chlorantraniliprole, abamectin, chlorfluazuron, spinosad and diafenthiuron compared with the susceptible strain. Synergism tests revealed that piperonyl butoxide (PBO) (synergistic ratio, SR=7.8) and diethyl maleate (DEF) (SR=3.5) had considerable synergistic effects on indoxacarb toxicity in the resistant strain (F 58 ). Enzyme activity data showed there was an approximate 5.8-fold different in glutathione S-transferase (GST) and a 6.8-fold different in cytochrome P450 monooxygenase between the resistant strain (F 58 ) and susceptible strain, suggesting that the increased activity of these two enzymes is likely the main detoxification mechanism responsible for the species' resistance to indoxacarb. These results will be helpful for insecticide resistance management strategies to delay the development of indoxacarb resistance in fields. Copyright © 2017. Published by Elsevier Inc.

  18. Multi-Electrode Resistivity Probe for Investigation of Local Temperature Inside Metal Shell Battery Cells via Resistivity: Experiments and Evaluation of Electrical Resistance Tomography

    Directory of Open Access Journals (Sweden)

    Xiaobin Hong

    2015-01-01

    Full Text Available Direct Current (DC electrical resistivity is a material property that is sensitive to temperature changes. In this paper, the relationship between resistivity and local temperature inside steel shell battery cells (two commercial 10 Ah and 4.5 Ah lithium-ion cells is innovatively studied by Electrical Resistance Tomography (ERT. The Schlumberger configuration in ERT is applied to divide the cell body into several blocks distributed in different levels, where the apparent resistivities are measured by multi-electrode surface probes. The investigated temperature ranges from −20 to 80 °C. Experimental results have shown that the resistivities mainly depend on temperature changes in each block of the two cells used and the function of the resistivity and temperature can be fitted to the ERT-measurement results in the logistical-plot. Subsequently, the dependence of resistivity on the state of charge (SOC is investigated, and the SOC range of 70%–100% has a remarkable impact on the resistivity at low temperatures. The proposed approach under a thermal cool down regime is demonstrated to monitor the local transient temperature.

  19. Oxidation-resistant cermet

    Science.gov (United States)

    Phillips, W. M.

    1977-01-01

    Chromium metal alloys and chromium oxide ceramic are combined to produce cermets with oxidation-resistant properties. Application of cermets includes use in hot corrosive environments requiring strong resistive materials.

  20. In vivo assessment of cardiac insulin resistance by nuclear probes using an iodinated tracer of glucose transport

    International Nuclear Information System (INIS)

    Briat, Arnaud; Slimani, Lotfi; Perret, Pascale; Villemain, Daniele; Fagret, Daniel; Ghezzi, Catherine; Halimi, Serge; Demongeot, Jacques

    2007-01-01

    Insulin resistance, implying depressed cellular sensitivity to insulin, is a risk factor for type 2 diabetes and cardiovascular disease. This study is the first step towards the development of a technique of insulin resistance measurement in humans with a new tracer of glucose transport, [ 123 I]6-deoxy-6-iodo-D-glucose (6DIG). We investigated 6DIG kinetics in anaesthetised control rats and in three models of insulin-resistant rats: fructose fed, Zucker and ZDF. The study of myocardial 6DIG activity was performed under two conditions: first, 6DIG was injected under the baseline condition and then it was injected after a bolus injection of insulin. After each injection, radioactivity was measured over 45 min by external detection via NaI probes, in the heart and blood. A tri-compartment model was developed to obtain fractional transfer coefficients of 6DIG from the blood to the heart. These coefficients were significantly increased with insulin in control rats and did not change significantly in insulin-resistant rats. The ratio of the coefficient obtained under insulin to that obtained under basal conditions gave an index of cardiac insulin resistance for each animal. The mean values of these ratios were significantly lower in insulin-resistant than in control rats: 1.16 ± 0.06 vs 2.28 ± 0.18 (p < 0.001) for the fructose-fed group, 0.92 ± 0.05 vs 1.62 ± 0.25 (p < 0.01) for the Zucker group and 1.34 ± 0.06 vs 2.01 ± 0.26 (p < 0.05) for the ZDF group. These results show that 6DIG could be a useful tracer to image cardiac insulin resistance. (orig.)

  1. Non-invasive determination of absolute lung resistivity in adults using electrical impedance tomography

    International Nuclear Information System (INIS)

    Zhang, Jie; Patterson, Robert

    2010-01-01

    Lung resistivity is a physiological parameter that describes the electrical characteristics of the lungs. Lung composition changes due to changes in the lung tissues, fluid and air volume. Various diseases that can cause a change in lung composition may be monitored by measuring lung resistivity. Currently, there is no accepted non-invasive method to measure lung resistivity. In this study, we presented a method and framework to non-invasively determine lung resistivity using electrical impedance tomography (EIT). By comparing actual measurements from subjects with data from a 3D human thorax model, an EIT image can be reconstructed to show a resistivity difference between the model and the subject. By adjusting the lung resistivity in the model, the resistivity difference in the lung regions can be reduced to near zero. This resistivity value then is the estimation of the lung resistivity of the subject. Using the proposed method, the lung resistivities of four normal adult males (43 ± 13 years, 78 ± 10 kg) in the supine position at air volumes starting at functional residual capacity (FRC—end expiration) and increasing in 0.5 l steps to 1.5 l were studied. The averaged lung resistivity changes 12.59%, from 1406 Ω cm to 1583 Ω cm, following the inspiration of 1.5 l air from FRC. The coefficients of variation (CV) of precision for the four subjects are less than 10%. The experiment was repeated five times at each air volume on a subject to test the reproducibility. The CVs are less than 3%. The results show that it is feasible to determine absolute lung resistivity using an EIT-based method

  2. Non-invasive determination of absolute lung resistivity in adults using electrical impedance tomography.

    Science.gov (United States)

    Zhang, Jie; Patterson, Robert

    2010-08-01

    Lung resistivity is a physiological parameter that describes the electrical characteristics of the lungs. Lung composition changes due to changes in the lung tissues, fluid and air volume. Various diseases that can cause a change in lung composition may be monitored by measuring lung resistivity. Currently, there is no accepted non-invasive method to measure lung resistivity. In this study, we presented a method and framework to non-invasively determine lung resistivity using electrical impedance tomography (EIT). By comparing actual measurements from subjects with data from a 3D human thorax model, an EIT image can be reconstructed to show a resistivity difference between the model and the subject. By adjusting the lung resistivity in the model, the resistivity difference in the lung regions can be reduced to near zero. This resistivity value then is the estimation of the lung resistivity of the subject. Using the proposed method, the lung resistivities of four normal adult males (43 +/- 13 years, 78 +/- 10 kg) in the supine position at air volumes starting at functional residual capacity (FRC--end expiration) and increasing in 0.5 l steps to 1.5 l were studied. The averaged lung resistivity changes 12.59%, from 1406 Omega cm to 1583 Omega cm, following the inspiration of 1.5 l air from FRC. The coefficients of variation (CV) of precision for the four subjects are less than 10%. The experiment was repeated five times at each air volume on a subject to test the reproducibility. The CVs are less than 3%. The results show that it is feasible to determine absolute lung resistivity using an EIT-based method.

  3. Low Prevalence of Carbapenem-Resistant Bacteria in River Water: Resistance Is Mostly Related to Intrinsic Mechanisms.

    Science.gov (United States)

    Tacão, Marta; Correia, António; Henriques, Isabel S

    2015-10-01

    Carbapenems are last-resort antibiotics to handle serious infections caused by multiresistant bacteria. The incidence of resistance to these antibiotics has been increasing and new resistance mechanisms have emerged. The dissemination of carbapenem resistance in the environment has been overlooked. The main goal of this research was to assess the prevalence and diversity of carbapenem-resistant bacteria in riverine ecosystems. The presence of frequently reported carbapenemase-encoding genes was inspected. The proportion of imipenem-resistant bacteria was on average 2.24 CFU/ml. Imipenem-resistant strains (n=110) were identified as Pseudomonas spp., Stenotrophomonas maltophilia, Aeromonas spp., Chromobacterium haemolyticum, Shewanella xiamenensis, and members of Enterobacteriaceae. Carbapenem-resistant bacteria were highly resistant to other beta-lactams such as quinolones, aminoglycosides, chloramphenicol, tetracyclines, and sulfamethoxazole/trimethoprim. Carbapenem resistance was mostly associated with intrinsically resistant bacteria. As intrinsic resistance mechanisms, we have identified the blaCphA gene in 77.3% of Aeromonas spp., blaL1 in all S. maltophilia, and blaOXA-48-like in all S. xiamenensis. As acquired resistance mechanisms, we have detected the blaVIM-2 gene in six Pseudomonas spp. (5.45%). Integrons with gene cassettes encoding resistance to aminoglycosides (aacA and aacC genes), trimethoprim (dfrB1b), and carbapenems (blaVIM-2) were found in Pseudomonas spp. Results suggest that carbapenem resistance dissemination in riverine ecosystems is still at an early stage. Nevertheless, monitoring these aquatic compartments for the presence of resistance genes and its host organisms is essential to outline strategies to minimize resistance dissemination.

  4. Antimicrobial resistance of thermophilic Campylobacter

    DEFF Research Database (Denmark)

    Aarestrup, Frank Møller; Engberg, J.

    2001-01-01

    Campylobacter has become the leading cause of zoonotic enteric infections in developed and developing countries world-wide. Antimicrobial resistance has emerged among Campylobacter mainly as a consequence of the use of antimicrobial agents in food animal production. Resistance to drugs of choice...... for the treatment of infections, macrolides and fluoroquinolones has emerged as a clinical problem and interventions to reduce this are recommended. Resistance to fluoroquinolones and macrolides is mediated by chromosomal mutations. Resistance to other relevant antimicrobial agents, mediated by acquired resistance...... genes, has not become widespread so far. However, resistance genes originating from both Gram-positive and Gram-negative bacterial species have been found, showing the potential for acquired resistance to emerge in Campylobacter....

  5. Resistance patterns and trends of extensively drug-resistant tuberculosis: 5-year experience

    Directory of Open Access Journals (Sweden)

    Amresh Kumar Singh

    2013-12-01

    Full Text Available Objective:Extensively drug-resistant tuberculosis (XDR-TB strains were emerged when multidrug-resistant TB (MDR- TB was inadequately treated. Inadequate treatment of MDR-TB cases may result in additional resistance especially non-XDR-TB and then XDR-TB. The aim of this study was to know the prevalence, resistance patterns and trends of the XDR-TB strains among the MDR-TB at a tertiary care hospital in Lucknow, India Methods: A total of 430 Mycobacterium isolates were underwent NAP test and TB MPT64 Ag test for the identification of Mycobacterium tuberculosis complex (MTBC. Drug-susceptibility test (DST was performed over MTBC for the first line drugs by 1% proportion method (Bactec and for the second-line drugs by 1% proportion method (Lowenstein- Jensen media. The XDR-TB status was further confirmed by line probe assay (GenoType® MTBDRsl assay. Results: Among the 430 isolates of mycobacterium, 365 (84.9% were MTBC and 139 (38.1% were MDR-TB respectively. Further 97 MDR-TB from “highly suspected drug resistant-TB (DR-TB” cases among MDR-TB were tested with second line drugs in which 15 (15.5% XDR-TB and 82 (84.5% were non-XDR-TB. Regarding XDR-TB status, using the 1% proportion method a 100% agreement was seen with the GenoType® MTBDRsl assay. Resistance patterns of XDR-TB were as; 10/15 (66.7% as isoniazid + rifampicin + ciprofloxacin + amikacin resistance and 5/15 (33.3% as isoniazid + rifampicin + ciprofloxacin + amikacin + kanamycin resistance. Conclusion:The prevalence of XDR-TB was 15.5% among MDR-TB. Hence laboratory testing of “highly suspected drug resistant-TB” isolates should be done for both first and second line drugs simultaneously especially in developing countries.J Microbiol Infect Dis 2013;3(4: 169-175

  6. Challenges to Resistance Welding

    DEFF Research Database (Denmark)

    Song, Quanfeng

    This report originates from the compulsory defense during my Ph.D. study at the Technical University of Denmark. Resistance welding is an old and well-proven technology. Yet the emergence of more and more new materials, new designs, invention off new joining techniques, and more stringent...... requirement in quality have imposed challenges to the resistance welding. More some research and development have to be done to adapt the old technology to the manufacturing industry of the 21st century. In the 1st part of the report, the challenging factors to the resistance welding are reviewed. Numerical...... simulation of resistance welding has been under development for many years. Yet it is no easy to make simulation results reliable and accurate because of the complexity of resistance welding process. In the 2nd part of the report numerical modeling of resistance welding is reviewed, some critical factors...

  7. Method of separate determination of high-ohmic sample resistance and contact resistance

    Directory of Open Access Journals (Sweden)

    Vadim A. Golubiatnikov

    2015-09-01

    Full Text Available A method of separate determination of two-pole sample volume resistance and contact resistance is suggested. The method is applicable to high-ohmic semiconductor samples: semi-insulating gallium arsenide, detector cadmium-zinc telluride (CZT, etc. The method is based on near-contact region illumination by monochromatic radiation of variable intensity from light emitting diodes with quantum energies exceeding the band gap of the material. It is necessary to obtain sample photo-current dependence upon light emitting diode current and to find the linear portion of this dependence. Extrapolation of this linear portion to the Y-axis gives the cut-off current. As the bias voltage is known, it is easy to calculate sample volume resistance. Then, using dark current value, one can determine the total contact resistance. The method was tested for n-type semi-insulating GaAs. The contact resistance value was shown to be approximately equal to the sample volume resistance. Thus, the influence of contacts must be taken into account when electrophysical data are analyzed.

  8. Efflux-mediated antimicrobial resistance.

    Science.gov (United States)

    Poole, Keith

    2005-07-01

    Antibiotic resistance continues to plague antimicrobial chemotherapy of infectious disease. And while true biocide resistance is as yet unrealized, in vitro and in vivo episodes of reduced biocide susceptibility are common and the history of antibiotic resistance should not be ignored in the development and use of biocidal agents. Efflux mechanisms of resistance, both drug specific and multidrug, are important determinants of intrinsic and/or acquired resistance to these antimicrobials, with some accommodating both antibiotics and biocides. This latter raises the spectre (as yet generally unrealized) of biocide selection of multiple antibiotic-resistant organisms. Multidrug efflux mechanisms are broadly conserved in bacteria, are almost invariably chromosome-encoded and their expression in many instances results from mutations in regulatory genes. In contrast, drug-specific efflux mechanisms are generally encoded by plasmids and/or other mobile genetic elements (transposons, integrons) that carry additional resistance genes, and so their ready acquisition is compounded by their association with multidrug resistance. While there is some support for the latter efflux systems arising from efflux determinants of self-protection in antibiotic-producing Streptomyces spp. and, thus, intended as drug exporters, increasingly, chromosomal multidrug efflux determinants, at least in Gram-negative bacteria, appear not to be intended as drug exporters but as exporters with, perhaps, a variety of other roles in bacterial cells. Still, given the clinical significance of multidrug (and drug-specific) exporters, efflux must be considered in formulating strategies/approaches to treating drug-resistant infections, both in the development of new agents, for example, less impacted by efflux and in targeting efflux directly with efflux inhibitors.

  9. Antimicrobial resistance 1979-2009 at Karolinska hospital, Sweden: normalized resistance interpretation during a 30-year follow-up on Staphylococcus aureus and Escherichia coli resistance development.

    Science.gov (United States)

    Kronvall, Göran

    2010-09-01

    To utilize a material of inhibition zone diameter measurements from disc diffusion susceptibility tests between 1979 and 2009, an objective setting of epidemiological breakpoints was necessary because of methodological changes. Normalized resistance interpretation (NRI) met this need and was applied to zone diameter histograms for Staphylococcus aureus and Escherichia coli isolates. The results confirmed a slow resistance development as seen in Northern countries. The S. aureus resistance levels for erythromycin, clindamycin and fusidic acid in 2009 were 3.2%, 1.8% and 1.4% with denominator correction. A rise in resistance to four antimicrobials in 1983 was probably because of a spread of resistant Methicillin Susceptible Staphylococcus Aureus (MSSA). For E. coli, the denominator-corrected resistance levels in 2009 were 27% for ampicillin, around 3% for third-generation cephalosporins, 0.1% for imipenem, 2.5% for gentamicin, 19% for trimethoprim, 4.5% for co-trimoxazole, 1.2% for nitrofurantoin and 9% for ciprofloxacin. The temporal trends showed a rise in fluoroquinolone resistance from 1993, a parallel increase in gentamicin resistance, a substantial increase in trimethoprim and sulphonamide resistance in spite of decreased consumption, and a steady rise in ampicillin resistance from a constant level before 1989. A short review of global resistance surveillance studies is included.

  10. Antibiotic resistance in animals.

    Science.gov (United States)

    Barton, Mary D; Pratt, Rachael; Hart, Wendy S

    2003-01-01

    There is currently no systematic surveillance or monitoring of antibiotic resistance in Australian animals. Registration of antibiotics for use in animals is tightly controlled and has been very conservative. Fluoroquinolones have not been registered for use in food producing animals and other products have been removed from the market because of human health concerns. In the late 1970s, the Animal Health Committee coordinated a survey of resistance in Salmonella and Escherichia coli isolates from cattle, pigs and poultry and in bovine Staphylococcus aureus. Some additional information is available from published case reports. In samples collected prior to the withdrawal of avoparcin from the market, no vancomycin resistant Enterococcus faecium or Enterococcus faecalis were detected in samples collected from pigs, whereas some vanA enterococci, including E. faecium and E. faecalis, were found in chickens. No vanB enterococci were detected in either species. Virginiamycin resistance was common in both pig and poultry isolates. Multiple resistance was common in E. coli and salmonellae isolates. No fluoroquinolone resistance was found in salmonellae, E. coli or Campylobacter. Beta-lactamase production is common in isolates from bovine mastitis, but no methicillin resistance has been detected. However, methicillin resistance has been reported in canine isolates of Staphylococcus intermedius and extended spectrum beta-lactamase producing E. coli has been found in dogs.

  11. Sabine absorption coefficients to random incidence absorption coefficients

    DEFF Research Database (Denmark)

    Jeong, Cheol-Ho

    2014-01-01

    into random incidence absorption coefficients for porous absorbers are investigated. Two optimization-based conversion methods are suggested: the surface impedance estimation for locally reacting absorbers and the flow resistivity estimation for extendedly reacting absorbers. The suggested conversion methods...

  12. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer

    International Nuclear Information System (INIS)

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-01-01

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns. The online version of this article (doi:10.1186/s12885-016-2452-5) contains supplementary material, which is available to authorized users

  13. Frost Resistance and Permeability of Cement Stabilized Gravel used as Filling Material for Pearl-Chain Bridges

    DEFF Research Database (Denmark)

    Lund, Mia Schou Møller; Hansen, Kurt Kielsgaard; Hertz, Kristian Dahl

    2014-01-01

    several requirements on its moisture properties. In this paper the frost resistance, the liquid water permeability and the water vapour permeability of cement stabilized gravel are examined for two different cement contents. It is found that a small increase in cement content from 4% to 5% increases...... the 28-days compressive strength from 6.2 MPa to 12.3 MPa. The frost resistance of cement stabilized gravel with 5% cement content is better than for cement stabilized gravel with 4% cement content. The liquid water permeability coefficient and the water vapour permeability coefficient are significantly...

  14. The genetic variance of resistance in M3 lines of rice against leaf blight disease

    International Nuclear Information System (INIS)

    Mugiono

    1979-01-01

    Seeds of Pelita I/1 rice variety were irradiated with 20, 30, 40 and 50 krad of gamma rays from a 60 Co source. Plants of M 3 lines were inoculated with bacterial leaf blight, Xanthomonas oryzae (Uzeda and Ishiyama) Downson, using clipping method. The coefficient of genetic variability of resistance against leaf blight disease increased with increasing dose. Highly significant difference in the genetic variance of resistance were found between the treated samples and the control. Dose of 20 krad gave good probability for selection of plants resistant against leaf blight disease. (author)

  15. Ciprofloxacin-resistant Escherichia coli in Central Greece: mechanisms of resistance and molecular identification

    Directory of Open Access Journals (Sweden)

    Mavroidi Angeliki

    2012-12-01

    Full Text Available Abstract Background Fluoroquinolone resistant E. coli isolates, that are also resistant to other classes of antibiotics, is a significant challenge to antibiotic treatment and infection control policies. In Central Greece a significant increase of ciprofloxacin-resistant Escherichia coli has occurred during 2011, indicating the need for further analysis. Methods A total of 106 ciprofloxacin-resistant out of 505 E. coli isolates consecutively collected during an eight months period in a tertiary Greek hospital of Central Greece were studied. Antimicrobial susceptibility patterns and mechanisms of resistance to quinolones were assessed, whereas selected isolates were further characterized by multilocus sequence typing and β-lactamase content. Results Sequence analysis of the quinolone-resistance determining region of the gyrA and parC genes has revealed that 63% of the ciprofloxacin-resistant E. coli harbored a distinct amino acid substitution pattern (GyrA:S83L + D87N; ParC:S80I + E84V, while 34% and 3% carried the patterns GyrA:S83L + D87N; ParC:S80I and GyrA:S83L + D87N; ParC:S80I + E84G respectively. The aac (6’-1b-cr plasmid-mediated quinolone resistance determinant was also detected; none of the isolates was found to carry the qnrA, qnrB and qnrS. Genotyping of a subset of 35 selected ciprofloxacin-resistant E. coli by multilocus sequence typing has revealed the presence of nine sequence types; ST131 and ST410 were the most prevalent and were exclusively correlated with hospital and health care associated infections, while strains belonging to STs 393, 361 and 162 were associated with community acquired infections. The GyrA:S83L + D87N; ParC:S80I + E84V substitution pattern was found exclusively among ST131 ciprofloxacin-resistant E. coli. Extended-spectrum β-lactamase-positive ST131 ciprofloxacin-resistant isolates produced CTX-M-type enzymes; eight the CTX-M-15 and one the CTX-M-3 variant. CTX-M-1 like and KPC-2 enzymes were detected

  16. New surface layers with low rolling resistance tested in Denmark

    DEFF Research Database (Denmark)

    Pettinari, Matteo; Schmidt, Bjarne; Jensen, Bjarne Bo

    2014-01-01

    Rolling Resistance coefficient that could improve energy efficiency of the roads. In particular, two new types of Split Mastic Asphalt (SMA) were developed and compared to a reference one; both mixtures have a relatively small maximum grain-size, 6 mm and 8 mm, respectively. Surface measurements...

  17. Predictable Phenotypes of Antibiotic Resistance Mutations.

    Science.gov (United States)

    Knopp, M; Andersson, D I

    2018-05-15

    Antibiotic-resistant bacteria represent a major threat to our ability to treat bacterial infections. Two factors that determine the evolutionary success of antibiotic resistance mutations are their impact on resistance level and the fitness cost. Recent studies suggest that resistance mutations commonly show epistatic interactions, which would complicate predictions of their stability in bacterial populations. We analyzed 13 different chromosomal resistance mutations and 10 host strains of Salmonella enterica and Escherichia coli to address two main questions. (i) Are there epistatic interactions between different chromosomal resistance mutations? (ii) How does the strain background and genetic distance influence the effect of chromosomal resistance mutations on resistance and fitness? Our results show that the effects of combined resistance mutations on resistance and fitness are largely predictable and that epistasis remains rare even when up to four mutations were combined. Furthermore, a majority of the mutations, especially target alteration mutations, demonstrate strain-independent phenotypes across different species. This study extends our understanding of epistasis among resistance mutations and shows that interactions between different resistance mutations are often predictable from the characteristics of the individual mutations. IMPORTANCE The spread of antibiotic-resistant bacteria imposes an urgent threat to public health. The ability to forecast the evolutionary success of resistant mutants would help to combat dissemination of antibiotic resistance. Previous studies have shown that the phenotypic effects (fitness and resistance level) of resistance mutations can vary substantially depending on the genetic context in which they occur. We conducted a broad screen using many different resistance mutations and host strains to identify potential epistatic interactions between various types of resistance mutations and to determine the effect of strain

  18. Organization of a resistance gene cluster linked to rhizomania resistance in sugar beet

    Science.gov (United States)

    Genetic resistance to rhizomania has been in use for over 40 years. Characterization of the molecular basis for susceptibility and resistance has proved challenging. Nucleotide-binding leucine-rich-repeat-containing (NB-LRR) genes have been implicated in numerous gene-for-gene resistance interaction...

  19. Glyphosate-Resistant Goosegrass from Mississippi

    Directory of Open Access Journals (Sweden)

    Vijay K. Nandula

    2013-05-01

    Full Text Available A suspected glyphosate-resistant goosegrass [Eleusine indica (L. Gaertn.] population, found in Washington County, Mississippi, was studied to determine the level of resistance and whether the resistance was due to a point mutation, as was previously identified in a Malaysian population. Whole plant dose response assays indicated a two- to four-fold increase in resistance to glyphosate. Leaf disc bioassays based on a glyphosate-dependent increase in shikimate levels indicated a five- to eight-fold increase in resistance. Sequence comparisons of messenger RNA for epsps, the gene encoding the enzyme 5-enolpyruvylshikimate-3-phosphate synthase, from resistant and sensitive goosegrass, revealed a cytosine to thymine nucleotide change at position 319 in the resistant accessions. This single nucleotide polymorphism causes a proline to serine amino acid substitution at position 106 in 5-enolpyruvylshikimate-3-phosphate synthase. A real-time polymerase chain reaction assay using DNA probes specific for the nucleotide change at position 319 was developed to detect this polymorphism. Goosegrass from 42 locations were screened, and the results indicated that glyphosate-resistant goosegrass remained localized to where it was discovered. Pendimethalin, s-metolachlor, clethodim, paraquat and fluazifop controlled resistant goosegrass 93% to 100%, indicating that several control options for glyphosate-resistant goosegrass are available.

  20. Treatment Options for Carbapenem-Resistant and Extensively Drug-Resistant Acinetobacter baumannii Infections

    Science.gov (United States)

    Viehman, J. Alexander; Nguyen, Minh-Hong; Doi, Yohei

    2014-01-01

    Acinetobacter baumannii is a leading cause of healthcare-associated infections worldwide. Due to various intrinsic and acquired mechanisms of resistance, most β-lactam agents are not effective against many strains, and carbapenems have played an important role in therapy. Recent trends show many infections are caused by carbapenem-resistant, or even extensively drug-resistant (XDR) strains, for which effective therapy is not well established. Evidence to date suggests that colistin constitutes the backbone of therapy, but the unique pharmacokinetic properties of colistin have led many to suggest the use of combination antimicrobial therapy. However, the combination of agents and dosing regimens that delivers the best clinical efficacy while minimizing toxicity is yet to be defined. Carbapenems, sulbactam, rifampin and tigecycline have been the most studied in the context of combination therapy. Most data regarding therapy for invasive, resistant A. baumannii infections come from uncontrolled case series and retrospective analyses, though some clinical trials have been completed and others are underway. Early institution of appropriate antimicrobial therapy is shown to consistently improve survival of patients with carbapenem-resistant and XDR A. baumannii infection, but the choice of empiric therapy in these infections remains an open question. This review summarizes the most current knowledge regarding the epidemiology, mechanisms of resistance, and treatment considerations of carbapenem-resistant and XDR A. baumannii. PMID:25091170

  1. Biological improvement of radiation resistance

    Energy Technology Data Exchange (ETDEWEB)

    Chun, K J; Lee, Y K; Kim, J S; Kim, J K; Lee, S J

    2000-08-01

    To investigate the mechanisms of gene action related to the radiation resistance in microorganisms could be essentially helpful for the development of radiation protectants and hormeric effects of low dose radiation. This book described isolation of radiation-resistant microorganisms, induction of radiation-resistant and functionally improved mutants by gamma-ray radiation, cloning and analysis of the radiation resistance related genes and analysis of the expressed proteins of the radiation resistant related genes.

  2. Biological improvement of radiation resistance

    International Nuclear Information System (INIS)

    Chun, K. J.; Lee, Y. K.; Kim, J. S.; Kim, J. K.; Lee, S. J.

    2000-08-01

    To investigate the mechanisms of gene action related to the radiation resistance in microorganisms could be essentially helpful for the development of radiation protectants and hormeric effects of low dose radiation. This book described isolation of radiation-resistant microorganisms, induction of radiation-resistant and functionally improved mutants by gamma-ray radiation, cloning and analysis of the radiation resistance related genes and analysis of the expressed proteins of the radiation resistant related genes

  3. Biotechnology: herbicide-resistant crops

    Science.gov (United States)

    Transgenic, herbicide-resistant (HR) crops are planted on about 80% of the land covered by transgenic crops. More than 90% of HR crios are glyphosate-resistant (GR) crops, the others being resistant to glufosinate. The wide-scale adoption of HR crops, largely for economic reasons, has been the mos...

  4. Resistance in Everyday Life

    DEFF Research Database (Denmark)

    This book is about resistance in everyday life, illustrated through empirical contexts from different parts of the world. Resistance is a widespread phenomenon in biological, social and psychological domains of human cultural development. Yet, it is not well articulated in the academic literature....... The contributors deal with strategies for handling dissent by individuals or groups, specifically dissent through resistance. Resistance can be a location of intense personal, interpersonal and cultural negotiation, and that is the primary reason for interest in this phenomenon. Ordinary life events contain...

  5. Resistent tuberkulose i Danmark

    DEFF Research Database (Denmark)

    Thomsen, V O; Johansen, I S; Bauer, J O

    2001-01-01

    INTRODUCTION: Increased rates of multidrug-resistant (MDR) tuberculosis (TB) has been reported from countries close to Denmark. We evaluated the incidence of drug resistance in Denmark in order to determine the magnitude of the problem. MATERIALS AND METHODS: Susceptibility testing was performed......-cluster. Among all patients with isoniazid- and streptomycin-resistance, 77.0% had clustered strains. DISCUSSION: In conclusion, although drug resistance among untreated Danes was close to the rate estimated in good national programmes, close monitoring is needed in future years, as active transmission...

  6. Prevalence of current patterns and predictive trends of multidrug-resistant Salmonella Typhi in Sudan.

    Science.gov (United States)

    Elshayeb, Ayman A; Ahmed, Abdelazim A; El Siddig, Marmar A; El Hussien, Adil A

    2017-11-14

    Enteric fever has persistence of great impact in Sudanese public health especially during rainy season when the causative agent Salmonella enterica serovar Typhi possesses pan endemic patterns in most regions of Sudan - Khartoum. The present study aims to assess the recent state of antibiotics susceptibility of Salmonella Typhi with special concern to multidrug resistance strains and predict the emergence of new resistant patterns and outbreaks. Salmonella Typhi strains were isolated and identified according to the guidelines of the International Standardization Organization and the World Health Organization. The antibiotics susceptibilities were tested using the recommendations of the Clinical Laboratories Standards Institute. Predictions of emerging resistant bacteria patterns and outbreaks in Sudan were done using logistic regression, forecasting linear equations and in silico simulations models. A total of 124 antibiotics resistant Salmonella Typhi strains categorized in 12 average groups were isolated, different patterns of resistance statistically calculated by (y = ax - b). Minimum bactericidal concentration's predication of resistance was given the exponential trend (y = n e x ) and the predictive coefficient R 2  > 0 current antimicrobial drug resistance patterns of community-acquired agents causing outbreaks.

  7. Drug resistance in Mexico: results from the National Survey on Drug-Resistant Tuberculosis.

    Science.gov (United States)

    Bojorquez-Chapela, I; Bäcker, C E; Orejel, I; López, A; Díaz-Quiñonez, A; Hernández-Serrato, M I; Balandrano, S; Romero, M; Téllez-Rojo Solís, M M; Castellanos, M; Alpuche, C; Hernández-Ávila, M; López-Gatell, H

    2013-04-01

    To present estimations obtained from a population-level survey conducted in Mexico of prevalence rates of mono-, poly- and multidrug-resistant strains among newly diagnosed cases of pulmonary tuberculosis (TB), as well as the main factors associated with multidrug resistance (combined resistance to isoniazid and rifampicin). Study data came from the National Survey on TB Drug Resistance (ENTB-2008), a nationally representative survey conducted during 2008-2009 in nine states with a stratified cluster sampling design. Samples were obtained for all newly diagnosed cases of pulmonary TB in selected sites. Drug susceptibility testing (DST) was performed for anti-tuberculosis drugs. DST results were obtained for 75% of the cases. Of these, 82.2% (95%CI 79.5-84.7) were susceptible to all drugs. The prevalence of multidrug-resistant TB (MDR-TB) was estimated at 2.8% (95%CI 1.9-4.0). MDR-TB was associated with previous treatment (OR 3.3, 95%CI 1.1-9.4). The prevalence of drug resistance is relatively low in Mexico. ENTB-2008 can be used as a baseline for future follow-up of drug resistance.

  8. Resistência de plantas daninhas aos herbicidas Weed resistance to herbicides

    Directory of Open Access Journals (Sweden)

    Pedro J. Christoffoleti

    1994-01-01

    Full Text Available A resistência de plantas daninhas aos herbicidas ocorre em função de um processo evolutivo. O desenvolvimento de biótipos de plantas daninhas resistentes é imposto pela agricultura moderna, através da pressão de seleção causada pelo uso intensivo dos herbicidas. O conhecimento dos mecanismos e fatores que favorecem o aparecimento de biótipos de plantas daninhas resistentes é fundamental para que técnicas de manejo sejam utilizadas no sentido de evitar ou retardar o aparecimento de plantas resistentes em uma área. São poucos os relatos ou citações de literatura no Brasil. Sendo assim, este trabalho de revisão procura relatar os principais avanços e descobertas na área de plantas daninhas resistentes aos herbicidas.Weed herbicide resistance has evolved from weed evolution. The modern agriculture is responsible for this evolution because of the intensive use of herbicides. The knowledge of mechanisms and factors that influence the weed herbicide resistance play an important role in the weed manegement techniques used to avoid or delay herbicide resistance appearence. There are not many report or scientific papers about herbi cide resistance in Brasil. Therefore, this literature review aims to provide information about the main advances and discoveries in the field of weed herbicide resistance.

  9. Heat resistant/radiation resistant cable and incore structure test device for FBR type reactor

    International Nuclear Information System (INIS)

    Tanimoto, Hajime; Shiono, Takeo; Sato, Yoshimi; Ito, Kazumi; Sudo, Shigeaki; Saito, Shin-ichi; Mitsui, Hisayasu.

    1995-01-01

    A heat resistant/radiation resistant coaxial cable of the present invention comprises an insulation layer, an outer conductor and a protection cover in this order on an inner conductor, in which the insulation layer comprises thermoplastic polyimide. In the same manner, a heat resistant/radiation resistant power cable has an insulation layer comprising thermoplastic polyimide on a conductor, and is provided with a protection cover comprising braid of alamide fibers at the outer circumference of the insulation layer. An incore structure test device for an FBR type reactor comprises the heat resistant/radiation resistant coaxial cable and/or the power cable. The thermoplastic polyimide can be extrusion molded, and has excellent radiation resistant by the extrusion, as well as has high dielectric withstand voltage, good flexibility and electric characteristics at high temperature. The incore structure test device for the FBR type reactor of the present invention comprising such a cable has excellent reliability and durability. (T.M.)

  10. CFD investigation of pentamaran ship model with chine hull form on the resistance characteristics

    Science.gov (United States)

    Yanuar; Sulistyawati, W.

    2018-03-01

    This paper presents an investigation of pentamaran hull form with chine hull form to the effects of outriggers position, asymmetry, and deadrise angles on the resistance characteristics. The investigation to the resistance characteristics by modelling pentamaran hull form using chine with symmetrical main hull and asymmetric outboard on the variation deadrise angles: 25°, 30°, 35° and Froude number 0,1 to 0,7. On calm water resistance characteristics of six pentamaran models with chine-hull form examined by variation of deadrise angles by using CFD. Comparation with Wigley hull form, the maximum resistance drag reduction of the chine hull form was reduced by 15.81% on deadrise 25°, 13.8% on deadrise 30°, and 20.38% on deadrise 35°. While the smallest value of total resistance coefficient was generated from chine 35° at R/L:1/14 and R/L:1/7. Optimum hull form for minimum resistance has been obtained, so it is interesting to continue with angle of entrance and stem angle of hull for further research.

  11. Antimicrobial (Drug) Resistance

    Science.gov (United States)

    ... with facebook share with twitter share with linkedin Antimicrobial (Drug) Resistance Go to Information for Researchers ► Credit: ... and infectious diseases. Why Is the Study of Antimicrobial (Drug) Resistance a Priority for NIAID? Over time, ...

  12. Resistance seam welding

    International Nuclear Information System (INIS)

    Schueler, A.W.

    1977-01-01

    The advantages and disadvantages of the resistance seam welding process are presented. Types of seam welds, types of seam welding machines, seam welding power supplies, resistance seam welding parameters and seam welding characteristics of various metals

  13. Predicting resistance by mutagenesis: lessons from 45 years of MBC resistance

    Directory of Open Access Journals (Sweden)

    Nichola J. Hawkins

    2016-11-01

    Full Text Available When a new fungicide class is introduced, it is useful to anticipate the resistance risk in advance, attempting to predict both risk level and potential mechanisms. One tool for the prediction of resistance risk is laboratory selection for resistance, with the mutational supply increased through UV or chemical mutagenesis. This enables resistance to emerge more rapidly than in the field, but may produce mutations that would not emerge under field conditions.The methyl-benzimidazole carbamates (MBCs were the first systemic single-site agricultural fungicides, and the first fungicides affected by rapid evolution of target-site resistance. MBC resistance has now been reported in over 90 plant pathogens in the field, and laboratory mutants have been studied in nearly 30 species.The most common field mutations, including β-tubulin E198A/K/G, F200Y and L240F, have all been identified in laboratory mutants. However, of 28 mutations identified in laboratory mutants, only nine have been reported in the field. Therefore, the predictive value of mutagenesis studies would be increased by understanding which mutations are likely to emerge in the field.Our review of the literature indicates that mutations with high resistance factors, and those found in multiple species, are more likely to be reported in the field. However, there are many exceptions, possibly due to fitness penalties. Whether a mutation occurred in the same species appears less relevant, perhaps because β-tubulin is highly conserved so functional constraints are similar across all species. Predictability of mutations in other target sites will depend on the level and conservation of constraints.

  14. Evaporation of multicomponent chemical spills: When is liquid phase resistance significant?

    International Nuclear Information System (INIS)

    Berger, D.; Mackay, D.

    1993-01-01

    When chemicals are spilled on land or water, it is important to be able to estimate evaporation rates accurately. Conventional models used to predict evaporation rates of multicomponent spills assume that the entire resistance to evaporation lies in the vapor phase. Under certain conditions, an additional liquid phase resistance may be introduced, resulting in retarded evaporation rates. Existing models may thus fail to predict spill behavior accurately. A study is described whose objective is to elucidate the significance of the liquid phase resistance. Evaporation experiments were conducted in which a thin layer of synthetic oil (mineral oil enriched with compounds such as pentane, hexane, toluene, octane, and p-xylene) was exposed to prolonged evaporation in a metal tray at controlled wind speeds. Bulk samples of the spill layer were taken at specific time intervals and their composition was determined by gas chromatographic analysis. The results are compared to those from a theoretical model and to gas stripping experiments. The model is based on the evaporative flux equation incorporating Raoult's law; inputs are the air-oil partition coefficient for each component and the composition of the synthetic oil on a volume and mole fraction basis. The study has enabled the formation of vertical concentration profiles to be examined and liquid phase mass transfer coefficients to be estimated. The results imply that liquid-phase resistance effects are likely to be important for the most volatile components. Contaminated areas may thus continue to be hazardous, even though model predictions indicate otherwise. 7 refs., 3 figs., 2 tabs

  15. Changing prevalence and resistance patterns in children with drug-resistant tuberculosis in Mumbai.

    Science.gov (United States)

    Shah, Ira; Shah, Forum

    2017-05-01

    The prevalence of drug-resistant (DR) tuberculosis (TB) in children is increasing. Although, in India, multi-drug-resistant (MDR) TB rates have been relatively stable, the number of children with pre-extensively drug-resistant and extensively drug-resistant (XDR) TB is increasing. To determine whether the prevalence of DR TB in children in Mumbai is changing and to study the evolving patterns of resistance. A retrospective study was undertaken in 1311 paediatric patients referred between April 2007 and March 2013 to the Paediatric TB clinic at B. J. Wadia Hospital for Children, Mumbai. Children were defined as having DR TB on the basis of drug susceptibility testing (DST) of Mycobacterium tuberculosis grown on culture of body fluids (in the case of extra pulmonary TB) or from gastric lavage/bronchi-alveolar lavage/sputum in patients with pulmonary TB or from DST of the contacts. The prevalence of DR TB was calculated and the type of DR was evaluated yearly and in the pre-2010 and post-2010 eras. The overall prevalence of DR TB was 86 (6.6%) with an increase from 23 (5.6%) patients pre-2010 to 63 (7%) post-2010 (P = 0.40). Nine (10.4%) patients were diagnosed on the basis of contact with a parent with DR TB. Overall fluoroquinolone resistance increased from 9 (39.1%) pre-2010 to 59 (93.7%) post-2010 (P = 0.0001): moxifloxacin resistance increased from 2 (8.7%) to 29 (46%) (P = 0.0018) and ofloxacin resistance increased from 7 (30.4%) to 30 (47.6%) (P = 0.14). Ethionamide resistance also increased from 6 (26.1%) to 31 (49.2%) (P = 0.04), aminoglycoside resistance was one (4.3%) pre-2010 and 12 (19%) post-2010 (P = 0.17) and resistance remained virtually the same for both amikacin [0 pre-2010 and 6 (9.5%) after 2010] and kanamycin [one (4.3%) pre- and 6 (9.5%) post-2010]. Of the first-line drugs, resistance remained the same for isoniazid [23 (100%) to 61 (96.8%)], rifampicin [22 (95.7%) to 51 (80.9%),P = 0.17], pyrazinamide [15 (65.2%) to

  16. Quantitative genetic tools for insecticide resistance risk assessment: estimating the heritability of resistance

    Science.gov (United States)

    Michael J. Firko; Jane Leslie Hayes

    1990-01-01

    Quantitative genetic studies of resistance can provide estimates of genetic parameters not available with other types of genetic analyses. Three methods are discussed for estimating the amount of additive genetic variation in resistance to individual insecticides and subsequent estimation of heritability (h2) of resistance. Sibling analysis and...

  17. Resistance patterns among multidrug-resistant tuberculosis patients in greater metropolitan Mumbai: trends over time.

    Science.gov (United States)

    Dalal, Alpa; Pawaskar, Akshay; Das, Mrinalini; Desai, Ranjan; Prabhudesai, Pralhad; Chhajed, Prashant; Rajan, Sujeet; Reddy, Deepesh; Babu, Sajit; Jayalakshmi, T K; Saranchuk, Peter; Rodrigues, Camilla; Isaakidis, Petros

    2015-01-01

    While the high burden of multidrug-resistant tuberculosis (MDR-TB) itself is a matter of great concern, the emergence and rise of advanced forms of drug-resistance such as extensively drug-resistant TB (XDR-TB) and extremely drug-resistant TB (XXDR-TB) is more troubling. The aim of this study was to investigate the trends over time of patterns of drug resistance in a sample of MDR-TB patients in greater metropolitan Mumbai, India. This was a retrospective, observational study of drug susceptibility testing (DST) results among MDR-TB patients from eight health care facilities in greater Mumbai between 2005 and 2013. We classified resistance patterns into four categories: MDR-TB, pre-XDR-TB, XDR-TB and XXDR-TB. A total of 340 MDR-TB patients were included in the study. Pre-XDR-TB was the most common form of drug-resistant TB observed overall in this Mumbai population at 56.8% compared to 29.4% for MDR-TB. The proportion of patients with MDR-TB was 39.4% in the period 2005-2007 and 27.8% in 2011-2013, while the proportion of those with XDR-TB and XXDR-TB was changed from 6.1% and 0% respectively to 10.6% and 5.6% during the same time period. During the same periods, the proportions of patients with ofloxacin, moxifloxacin and ethionamide resistance significantly increased from 57.6% to 75.3%, from 60.0% to 69.5% and from 24.2% to 52.5% respectively (pMumbai highlight the need for individualized drug regimens, designed on the basis of DST results involving first- and second-line anti-TB drugs and treatment history of the patient. A drug-resistant TB case-finding strategy based on molecular techniques that identify only rifampicin resistance will lead to initiation of suboptimal treatment regimens for a significant number of patients, which may in turn contribute to amplification of resistance and transmission of strains with increasingly advanced resistance within the community.

  18. Thermo-physical Properties and Mechanical Properties of Burn-resistant Titanium Alloy Ti40

    Directory of Open Access Journals (Sweden)

    LAI Yunjin

    2017-10-01

    Full Text Available As a functional material of burn-resistant titanium alloy, the physical properties of Ti40 alloy were first reported. The chemical compositions of Ti40 alloy ingots by VAR were uniform. The microstructures of Ti40 alloy slab manufactured by HEFF+WPF were uniform. The results show that the room temperature tensile strength of Ti40 alloy is 950 MPa degree. The properties of high temperature heat exposure, creep resistance and lasting time are good at 500 ℃. In the range from room temperature to 600 ℃, Young's modulus and shear modulus are decreased linearly with increasing the temperature, Poisson's ratio is increases slowly as the temperature rises, and linear thermal expansion coefficient and average linear expansion coefficient is increase as the temperature rises.

  19. Electrical resistivities and solvation enthalpies for solutions of salts in liquid alkali metals

    International Nuclear Information System (INIS)

    Hubberstey, P.; Dadd, A.T.

    1982-01-01

    An empirical correlation is shown to exist between the resistivity coefficients drho/dc for solutes in liquid alkali metals and the corresponding solvation enthalpies Usub(solvn) of the neutral gaseous solute species. Qualitative arguments based on an electrostatic solvation model in which the negative solute atom is surrounded by a solvation sphere of positive solvent ion cores are used to show that both parameters are dependent on the charge density of the solute atom and hence on the extent of charge transfer from solvent to solute. Thus as the charge density of the solute increases, the solvation enthalpy increases regularly and the resistivity coefficients pass through a maximum to give the observed approximately parabolic drho/dc versus Usub(solvn) relationship. (Auth.)

  20. Resistive switching in TiO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Lin

    2011-10-26

    The continuing improved performance of the digital electronic devices requires new memory technologies which should be inexpensively fabricated for higher integration capacity, faster operation, and low power consumption. Resistive random access memory has great potential to become the front runner as the non volatile memory technology. The resistance states stored in such cell can remain for long time and can be read out none-destructively by a very small electrical pulse. In this work the typically two terminal memory cells containing a thin TiO{sub 2} layer are studied. Polycrystalline TiO{sub 2} thin films are deposited with atomic layer deposition and magnetron reactive sputtering processes, which are both physically and electrically characterized. The resistive switching cells are constructed in a metal/TiO{sub 2}/metal structure. Electroforming process initiate the cell from the beginning good insulator to a real memory cell to program the resistive states. Multilevel resistive bipolar switching controlled by current compliance is the common characteristic observed in these cells, which is potentially to be used as so called multi-bit memory cells to improve the memory capacity. With different top electrodes of Pt, Cu, Ag the resistive switching behaviors are studied. The switching behaviors are different depending on the top metal such as the minimum current compliance, the endurance of the programmed resistance states and the morphology change during the switching. The temperature dependence of different resistance states are investigated. A reduction of the activation energy and their possible conduction mechanisms is discussed on the base of the basic current conduction models. It is found that the resistance state transfers from semiconductor to metallic property with the reducing resistances. The calculated temperature coefficients of their metallic states on the Cu/TiO{sub 2}/Pt and Ag/TiO{sub 2}/Pt are very close to the reported literature data

  1. Vancomycin Resistance in Staphylococcus aureus


    Science.gov (United States)

    McGuinness, Will A.; Malachowa, Natalia; DeLeo, Frank R.

    2017-01-01

    The evolution of Staphylococcus aureus during the modern antibiotic era has been delineated by distinct strain emergence events, many of which include acquisition of antibiotic resistance. The relative high burden of methicillin-resistant S. aureus (MRSA) in healthcare and community settings is a major concern worldwide. Vancomycin, a glycopeptide antibiotic that inhibits cell wall biosynthesis, remains a drug of choice for treatment of severe MRSA infections. S. aureus strains exhibiting increased resistance to vancomycin, known as vancomycin intermediate-resistant S. aureus (VISA) (MIC = 4-8 µg/mL), were discovered in the 1990s. The molecular basis of resistance in VISA is polygenic and involves stepwise mutations in genes encoding molecules predominantly involved in cell envelope biosynthesis. S. aureus isolates with complete resistance to vancomycin (MIC ≥ 16 µg/mL) are termed vancomycin-resistant S. aureus (VRSA)—they were first reported in the U.S. in 2002. Resistance in VRSA is conferred by the vanA gene and operon, which is present on a plasmid. Although treatment of VRSA infections is challenging, the total number of human VRSA infections to date is limited (14 in the U.S.). By comparison, the burden of VISA is relatively high and the molecular mechanisms of resistance are less well-defined. VISA are associated with persistent infections, vancomycin treatment failure, and poor clinical outcomes. Here, we review in brief progress made toward understanding the acquisition of antibiotic resistance in S. aureus, with an emphasis on the molecular mechanisms underlying vancomycin resistance. PMID:28656013

  2. Reducing Resistance

    DEFF Research Database (Denmark)

    Lindell, Johanna

    care may influence decisions on antibiotic use. Based on video-and audio recordings of physician-patient consultations it is investigated how treatment recommendations are presented, can be changed, are forecast and explained, and finally, how they seemingly meet resistance and how this resistance......Antibiotic resistance is a growing public health problem both nationally and internationally, and efficient strategies are needed to reduce unnecessary use. This dissertation presents four research studies, which examine how communication between general practitioners and patients in Danish primary...... is responded to.The first study in the dissertation suggests that treatment recommendations on antibiotics are often done in a way that encourages patient acceptance. In extension of this, the second study of the dissertation examines a case, where acceptance of such a recommendation is changed into a shared...

  3. Electrical resistivity of carbon black-filled high-density polyethylene (HDPE) composite containing radiation crosslinked HDPE particles

    International Nuclear Information System (INIS)

    Lee, M.-G.; Nho, Y.C.

    2001-01-01

    The room-temperature volume resistivity of high-density polyethylene (HDPE)-carbon black (CB) blends containing previously radiation crosslinked HDPE powder was studied. The results showed that the room-temperature volume resistivity decreases with increasing concentration of crosslinked HDPE powder. It is considered that the crosslinked HDPE particles act as a filler that increases the CB volume fraction in the HDPE matrix. The results of an optical microscope observation indicated that the crosslinked polymer particles are dispersed in the HDPE/CB composite. This effect of the crosslinked particles is attributed to the fact that the crosslinked mesh size of the HDPE particles is so small that the CB particles cannot go inside them. The effect of 60 Co γ-ray and electron beam (EB) irradiation on the positive temperature coefficient, negative temperature coefficient and electrical resistivity behavior of the blends were studied

  4. Thermal boundary resistance at Si/Ge interfaces by molecular dynamics simulation

    Directory of Open Access Journals (Sweden)

    Tianzhuo Zhan

    2015-04-01

    Full Text Available In this study, we investigated the temperature dependence and size effect of the thermal boundary resistance at Si/Ge interfaces by non-equilibrium molecular dynamics (MD simulations using the direct method with the Stillinger-Weber potential. The simulations were performed at four temperatures for two simulation cells of different sizes. The resulting thermal boundary resistance decreased with increasing temperature. The thermal boundary resistance was smaller for the large cell than for the small cell. Furthermore, the MD-predicted values were lower than the diffusion mismatch model (DMM-predicted values. The phonon density of states (DOS was calculated for all the cases to examine the underlying nature of the temperature dependence and size effect of thermal boundary resistance. We found that the phonon DOS was modified in the interface regions. The phonon DOS better matched between Si and Ge in the interface region than in the bulk region. Furthermore, in interface Si, the population of low-frequency phonons was found to increase with increasing temperature and cell size. We suggest that the increasing population of low-frequency phonons increased the phonon transmission coefficient at the interface, leading to the temperature dependence and size effect on thermal boundary resistance.

  5. Multidrug Resistance in Infants and Children

    Directory of Open Access Journals (Sweden)

    Gian Maria Pacifici

    2018-02-01

    Full Text Available Bacterial infections may cause disease and death. Infants and children are often subject to bacterial infections. Antimicrobials kill bacteria protecting the infected patients andreducing the risk of morbidity and mortality caused by bacteria. The antibiotics may lose their antibacterial activity when they become resistant to a bacteria. The resistance to different antibiotics in a bacteria is named multidrug-resistance. Gram-negative bacilli, especially Escherichia coli, Klebsiella, Enterobacter, Salmonella, Shigella, Pseudomonas, Streptococcus, and Haemophilus influenzae type b, may become resistant. Amikacin ampicillin, amoxicillin, amoxiclav, cefuroxime, cefotaxime, ceftazidime, cefoperazone tetracycline, chloramphenicol, ciprofloxacin, and gentamicin may cause bacterial-resistance. Resistance to bacteria for several pathogens makes complications in the treatment of infections caused by them. Salmonella strains may become resistant to ampicillin, cephalotin, ceftriaxone, gentamicin, amikacin, trimethoprim-sulfamethoxazole, chloramphenicol, and tetracycline. Shigella strains may become resistant to ampicillin, cotrimoxazole, chloramphenicol, and streptomycin. Multidrug-resistance of Streptococcus pneumoniae may be due to β-lactams, macrolides, tetracycline, chloramphenicol, and trimethoprim-sulfamethoxazole. Multidrug-resistance of Pseudomonas aeruginosa may become resistant to β-lactams, chloramphenicol, trimethoprim-sulfamethoxazole, and tetracycline. The antibacterial activity against Haemophilus strains may occur with ampicillin, sulbactam-ampicillin, trimethoprim-sulfamethoxazole, gentamicin, chloramphenicol, and ciprofloxacin. Multidrug-resistance of the Klebsiella species may be due with ampicillin, cefotaxime, cefuroxime, co-amxilav, mezlocillin, chloramphenicol, gentamicin, and ceftazidime. Multidrug-resistance of Escherichia coli may be caused by ampicillin, cotrimoxazole, chloramphenicol, ceftriaxone, and ceftazidime. Vibrio

  6. Triazole resistance surveillance in Aspergillus fumigatus.

    Science.gov (United States)

    Resendiz Sharpe, Agustin; Lagrou, Katrien; Meis, Jacques F; Chowdhary, Anuradha; Lockhart, Shawn R; Verweij, Paul E

    2018-04-01

    Triazole resistance is an increasing concern in the opportunistic mold Aspergillus fumigatus. Resistance can develop through exposure to azole compounds during azole therapy or in the environment. Resistance mutations are commonly found in the Cyp51A-gene, although other known and unknown resistance mechanisms may be present. Surveillance studies show triazole resistance in six continents, although the presence of resistance remains unknown in many countries. In most countries, resistance mutations associated with the environment dominate, but it remains unclear if these resistance traits predominately migrate or arise locally. Patients with triazole-resistant aspergillus disease may fail to antifungal therapy, but only a limited number of cohort studies have been performed that show conflicting results. Treatment failure might be due to diagnostic delay or due to the limited number of alternative treatment options. The ISHAM/ECMM Aspergillus Resistance Surveillance working group was set up to facilitate surveillance studies and stimulate international collaborations. Important aims are to determine the resistance epidemiology in countries where this information is currently lacking, to gain more insight in the clinical implications of triazole resistance through a registry and to unify nomenclature through consensus definitions.

  7. Prevalence of plasmid-mediated quinolone resistance determinants among oxyiminocephalosporin-resistant Enterobacteriaceae in Argentina

    Directory of Open Access Journals (Sweden)

    Giovanna Rincon Cruz

    2013-11-01

    Full Text Available High quinolone resistance rates were observed among oxyiminocephalosporin-resistant enterobacteria. In the present study, we searched for the prevalence of plasmid-mediated quinolone resistance (PMQR genes within the 55 oxyiminocephalosporin-resistant enterobacteria collected in a previous survey. The main PMQR determinants were aac(6'-Ib-cr and qnrB, which had prevalence rates of 42.4% and 33.3%, respectively. The aac(6'-Ib-cr gene was more frequently found in CTX-M-15-producing isolates, while qnrB was homogeneously distributed among all CTX-M producers.

  8. Effect of aggregate graining compositions on skid resistance of Exposed Aggregate Concrete pavement

    Science.gov (United States)

    Wasilewska, Marta; Gardziejczyk, Wladysław; Gierasimiuk, Pawel

    2018-05-01

    The paper presents the evaluation of skid resistance of EAC (Exposed Aggregate Concrete) pavements which differ in aggregate graining compositions. The tests were carried out on concrete mixes with a maximum aggregate size of 8 mm. Three types of coarse aggregates were selected depending on their resistance to polishing which was determined on the basis of the PSV (Polished Stone Value). Basalt (PSV 48), gabbro (PSV 50) and trachybasalt (PSV 52) aggregates were chosen. For each type of aggregate three graining compositions were designed, which differed in the content of coarse aggregate > 4mm. Their content for each series was as follows: A - 38%, B - 50% and C - 68%. Evaluation of the skid resistance has been performed using the FAP (Friction After Polishing) test equipment also known as the Wehner/Schulze machine. Laboratory method enables to compare the skid resistance of different types of wearing course under specified conditions simulating polishing processes. In addition, macrotexture measurements were made on the surface of each specimen using the Elatexure laser profile. Analysis of variance showed that at significance level α = 0.05, aggregate graining compositions as well as the PSV have a significant influence on the obtained values of the friction coefficient μm of the tested EAC pavements. The highest values of the μm have been obtained for EAC with the lowest amount of coarse aggregates (compositions A). In these cases the resistance to polishing of the aggregate does not significantly affect the friction coefficients. This is related to the large areas of cement mortar between the exposed coarse grains. Based on the analysis of microscope images, it was observed that the coarse aggregates were not sufficiently exposed. It has been proved that PSV significantly affected the coefficient of friction in the case of compositions B and C. This is caused by large areas of exposed coarse aggregate. The best parameters were achieved for the EAC pavements

  9. The Skid Resistance Evaluation on the Longterm Monitored Road Sections

    Directory of Open Access Journals (Sweden)

    Kotek Peter

    2014-12-01

    Full Text Available The article deals with the analysis of the skid resistance results measured at the long-term monitored road sections in Slovakia in perspective of the possibility of the deterioration functions determination for the purposes of the pavement management system. There were 11 road sections evaluated, on which have been surface characteristics measured since 1998. The focus was on the evaluation of the longitudinal friction coefficient Mu measured by device Skiddometer BV11, which is the property of the Slovak Road Administration. Beside the Mu parameter, the test conditions were observed and evaluated, as well (measured speed, air and surface temperature, type of asphalts of the wearing course, traffic load, and the season (spring, autumn, respectively in which the skid resistance measurements were performed. In conclusion, there was reviewed a presumption of the possibility to determine a deterioration functions for skid resistance in point of view the quality of the data, which have been collected on the Slovak long-term monitored road sections.

  10. The Modified Frequency Algorithm of Digital Watermarking of Still Images Resistant to JPEG Compression

    Directory of Open Access Journals (Sweden)

    V. A. Batura

    2015-01-01

    Full Text Available Digital watermarking is an effective copyright protection for multimedia products (in particular, still images. Digital marking represents process of embedding into object of protection of a digital watermark which is invisible for a human eye. However there is rather large number of the harmful influences capable to destroy the watermark which is embedded into the still image. The most widespread attack is JPEG compression that is caused by efficiency of this format of compression and its big prevalence on the Internet.The new algorithm which is modification of algorithm of Elham is presented in the present article. The algorithm of digital marking of motionless images carries out embedding of a watermark in frequency coefficients of discrete Hadamard transform of the chosen image blocks. The choice of blocks of the image for embedding of a digital watermark is carried out on the basis of the set threshold of entropy of pixels. The choice of low-frequency coefficients for embedding is carried out on the basis of comparison of values of coefficients of discrete cosine transformation with a predetermined threshold, depending on the product of the built-in watermark coefficient on change coefficient.Resistance of new algorithm to compression of JPEG, noising, filtration, change of color, the size and histogram equalization is in details analysed. Research of algorithm consists in comparison of the appearance taken from the damaged image of a watermark with the introduced logo. Ability of algorithm to embedding of a watermark with a minimum level of distortions of the image is in addition analysed. It is established that the new algorithm in comparison by initial algorithm of Elham showed full resistance to compression of JPEG, and also the improved resistance to a noising, change of brightness and histogram equalization.The developed algorithm can be used for copyright protection on the static images. Further studies will be used to study the

  11. Whole genome analysis of linezolid resistance in Streptococcus pneumoniae reveals resistance and compensatory mutations

    Directory of Open Access Journals (Sweden)

    Légaré Danielle

    2011-10-01

    Full Text Available Abstract Background Several mutations were present in the genome of Streptococcus pneumoniae linezolid-resistant strains but the role of several of these mutations had not been experimentally tested. To analyze the role of these mutations, we reconstituted resistance by serial whole genome transformation of a novel resistant isolate into two strains with sensitive background. We sequenced the parent mutant and two independent transformants exhibiting similar minimum inhibitory concentration to linezolid. Results Comparative genomic analyses revealed that transformants acquired G2576T transversions in every gene copy of 23S rRNA and that the number of altered copies correlated with the level of linezolid resistance and cross-resistance to florfenicol and chloramphenicol. One of the transformants also acquired a mutation present in the parent mutant leading to the overexpression of an ABC transporter (spr1021. The acquisition of these mutations conferred a fitness cost however, which was further enhanced by the acquisition of a mutation in a RNA methyltransferase implicated in resistance. Interestingly, the fitness of the transformants could be restored in part by the acquisition of altered copies of the L3 and L16 ribosomal proteins and by mutations leading to the overexpression of the spr1887 ABC transporter that were present in the original linezolid-resistant mutant. Conclusions Our results demonstrate the usefulness of whole genome approaches at detecting major determinants of resistance as well as compensatory mutations that alleviate the fitness cost associated with resistance.

  12. Proposal of a segmentation procedure for skid resistance data

    International Nuclear Information System (INIS)

    Tejeda, S. V.; Tampier, Hernan de Solominihac; Navarro, T.E.

    2008-01-01

    Skin resistance of pavements presents a high spatial variability along a road. This pavement characteristic is directly related to wet weather accidents; therefore, it is important to identify and characterize the skid resistance of homogeneous segments along a road in order to implement proper road safety management. Several data segmentation methods have been applied to other pavement characteristics (e.g. roughness). However, no application to skin resistance data was found during the literature review for this study. Typical segmentation methods are rather too general or too specific to ensure a detailed segmentation of skid resistance data, which can be used for managing pavement performance. The main objective of this paper is to propose a procedure for segmenting skid resistance data, based on existing data segmentation methods. The procedure needs to be efficient and to fulfill road management requirements. The proposed procedure considers the Leverage method to identify outlier data, the CUSUM method to accomplish initial data segmentation and a statistical method to group consecutive segments that are statistically similar. The statistical method applies the Student's t-test of mean equities, along with analysis of variance and the Tuckey test for the multiple comparison of means. The proposed procedure was applied to a sample of skid resistance data measured with SCRIM (Side Force Coefficient Routine Investigatory Machine) on a 4.2 km section of Chilean road and was compared to conventional segmentation methods. Results showed that the proposed procedure is more efficient than the conventional segmentation procedures, achieving the minimum weighted sum of square errors (SSEp) with all the identified segments statistically different. Due to its mathematical basis, proposed procedure can be easily adapted and programmed for use in road safety management. (author)

  13. The perspectives of polygenic resistance in breeding for durable disease resistance

    NARCIS (Netherlands)

    Lindhout, P.

    2002-01-01

    Polygenic resistance is generally quantitative without clear race specific effects. With the onset of molecular markers technologies, the identification of chromosome regions that are involved in quantitative resistance has become feasible. These regions are designated quantitative trait loci

  14. Effect of SiC Content on Microstructure and Wear Resistance of Laser Cladding SiC/Ni60A Composite Coating

    Directory of Open Access Journals (Sweden)

    ZHAO Long-zhi

    2017-03-01

    Full Text Available The SiC reinforced Ni60A alloy laser cladding coating on the 45 steel substrate was fabricated with the LDM2500-60 semiconductor laser equipment. The effect of SiC content on microstructure, dilution rate, wear resistance, friction coefficient and microhardness was investigated systematically.The results show that with the increase of SiC content, the microstructure of upper coating is refined obviously, the dilution rate, wear resistance, friction coefficient and microhardness increase firstly and then decrease;when the mass fraction of SiC is 20%, the wear resistance of the cladding coating is the best one, in which the wear loss of coating is only 0.0012g and is 1/36.3 of the matrix;the minimum friction coefficient is 0.464, the friction process is the most stable;the highest microhardness of the cladding coating is 1039.9HV0.2, which is 3.5 times of the substrate;but when the mass fraction of SiC is 25%, the microhardness and wear resistance of coating decrease.

  15. Resistência de Eleusine indica aos inibidores de ACCase Eleusine indica resistance to ACCase inhibitors

    Directory of Open Access Journals (Sweden)

    R.A. Vidal

    2006-01-01

    Full Text Available Dentre as causas da ineficácia no controle de plantas daninhas destaca-se a resistência delas aos herbicidas. Os objetivos deste trabalho foram avaliar a suspeita de resistência de Eleusine indica a inibidores de acetil-CoA carboxilase (ACCase e investigar a ocorrência de resistência cruzada entre os inibidores de ACCase. Biótipo de Eleusine indica originado do Mato Grosso com suspeita de resistência aos herbicidas inibidores de ACCase foi avaliado em casa de vegetação na sua suscetibilidade para diversos produtos do grupo dos ariloxifenoxipropionatos e cicloexanodionas. Estudos de resposta à dose confirmaram que o biótipo era 18 vezes mais insensível ao sethoxydim do que biótipo suscetível nunca aspergido com herbicidas. Também se constatou resistência cruzada ao fenoxaprop, cyhalofop, propaquizafop e butroxydim. Não se observou resistência cruzada aos produtos fluazifop, haloxyfop, quizalofop e clethodim.Among the causes for weed control inefficacy, the worst one is resistance to herbicides. The objectives of this work were to evaluate an Eleusine indica biotype suspected of resistance to ACCase inhibitors and to investigate the occurrence of cross- resistance to several ACCase inhibitors. One biotype of Eleusine indica originated from Mato Grosso with suspected resistance to ACCase inhibitors was evaluated in a greenhouse in relation to its susceptibility to several products of the ariloxyphenoxypropionate and cyclohexanedione groups. Studies on dose response confirmed that the suspected biotype was 18 times more insensitive to sethoxydim than the susceptible biotype that had never been treated with herbicides. Cross-resistance was confirmed for fenoxaprop, cyhalofop, propaquizafop and butroxydim. No cross-resistance was observed with fluazifop, haloxyfop, quizalofop, and clethodim.

  16. The role of creep in the time-dependent resistance of Ohmic gold contacts in radio frequency microelectromechanical system devices

    Science.gov (United States)

    Rezvanian, O.; Brown, C.; Zikry, M. A.; Kingon, A. I.; Krim, J.; Irving, D. L.; Brenner, D. W.

    2008-07-01

    It is shown that measured and calculated time-dependent electrical resistances of closed gold Ohmic switches in radio frequency microelectromechanical system (rf-MEMS) devices are well described by a power law that can be derived from a single asperity creep model. The analysis reveals that the exponent and prefactor in the power law arise, respectively, from the coefficient relating creep rate to applied stress and the initial surface roughness. The analysis also shows that resistance plateaus are not, in fact, limiting resistances but rather result from the small coefficient in the power law. The model predicts that it will take a longer time for the contact resistance to attain a power law relation with each successive closing of the switch due to asperity blunting. Analysis of the first few seconds of the measured resistance for three successive openings and closings of one of the MEMS devices supports this prediction. This work thus provides guidance toward the rational design of Ohmic contacts with enhanced reliabilities by better defining variables that can be controlled through material selection, interface processing, and switch operation.

  17. Resistance to change

    NARCIS (Netherlands)

    Dow, J.; Perotti, E.

    2009-01-01

    Established firms often fail to maintain leadership following disruptive market shifts. We argue that such firms are more prone to internal resistance. A radical adjustment of assets affects the distribution of employee rents, creating winners and losers. Losers resist large changes when strong

  18. Electrical resistivity of thin metal films and multilayers

    International Nuclear Information System (INIS)

    Fenn, M.

    1999-01-01

    The electrical resistivity and temperature coefficient of resistivity (TCR) of thin films and multilayers of Cu, Nb and Zr have been measured over a wide range of layer thicknesses. The structure of the films has been characterised using transmission electron microscopy (TEM) and x-ray reflectivity. The experimental results have been compared with the semiclassical theory due to Dimmich. The values of the grain boundary reflectivity, R, in the single films has been found to be approximately 0.35 for Cu in agreement with the literature. The value of R for Nb and Zr has been found to vary with grain size, although it is approximately 0.55 for Nb and 0.925 for Zr over a wide range of grain sizes, and this is believed to be presented for the first time. The value of the interfacial specularity parameter, p, is not found to have a significant effect compared to R in the single films. Dimmich's theoretical expression for the TCR does not match experiment, but by adapting the resistivity expression of the theory to different temperatures a satisfactory fit has been obtained. It has been concluded that the assumption of the free electron model in the presence of grain boundary scattering is in error. The adapted theory predicts negative TCR in sufficiently thin films with experimentally plausible values of the input parameters, and this is believed to be demonstrated for the first time. The experimental resistivity of the multilayers was much lower than expected from the resistivity of the single films. A theoretical fit to the experimental resistivity and TCR of the multilayers was obtained by adjusting the parameter values obtained from single films, and the value of p was found to be significant. This procedure leads to a contradiction in the value of R for Nb. With a view to extending the above work to magnetic multilayers, an AC susceptometer has been designed, built and tested. The results indicate that this instrument would be suitable for work on magnetic

  19. A bacterial antibiotic-resistance gene that complements the human multidrug-resistance P-glycoprotein gene

    NARCIS (Netherlands)

    van Veen, HW; Callaghan, R; Soceneantu, L; Sardini, A; Konings, WN; Higgins, CF

    1998-01-01

    Bacteria have developed many fascinating antibiotic-resistance mechanisms(1,2). A protein in Lactococcus lactis, LmrA, mediates antibiotic resistance by extruding amphiphilic compounds from the inner leaflet of the cytoplasmic membrane(3,4). Unlike other known bacterial multidrug-resistance

  20. FRC collisionless resistivity

    International Nuclear Information System (INIS)

    Tajima, T.; Horton, W.

    1990-01-01

    Ions in the field reversed configuration (FRC) exhibit stochastic orbits due to the field null and the curvature of poloidal field lines. Velocity correlations of these particles decay in a power law fashion t -m where 1 ≤ m ≤ 2. This decay of the single particle correlation function is characteristic of the long tail correlations of strongly chaotic or nonlinear systems found in other problems of statistical physics. This decay of correlations gives rise to a collisionless resistivity that can far exceed the collisional resistivity in an FRC plasma. The finite correlation τ c of a single particle limits the acceleration in the electric field producing the finite resistivity. Maxwellian test particle distributions are integrated to find the measure of the set of stochastic ions that contribute to the collisionless resistivity. The computed conductivity is proportional to the square root of the characteristic ion gyroradius in both simulation and theory

  1. ANALYSIS OF IMPACT OF CHANGING THE SHOCK ABSORBER RESISTANCE FACTOR ON ACCELERATING THE VEHICLE SPRUNG MASS

    Directory of Open Access Journals (Sweden)

    P. Rozhkov

    2017-12-01

    Full Text Available The change of acceleration of the vehicle sprung mass while changing the coefficient of resistance of the adaptive pendant shock absorber has been analyzed. Presentation of disturbing influence is taken as a harmonic function containing the initial phase. Solution of the system of differential equations is carried out taking into account the initial conditions. The mathematical modeling of the impact of the vehicle sprung mass vibrations at various moments of time of forming the actuating signal on the change of the coefficient of resistance allowed to formulate requirements to the system of adaptive suspension control.

  2. The Prehistory of Antibiotic Resistance.

    Science.gov (United States)

    Perry, Julie; Waglechner, Nicholas; Wright, Gerard

    2016-06-01

    Antibiotic resistance is a global problem that is reaching crisis levels. The global collection of resistance genes in clinical and environmental samples is the antibiotic "resistome," and is subject to the selective pressure of human activity. The origin of many modern resistance genes in pathogens is likely environmental bacteria, including antibiotic producing organisms that have existed for millennia. Recent work has uncovered resistance in ancient permafrost, isolated caves, and in human specimens preserved for hundreds of years. Together with bioinformatic analyses on modern-day sequences, these studies predict an ancient origin of resistance that long precedes the use of antibiotics in the clinic. Understanding the history of antibiotic resistance is important in predicting its future evolution. Copyright © 2016 Cold Spring Harbor Laboratory Press; all rights reserved.

  3. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    Dagmar Chudobova

    2014-03-01

    Full Text Available There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health.

  4. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    Science.gov (United States)

    Chudobova, Dagmar; Dostalova, Simona; Blazkova, Iva; Michalek, Petr; Ruttkay-Nedecky, Branislav; Sklenar, Matej; Nejdl, Lukas; Kudr, Jiri; Gumulec, Jaromir; Tmejova, Katerina; Konecna, Marie; Vaculovicova, Marketa; Hynek, David; Masarik, Michal; Kynicky, Jindrich; Kizek, Rene; Adam, Vojtech

    2014-01-01

    There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead) to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM) on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control) of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health. PMID:24651395

  5. Herbicide resistance and biodiversity: agronomic and environmental aspects of genetically modified herbicide-resistant plants.

    Science.gov (United States)

    Schütte, Gesine; Eckerstorfer, Michael; Rastelli, Valentina; Reichenbecher, Wolfram; Restrepo-Vassalli, Sara; Ruohonen-Lehto, Marja; Saucy, Anne-Gabrielle Wuest; Mertens, Martha

    2017-01-01

    Farmland biodiversity is an important characteristic when assessing sustainability of agricultural practices and is of major international concern. Scientific data indicate that agricultural intensification and pesticide use are among the main drivers of biodiversity loss. The analysed data and experiences do not support statements that herbicide-resistant crops provide consistently better yields than conventional crops or reduce herbicide amounts. They rather show that the adoption of herbicide-resistant crops impacts agronomy, agricultural practice, and weed management and contributes to biodiversity loss in several ways: (i) many studies show that glyphosate-based herbicides, which were commonly regarded as less harmful, are toxic to a range of aquatic organisms and adversely affect the soil and intestinal microflora and plant disease resistance; the increased use of 2,4-D or dicamba, linked to new herbicide-resistant crops, causes special concerns. (ii) The adoption of herbicide-resistant crops has reduced crop rotation and favoured weed management that is solely based on the use of herbicides. (iii) Continuous herbicide resistance cropping and the intensive use of glyphosate over the last 20 years have led to the appearance of at least 34 glyphosate-resistant weed species worldwide. Although recommended for many years, farmers did not counter resistance development in weeds by integrated weed management, but continued to rely on herbicides as sole measure. Despite occurrence of widespread resistance in weeds to other herbicides, industry rather develops transgenic crops with additional herbicide resistance genes. (iv) Agricultural management based on broad-spectrum herbicides as in herbicide-resistant crops further decreases diversity and abundance of wild plants and impacts arthropod fauna and other farmland animals. Taken together, adverse impacts of herbicide-resistant crops on biodiversity, when widely adopted, should be expected and are indeed very hard

  6. Friction Coefficient Determination by Electrical Resistance Measurements

    Science.gov (United States)

    Tunyagi, A.; Kandrai, K.; Fülöp, Z.; Kapusi, Z.; Simon, A.

    2018-01-01

    A simple and low-cost, DIY-type, Arduino-driven experiment is presented for the study of friction and measurement of the friction coefficient, using a conductive rubber cord as a force sensor. It is proposed for high-school or college/university-level students. We strongly believe that it is worthwhile planning, designing and performing Arduino…

  7. Resistance to fluoroquinolones and second-line injectable drugs: impact on multidrug-resistant TB outcomes

    NARCIS (Netherlands)

    Falzon, Dennis; Gandhi, Neel; Migliori, Giovanni B.; Sotgiu, Giovanni; Cox, Helen S.; Holtz, Timothy H.; Hollm-Delgado, Maria-Graciela; Keshavjee, Salmaan; Deriemer, Kathryn; Centis, Rosella; D'Ambrosio, Lia; Lange, Christoph G.; Bauer, Melissa; Menzies, Dick; Ahuja, S. D.; Ashkin, D.; Avendaño, M.; Banerjee, R.; Bauer, M.; Becerra, M. C.; Benedetti, A.; Burgos, M.; Centis, R.; Chan, E. D.; Chiang, C. Y.; Cobelens, F.; Cox, H.; D'Ambrosio, L.; de Lange, W. C. M.; DeRiemer, K.; Enarson, D.; Falzon, D.; Flanagan, K. L.; Flood, J.; Gandhi, N.; Garcia-Garcia, M. L.; Granich, R. M.; Hollm-Delgado, M. G.; Holtz, T. H.; Hopewell, P.; Iseman, M. D.; Jarlsberg, L. G.; Keshavjee, S.; Kim, H. R.; Koh, W. J.; Lancaster, J. L.; Lange, C.; Leimane, V.; Leung, C. C.; Li, J.

    2013-01-01

    A meta-analysis for response to treatment was undertaken using individual data of multidrug-resistant tuberculosis (MDR-TB) (resistance to isoniazid and rifampicin) patients from 26 centres. The analysis assessed the impact of additional resistance to fluoroquinolones and/or second-line injectable

  8. Resistance to change

    NARCIS (Netherlands)

    Dow, J.; Perotti, E.

    2013-01-01

    Established firms often fail to maintain leadership following disrup tive market shifts. We argue that such firms are more prone to internal resistance. A radical adjustment of assets affects the distribution of employee rents, creating winners and losers. Losers resist large changes when strong

  9. Antimicrobial resistance and resistance gene determinants in clinical Escherichia coli from different animal species in Switzerland.

    Science.gov (United States)

    Lanz, Roland; Kuhnert, Peter; Boerlin, Patrick

    2003-01-02

    Antimicrobial susceptibility testing was performed on a total of 581 clinical Escherichia coli isolates from diarrhea and edema disease in pigs, from acute mastitis in dairy cattle, from urinary tract infections in dogs and cats, and from septicemia in laying hens collected in Switzerland between 1999 and 2001. Among the 16 antimicrobial agents tested, resistance was most frequent for sulfonamides, tetracycline, and streptomycin. Isolates from swine presented significantly more resistance than those from the other animal species. The distribution of the resistance determinants for sulfonamides, tetracycline, and streptomycin was assessed by hybridization and PCR in resistant isolates. Significant differences in the distribution of resistance determinants for tetracycline (tetA, tetB) and sulfonamides (sulII) were observed between the isolates from swine and those from the other species. Resistance to sulfonamides could not be explained by known resistance mechanisms in more than a quarter of the sulfonamide-resistant and sulfonamide-intermediate isolates from swine, dogs and cats. This finding suggests that one or several new resistance mechanisms for sulfonamides may be widespread among E. coli isolates from these animal species. The integrase gene (intI) from class I integrons was detected in a large proportion of resistant isolates in association with the sulI and aadA genes, thus demonstrating the importance of integrons in the epidemiology of resistance in clinical E. coli isolates from animals.

  10. Are Sewage Treatment Plants Promoting Antibiotic Resistance?

    Science.gov (United States)

    1. Introduction 1.1. How bacteria exhibit resistance 1.1.1. Resistance to -lactams 1.1.2. Resistance to sulphonamides and trimethoprim 1.1.3. Resistance to macrolides 1.1.4. Resistance to fluoroquinolones 1.1.5. Resistance to tetracyclines 1.1.6. Resistance to nitroimidaz...

  11. Ceftaroline-Resistant, Daptomycin-Tolerant, and Heterogeneous Vancomycin-Intermediate Methicillin-Resistant Staphylococcus aureus Causing Infective Endocarditis.

    Science.gov (United States)

    Nigo, Masayuki; Diaz, Lorena; Carvajal, Lina P; Tran, Truc T; Rios, Rafael; Panesso, Diana; Garavito, Juan D; Miller, William R; Wanger, Audrey; Weinstock, George; Munita, Jose M; Arias, Cesar A; Chambers, Henry F

    2017-03-01

    We report a case of infective endocarditis (IE) caused by ceftaroline-resistant, daptomycin-tolerant, and heterogeneous vancomycin-intermediate methicillin-resistant S. aureus (MRSA). Resistance to ceftaroline emerged in the absence of drug exposure, and the E447K substitution in the active site of PBP2a previously associated with ceftaroline resistance was identified. Additionally, we present evidence of patient-to-patient transmission of the strain within the same unit. This case illustrates the difficulties in treating MRSA IE in the setting of a multidrug-resistant phenotype. Copyright © 2017 American Society for Microbiology.

  12. Antimicrobial resistance and prevalence of resistance genes of obligate anaerobes isolated from periodontal abscesses.

    Science.gov (United States)

    Xie, Yi; Chen, Jiazhen; He, Junlin; Miao, Xinyu; Xu, Meng; Wu, Xingwen; Xu, Beiyun; Yu, Liying; Zhang, Wenhong

    2014-02-01

    This study attempts to determine the antimicrobial resistance profiles of obligate anaerobic bacteria that were isolated from a periodontal abscess and to evaluate the prevalence of resistance genes in these bacteria. Forty-one periodontal abscess samples were cultivated on selective and non-selective culture media to isolate the oral anaerobes. Their antibiotic susceptibilities to clindamycin, doxycycline, amoxicillin, imipenem, cefradine, cefixime, roxithromycin, and metronidazole were determined using the agar dilution method, and polymerase chain reaction assays were performed to detect the presence of the ermF, tetQ, nim, and cfxA drug resistance genes. A total of 60 different bacterial colonies was isolated and identified. All of the isolates were sensitive to imipenem. Of the strains, 6.7%, 13.3%, 16.7%, and 25% were resistant to doxycycline, metronidazole, cefixime, and amoxicillin, respectively. The resistance rate for both clindamycin and roxithromycin was 31.7%. Approximately 60.7% of the strains had the ermF gene, and 53.3% of the amoxicillin-resistant strains were found to have the cfxA gene. Two nim genes that were found in eight metronidazole-resistant strains were identified as nimB. In the present study, the Prevotella species are the most frequently isolated obligate anaerobes from periodontal abscesses. The current results show their alarmingly high resistance rate against clindamycin and roxithromycin; thus, the use of these antibiotics is unacceptable for the empirical therapy of periodontal abscesses. A brief prevalence of four resistance genes in the anaerobic bacteria that were isolated was also demonstrated.

  13. Mapping resistance to powdery mildew in barley reveals a large-effect nonhost resistance QTL.

    Science.gov (United States)

    Romero, Cynara C T; Vermeulen, Jasper P; Vels, Anton; Himmelbach, Axel; Mascher, Martin; Niks, Rients E

    2018-05-01

    Resistance factors against non-adapted powdery mildews were mapped in barley. Some QTLs seem effective only to non-adapted mildews, while others also play a role in defense against the adapted form. The durability and effectiveness of nonhost resistance suggests promising practical applications for crop breeding, relying upon elucidation of key aspects of this type of resistance. We investigated which genetic factors determine the nonhost status of barley (Hordeum vulgare L.) to powdery mildews (Blumeria graminis). We set out to verify whether genes involved in nonhost resistance have a wide effectiveness spectrum, and whether nonhost resistance genes confer resistance to the barley adapted powdery mildew. Two barley lines, SusBgt SC and SusBgt DC , with some susceptibility to the wheat powdery mildew B. graminis f.sp. tritici (Bgt) were crossed with cv Vada to generate two mapping populations. Each population was assessed for level of infection against four B. graminis ff.spp, and QTL mapping analyses were performed. Our results demonstrate polygenic inheritance for nonhost resistance, with some QTLs effective only to non-adapted mildews, while others play a role against adapted and non-adapted forms. Histology analyses of nonhost interaction show that most penetration attempts are stopped in association with papillae, and also suggest independent layers of defence at haustorium establishment and conidiophore formation. Nonhost resistance of barley to powdery mildew relies mostly on non-hypersensitive mechanisms. A large-effect nonhost resistance QTL mapped to a 1.4 cM interval is suitable for map-based cloning.

  14. Animation of Antimicrobial Resistance

    Science.gov (United States)

    ... Animal & Veterinary Cosmetics Tobacco Products Animal & Veterinary Home Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin ...

  15. Antibiotic resistance and resistance genes in Escherichia coli from poultry farms, southwest Nigeria.

    Science.gov (United States)

    Adelowo, Olawale O; Fagade, Obasola E; Agersø, Yvonne

    2014-09-12

    This study investigated the mechanisms of resistance in 36 E. coli isolated from waste, litter, soil and water samples collected from poultry farms in Southwestern Nigeria. Minimum inhibitory concentration (MIC) distributions of the isolates were determined using the methods of the Clinical and Laboratory Standard Institute and resistance genes detected by PCR. A total of 30 isolates (94%) showed resistance to more than one antimicrobial. Percentage resistance was: tetracycline 81%, sulphamethoxazole 67%, streptomycin 56%, trimethoprim 47 %, ciprofloxacin 42%, ampicillin 36%, spectinomycin 28%, nalidixic acid 25%, chloramphenicol 22%, neomycin 14%, gentamicin 8%, amoxicillin-clavulanate, ceftiofur, cefotaxime, colistin, florfenicol and apramycin 0%. Resistance genes found among the isolates include bla-TEM (85%), sul2 (67%), sul3 (17%), aadA (65%), strA (70%), strB (61%), catA1 (25%), cmlA1 (13%), tetA (21%) and tetB (17%). Class 1 and 2 integrons were found in five (14%) and six (17%) isolates, respectively, while one isolate was positive for both classes of integrons. Seven out of eight isolates with resistance to ciprofloxacin and MIC ≤ 32 mg/L to nalidixic acid contained qnrS genes. Our findings provided additional evidence that the poultry production environment in Nigeria represents an important reservoir of antibiotic resistance genes such as qnrS that may spread from livestock production farms to human populations via manure and water.

  16. Observations on resistive wall modes

    International Nuclear Information System (INIS)

    Gerwin, R.A.; Finn, J.M.

    1996-01-01

    Several results on resistive wall modes and their application to tokamaks are presented. First, it is observed that in the presence of collisional parallel dynamics there is an exact cancellation to lowest order of the dissipative and sound wave effects for an ideal Ohm's law. This is easily traced to the fact that the parallel dynamics occurs along the perturbed magnetic field lines for such electromagnetic modes. Such a cancellation does not occur in the resistive layer of a tearing-like mode. The relevance to models for resistive wall modes using an electrostatic Hammett-Perkins type operator to model Landau damping will be discussed. Second, we observe that with an ideal Ohm's law, resistive wall modes can be destabilized by rotation in that part of parameter space in which the ideal MHD modes are stable with the wall at infinity. This effect can easily be explained by interpreting the resistive wall instability in terms of mode coupling between the backward stable MHD mode and a stable mode locked into the wall. Such an effect can occur for very small rotation for tearing-resistive wall modes in which inertia dominates viscosity in the layer, but the mode is stabilized by further rotation. For modes for which viscosity dominates in the layer, rotation is purely stabilizing. For both tearing models, a somewhat higher rotation frequency gives stability essentially whenever the tearing mode is stable with a perfectly conducting wall. These tearing/resistive wall results axe also simply explained in terms of mode coupling. It has been shown that resonant external ideal modes can be stabilized in the presence of resistive wall and resistive plasma with rotation of order the nominal tearing mode growth rate. We show that these modes behave as resistive wall tearing modes in the sense above. This strengthens the suggestion that rotational stabilization of the external kink with a resistive wall is due to the presence of resistive layers, even for ideal modes

  17. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  18. Genetics Home Reference: clopidogrel resistance

    Science.gov (United States)

    ... Facebook Twitter Home Health Conditions Clopidogrel resistance Clopidogrel resistance Printable PDF Open All Close All Enable Javascript to view the expand/collapse boxes. Description Clopidogrel resistance is a condition in which the drug clopidogrel ...

  19. Genetics Home Reference: warfarin resistance

    Science.gov (United States)

    ... Email Facebook Twitter Home Health Conditions Warfarin resistance Warfarin resistance Printable PDF Open All Close All Enable Javascript to view the expand/collapse boxes. Description Warfarin resistance is a condition in which individuals have ...

  20. Resistance and conformity

    NARCIS (Netherlands)

    Sumter, S.R.; Bokhorst, C.L.; Westenberg, P.M.; Levesque, R.J.R.

    2011-01-01

    Resistance to peer influence, or the ability to resist making choices or adopting views under the implicit or explicit influence of your peers, is expected to undergo changes during adolescence. Two developmental trajectories have emerged from the field. On the one hand, adolescents show a temporary

  1. [Molecular characterization of resistance mechanisms: methicillin resistance Staphylococcus aureus, extended spectrum β-lactamases and carbapenemases].

    Science.gov (United States)

    Oteo, Jesús; Belén Aracil, María

    2015-07-01

    Multi-drug resistance in bacterial pathogens increases morbidity and mortality in infected patients and it is a threat to public health concern by their high capacity to spread. For both reasons, the rapid detection of multi-drug resistant bacteria is critical. Standard microbiological procedures require 48-72 h to provide the antimicrobial susceptibility results, thus there is emerging interest in the development of rapid detection techniques. In recent years, the use of selective and differential culture-based methods has widely spread. However, the capacity for detecting antibiotic resistance genes and their low turnaround times has made molecular methods a reference for diagnosis of multidrug resistance. This review focusses on the molecular methods for detecting some mechanisms of antibiotic resistance with a high clinical and epidemiological impact: a) Enzymatic resistance to broad spectrum β-lactam antibiotics in Enterobacteriaceae, mainly extended spectrum β-lactamases (ESBL) and carbapenemases; and b) methicillin resistance in Staphylococcus aureus. Copyright © 2015 Elsevier España, S.L.U. All rights reserved.

  2. Piggyback resistive Micromegas

    CERN Document Server

    Attié, D; Durand, D; Desforge, D; Ferrer-Ribas, E; Galán, J; Giomataris, Y; Gongadze, A; Iguaz, F J; Jeanneau, F; de Oliveira, R; Papaevangelou, T; Peyaud, A; Teixeira, A

    2013-01-01

    Piggyback Micromegas consists in a novel readout architecture where the anode element is made of a resistive layer on a ceramic substrate. The resistive layer is deposited on the thin ceramic substrate by an industrial process which provides large dynamic range of resistivity (10$^6$ to 10$^{10}$\\,M$\\Omega$/square). The particularity of this new structure is that the active part is entirely dissociated from the read-out element. This gives a large flexibility on the design of the anode structure and the readout scheme. Without significant loss, signals are transmitted by capacitive coupling to the read-out pads. The detector provides high gas gain, good energy resolution and the resistive layer assures spark protection for the electronics. This assembly could be combined with modern pixel array electronic ASICs. First tests with different Piggyback detectors and configurations will be presented. This structure is adequate for cost effective fabrication and low outgassing detectors. It was designed to perform ...

  3. Effect of physiological age on radiation resistance of some bacteria that are highly radiation resistant

    International Nuclear Information System (INIS)

    Keller, L.C.; Maxcy, R.B.

    1984-01-01

    Physiological age-dependent variation in radiation resistance was studied for three bacteria that are highly radiation resistant: Micrococcus radiodurans, Micrococcus sp. isolate C-3, and Moraxella sp. isolate 4. Stationary-phase cultures of M. radiodurans and isolate C-3 were much more resistant to gamma radiation than were log-phase cultures. This pattern of relative resistance was reversed for isolate 4. Resistance of isolate 4 to UV light was also greater during log phase, although heat resistance and NaCl tolerance after heat stresses were greater during stationary phase. Radiation-induced injury of isolate 4 compared with injury of Escherichia coli B suggested that the injury process, as well as the lethal process, was affected by growth phase. The hypothesis that growth rate affects radiation resistance was tested, and results were interpreted in light of the probable confounding effect of methods used to alter growth rates of bacteria. These results indicate that dose-response experiments should be designed to measure survival during the most resistant growth phase of the organism under study. The timing is particularly important when extrapolations of survival results might be made to potential irradiation processes for foods. 17 references

  4. The Truth About Ballistic Coefficients

    OpenAIRE

    Courtney, Michael; Courtney, Amy

    2007-01-01

    The ballistic coefficient of a bullet describes how it slows in flight due to air resistance. This article presents experimental determinations of ballistic coefficients showing that the majority of bullets tested have their previously published ballistic coefficients exaggerated from 5-25% by the bullet manufacturers. These exaggerated ballistic coefficients lead to inaccurate predictions of long range bullet drop, retained energy and wind drift.

  5. Multidrug resistance in tumour cells: characterisation of the multidrug resistant cell line K562-Lucena 1

    Directory of Open Access Journals (Sweden)

    VIVIAN M. RUMJANEK

    2001-03-01

    Full Text Available Multidrug resistance to chemotherapy is a major obstacle in the treatment of cancer patients. The best characterised mechanism responsible for multidrug resistance involves the expression of the MDR-1 gene product, P-glycoprotein. However, the resistance process is multifactorial. Studies of multidrug resistance mechanisms have relied on the analysis of cancer cell lines that have been selected and present cross-reactivity to a broad range of anticancer agents. This work characterises a multidrug resistant cell line, originally selected for resistance to the Vinca alkaloid vincristine and derived from the human erythroleukaemia cell K562. This cell line, named Lucena 1, overexpresses P-glycoprotein and have its resistance reversed by the chemosensitisers verapamil, trifluoperazine and cyclosporins A, D and G. Furthermore, we demonstrated that methylene blue was capable of partially reversing the resistance in this cell line. On the contrary, the use of 5-fluorouracil increased the resistance of Lucena 1. In addition to chemotherapics, Lucena 1 cells were resistant to ultraviolet A radiation and hydrogen peroxide and failed to mobilise intracellular calcium when thapsigargin was used. Changes in the cytoskeleton of this cell line were also observed.A resistência a múltiplos fármacos é o principal obstáculo no tratamento de pacientes com câncer. O mecanismo responsável pela resistência múltipla mais bem caracterizado envolve a expressão do produto do gene MDR-1, a glicoproteína P. Entretanto, o processo de resistência tem fatores múltiplos. Estudos de mecanismos de resistência m��ltipla a fármacos têm dependido da análise de linhagens celulares tumorais que foram selecionadas e apresentam reatividade cruzada a uma ampla faixa de agentes anti-tumorais. Este trabalho caracteriza uma linhagem celular com múltipla resistência a fármacos, selecionada originalmente pela resistência ao alcalóide de Vinca vincristina e derivado

  6. Subgroup and resistance analyses of raltegravir for resistant HIV-1 infection

    DEFF Research Database (Denmark)

    Cooper, David A; Steigbigel, Roy T; Gatell, Jose M

    2008-01-01

    BACKGROUND: We evaluated the efficacy of raltegravir and the development of viral resistance in two identical trials involving patients who were infected with human immunodeficiency virus type 1 (HIV-1) with triple-class drug resistance and in whom antiretroviral therapy had failed. METHODS: We c...

  7. Occurrence and Distribution of Antibiotic-resistant Bacteria and Transfer of Resistance Genes in Lake Taihu

    Science.gov (United States)

    Yin, Qian; Yue, Dongmei; Peng, Yuke; Liu, Ying; Xiao, Lin

    2013-01-01

    The overuse of antibiotics has accelerated antibiotic resistance in the natural environment, especially fresh water, generating a potential risk for public health around the world. In this study, antibiotic resistance in Lake Taihu was investigated and this was the first thorough data obtained through culture-dependent methods. High percentages of resistance to streptomycin and ampicillin among bacterial isolates were detected, followed by tetracycline and chloramphenicol. Especially high levels of ampicillin resistance in the western and northern regions were illustrated. Bacterial identification of the isolates selected for further study indicated the prevalence of some opportunistic pathogens and 62.0% of the 78 isolates exhibited multiple antibiotic resistance. The presence of ESBLs genes was in the following sequence: blaTEM > blaSHV > blaCTMX and 38.5% of the isolates had a class I integrase gene. Of all tested strains, 80.8% were able to transfer antibiotic resistance through conjugation. We also concluded that some new families of human-associated ESBLs and AmpC genes can be found in natural environmental isolates. The prevalence of antibiotic resistance and the dissemination of transferable antibiotic resistance in bacterial isolates (especially in opportunistic pathogens) was alarming and clearly indicated the urgency of realizing the health risks of antibiotic resistance to human and animal populations who are dependent on Lake Taihu for water consumption. PMID:24240317

  8. Antibiotic Resistance and Antibiotic Resistance Genes in Escherichia coli Isolates from Hospital Wastewater in Vietnam.

    Science.gov (United States)

    Lien, La Thi Quynh; Lan, Pham Thi; Chuc, Nguyen Thi Kim; Hoa, Nguyen Quynh; Nhung, Pham Hong; Thoa, Nguyen Thi Minh; Diwan, Vishal; Tamhankar, Ashok J; Stålsby Lundborg, Cecilia

    2017-06-29

    The environmental spread of antibiotic-resistant bacteria has been recognised as a growing public health threat for which hospitals play a significant role. The aims of this study were to investigate the prevalence of antibiotic resistance and antibiotic resistance genes (ARGs) in Escherichia coli isolates from hospital wastewater in Vietnam. Wastewater samples before and after treatment were collected using continuous sampling every month over a year. Standard disk diffusion and E-test were used for antibiotic susceptibility testing. Extended-spectrum beta-lactamase (ESBL) production was tested using combined disk diffusion. ARGs were detected by polymerase chain reactions. Resistance to at least one antibiotic was detected in 83% of isolates; multidrug resistance was found in 32%. The highest resistance prevalence was found for co-trimoxazole (70%) and the lowest for imipenem (1%). Forty-three percent of isolates were ESBL-producing, with the bla TEM gene being more common than bla CTX-M . Co-harbouring of the bla CTX-M , bla TEM and qepA genes was found in 46% of isolates resistant to ciprofloxacin. The large presence of antibiotic-resistant E. coli isolates combined with ARGs in hospital wastewater, even post-treatment, poses a threat to public health. It highlights the need to develop effective processes for hospital wastewater treatment plants to eliminate antibiotic resistant bacteria and ARGs.

  9. Dominance of multidrug resistant CC271 clones in macrolide-resistant streptococcus pneumoniae in Arizona

    Directory of Open Access Journals (Sweden)

    Bowers Jolene R

    2012-01-01

    Full Text Available Abstract Background Rates of resistance to macrolide antibiotics in Streptococcus pneumoniae are rising around the world due to the spread of mobile genetic elements harboring mef(E and erm(B genes and post-vaccine clonal expansion of strains that carry them. Results Characterization of 592 clinical isolates collected in Arizona over a 10 year period shows 23.6% are macrolide resistant. The largest portion of the macrolide-resistant population, 52%, is dual mef(E/erm(B-positive. All dual-positive isolates are multidrug-resistant clonal lineages of Taiwan19F-14, mostly multilocus sequence type 320, carrying the recently described transposon Tn2010. The remainder of the macrolide resistant S. pneumoniae collection includes 31% mef(E-positive, and 9% erm(B-positive strains. Conclusions The dual-positive, multidrug-resistant S. pneumoniae clones have likely expanded by switching to non-vaccine serotypes after the heptavalent pneumococcal conjugate vaccine release, and their success limits therapy options. This upsurge could have a considerable clinical impact in Arizona.

  10. Evolution of methicillin-resistant Staphylococcus aureus towards increasing resistance

    DEFF Research Database (Denmark)

    Strommenger, Birgit; Bartels, Mette Damkjær; Kurt, Kevin

    2014-01-01

    To elucidate the evolutionary history of Staphylococcus aureus clonal complex (CC) 8, which encompasses several globally distributed epidemic lineages, including hospital-associated methicillin-resistant S. aureus (MRSA) and the highly prevalent community-associated MRSA clone USA300.......To elucidate the evolutionary history of Staphylococcus aureus clonal complex (CC) 8, which encompasses several globally distributed epidemic lineages, including hospital-associated methicillin-resistant S. aureus (MRSA) and the highly prevalent community-associated MRSA clone USA300....

  11. Controlling antibiotic resistance in the ICU

    NARCIS (Netherlands)

    Derde, L.P.G.

    2013-01-01

    Patients admitted to intensive care units (ICUs) are frequently colonized with (antibiotic-resistant) bacteria, which may lead to healthcare associated infections. Antimicrobial-resistant bacteria (AMRB), such as methicillin-resistant Staphylococcus aureus (MRSA), vancomycin-resistant Enterococci

  12. Clostridium difficile Infection and Patient-Specific Antimicrobial Resistance Testing Reveals a High Metronidazole Resistance Rate.

    Science.gov (United States)

    Barkin, Jodie A; Sussman, Daniel A; Fifadara, Nimita; Barkin, Jamie S

    2017-04-01

    Clostridium difficile (CD) infection (CDI) causes marked morbidity and mortality, accounting for large healthcare expenditures annually. Current CDI treatment guidelines focus on clinical markers of patient severity to determine the preferred antibiotic regimen of metronidazole versus vancomycin. The antimicrobial resistance patterns for patients with CD are currently unknown. The aim of this study was to define the antimicrobial resistance patterns for CD. This study included all patients with stools sent for CD testing to a private laboratory (DRG Laboratory, Alpharetta, Georgia) in a 6-month period from across the USA. Patient data was de-identified, with only age, gender, and zip-code available per laboratory protocol. All samples underwent PCR testing followed by hybridization for CD toxin regions A and B. Only patients with CD-positive PCR were analyzed. Antimicrobial resistance testing using stool genomic DNA evaluated presence of imidazole- and vancomycin-resistant genes using multiplex PCR gene detection. Of 2743, 288 (10.5%) stool samples were positive for CD. Six were excluded per protocol. Of 282, 193 (69.4%) were women, and average age was 49.4 ± 18.7 years. Of 282, 62 were PCR positive for toxins A and B, 160 for toxin A positive alone, and 60 for toxin B positive alone. Antimicrobial resistance testing revealed 134/282 (47.5%) patients resistant to imidazole, 17 (6.1%) resistant to vancomycin, and 9 (3.2%) resistant to imidazole and vancomycin. CD-positive patients with presence of imidazole-resistant genes from stool DNA extract was a common phenomenon, while vancomycin resistance was uncommon. Similar to treatment of other infections, antimicrobial resistance testing should play a role in CDI clinical decision-making algorithms to enable more expedited and cost-effective delivery of patient care.

  13. Anticoagulant Resistance

    DEFF Research Database (Denmark)

    Heiberg, Ann-Charlotte

    Although sewer rat control is carried out in more than 80 % of all Danish municipalities, with usage of large amounts of anticoagulant rodenticides, knowledge on anticoagulant resistance among rats living in the sewers is limited. As rat problems in urban areas are believed to be related to sewer...... problems (70-90 % in UK and DK) unawareness of resistance amongst these populations of Brown rats may constitute a future control problem and knowledge on this issue has become crucial. Rats were captured in sewers from seven different locations in the suburban area of Copenhagen. Locations was chosen...... to represent different sewer rat management strategies i) no anticoagulants for approx. 20 years ii) no anticoagulants for the last 5 years and iii) continuous control for many years. Animals were tested for resistance to bromadiolone by Blood-Clotting Response test, as bromadiolone is the most frequently used...

  14. Embodied Resistance to Persuasion in Advertising

    Science.gov (United States)

    Lewinski, Peter; Fransen, Marieke L.; Tan, Ed S.

    2016-01-01

    From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing, and selective attention (e.g., Fransen et al., 2015b). However, the role of emotion regulation and bodily expression in resisting persuasion is so far underexplored. This is a surprising observation if one considers that at least 40% of advertisements use positive emotions (i.e., happiness) to persuade people to like the ad, brand, and product (Weinberger et al., 1995). In this article we present a framework in which we apply previous knowledge and theories on emotion regulation and embodiment to the process of resistance to persuasion. In doing so, we specifically address the role of facial expression in the course of resistance. The literature and findings from our own research lead us to propose that people can resist persuasion by controlling their facial expression of emotion when exposed to an advertisement. Controlling the expression of emotions elicited by an ad (for example refusing to smile) might be a fruitful way to resist the ad’s persuasive potential. Moreover, we argue that co-viewers can affect embodied resistance to persuasion. Showing the viability of embodied resistance to persuasion is relevant in view of the fact that ads trying to persuade us by addressing our positive emotions are ubiquitous. Embodied resistance might help people to cope with these induced positive emotions in order to resist advertisements and might therefore work as a novel and effective strategy to resist persuasion. PMID:27574512

  15. Embodied Resistance to Persuasion in Advertising

    Directory of Open Access Journals (Sweden)

    Peter Lewinski

    2016-08-01

    Full Text Available From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing and selective attention (e.g., Fransen, Verlegh, Kirmani, & Smit, 2015a. However, the role of emotion regulation and bodily expression in resisting persuasion is so far underexplored. This is a surprising observation if one considers that at least 40% of advertisements use positive emotions (i.e., happiness to persuade people to like the ad, brand, and product (Weinberger et al., 1995. In this article we present a framework in which we apply previous knowledge and theories on emotion regulation and embodiment to the process of resistance to persuasion. In doing so, we specifically address the role of facial expression in the course of resistance. Based on the literature and findings largely established in our own research, we propose that people can resist persuasion by controlling their facial expression of emotion when exposed to an advertisement. Controlling the expression of emotions elicited by an ad (for example refusing to smile might be a fruitful way to resist the ad’s persuasive potential. Moreover, we argue that co-viewers can affect embodied resistance to persuasion. Showing the viability of embodied resistance to persuasion is relevant in view of the fact that ads trying to persuade us by addressing our positive emotions are ubiquitous. Embodied resistance might help people to cope with these induced positive emotions in order to resist advertisements and might therefore work as a novel and effective strategy to resist persuasion.

  16. Embodied Resistance to Persuasion in Advertising.

    Science.gov (United States)

    Lewinski, Peter; Fransen, Marieke L; Tan, Ed S

    2016-01-01

    From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing, and selective attention (e.g., Fransen et al., 2015b). However, the role of emotion regulation and bodily expression in resisting persuasion is so far underexplored. This is a surprising observation if one considers that at least 40% of advertisements use positive emotions (i.e., happiness) to persuade people to like the ad, brand, and product (Weinberger et al., 1995). In this article we present a framework in which we apply previous knowledge and theories on emotion regulation and embodiment to the process of resistance to persuasion. In doing so, we specifically address the role of facial expression in the course of resistance. The literature and findings from our own research lead us to propose that people can resist persuasion by controlling their facial expression of emotion when exposed to an advertisement. Controlling the expression of emotions elicited by an ad (for example refusing to smile) might be a fruitful way to resist the ad's persuasive potential. Moreover, we argue that co-viewers can affect embodied resistance to persuasion. Showing the viability of embodied resistance to persuasion is relevant in view of the fact that ads trying to persuade us by addressing our positive emotions are ubiquitous. Embodied resistance might help people to cope with these induced positive emotions in order to resist advertisements and might therefore work as a novel and effective strategy to resist persuasion.

  17. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Animal & Veterinary Cosmetics Tobacco Products Animal & Veterinary Home Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin ...

  18. Design and test of a novel isolator with negative resistance electromagnetic shunt damping

    International Nuclear Information System (INIS)

    Yan, Bo; Zhang, Xinong; Niu, Hongpan

    2012-01-01

    This paper proposes a negative resistance electromagnetic shunt damping vibration isolator and investigates the effectiveness of the isolator. The isolator consists of a shunt circuit and a pair of electromagnet and permanent magnets that are pasted onto a box-shaped spring. A kind of negative resistance shunt impedance is proposed to cancel the inherent resistance of the electromagnet. The electromechanical coupling coefficient and the electromagnetic damping force calculation formula are obtained by Biot–Savart’s law and Ampère’s law, respectively. A single degree of freedom system is employed to verify the performance of the proposed isolator. The governing equation is established. The performance of the proposed isolator under a half-cycle sine pulse is investigated and discussed. Experiments were carried out and the results agreed well with the numerical predictions. Both the results demonstrate that the negative resistance electromagnetic shunt damping vibration isolator could suppress vibration transmitted to the structure effectively. (paper)

  19. Electromigration of hydrogen and deuterium in vanadium and niobium by a resistance method

    International Nuclear Information System (INIS)

    Peterson, D.T.; Jensen, C.L.

    1978-01-01

    The electric mobility of hydrogen and deuterium has been measured at 30 0 C in niobium (Cb) and vanadium by a resistance method. The electric mobility was found to be 5.7 x 10 -4 cm 2 /V-s for hydrogen and 2.8 x 10 -4 for deuterium in niobium. In vanadium the electric mobilities were 2.3 x 10 -3 and 1.3 x 10 -3 cm 2 /V-s for hydrogen and deuterium, respectively. The effective charges calculated using reported diffusion coefficients are positive and are slightly greater for deuterium than for hydrogen in both vanadium and niobium. The resistivity increase due to the hydrogen isotopes in vanadium and niobium was also measured. Hydrogen was found to contribute 0.65 μ ohm-cm/at. % and deuterium 0.58 μ ohm-cm/at. % to the resistivity of niobium. In vanadium, the solute resistivities were found to be 0.98 μ ohm-cm/at. % and 0.90 μ ohm-cm/at. % for hydrogen and deuterium, respectively

  20. Magnetic field and pressure dependant resistivity behaviour of MnAs

    Science.gov (United States)

    Satya, A. T.; Amaladass, E. P.; Mani, Awadhesh

    2018-04-01

    The studies on the effect of magnetic field and external pressure on temperature dependant electrical resistivity behaviour of polycrystalline MnAs have been reported. At ambient pressure, ρ(T) shows a first order magnetic transition associated with change in sign of the temperature coefficient of resistivity from positive in the ferromagnetic (FM) phase to negative in the paramagnetic (PM) phase. The magneto resistance is negative and shows a peak at the FM transition temperature (T C ). The first order hysteresis width decreases with increase in magnetic field and the intersection of extrapolated linear variations of T C with field for the cooling and warming cycles enabled determination of the tricritical point. At high pressures, ρ(T) displays non monotonic variation exhibiting a low temperature minimum ({T}\\min L) and a high temperature maximum ({T}\\max H) accompanying broad thermal hysteresis above {T}\\min L. It is surmised that spin disorder scattering is responsible for the resistivity behaviour above {T}\\min L and the essential features of ρ(T) are qualitatively explained using Kasuya theoretical model. Below the {T}\\min L, ρ(T) follows linear logarithmic temperature dependence similar to the effect occurring due to Kondo type of scattering of conduction electrons with localised moments.

  1. Antimicrobial resistance, heavy metal resistance and integron content in bacteria isolated from a South African tilapia aquaculture system.

    Science.gov (United States)

    Chenia, Hafizah Y; Jacobs, Anelet

    2017-11-21

    Antibacterial compounds and metals co-select for antimicrobial resistance when bacteria harbour resistance genes towards both types of compounds, facilitating the proliferation and evolution of antimicrobial and heavy metal resistance. Antimicrobial and heavy metal resistance indices of 42 Gram-negative bacteria from a tilapia aquaculture system were determined to identify possible correlations between these phenotypes. Agar dilution assays were carried out to determine susceptibility to cadmium, copper, lead, mercury, chromate and zinc, while susceptibility to 21 antimicrobial agents was investigated by disk diffusion assays. Presence of merA, the mercury resistance gene, was determined by dot-blot hybridizations and PCR. Association of mercury resistance with integrons and transposon Tn21 was also investigated by PCR. Isolates displayed a high frequency of antimicrobial (erythromycin: 100%; ampicillin: 85%; trimethoprim: 78%) and heavy metal (Zn2+: 95%; Cd2+: 91%) resistance. No correlation was established between heavy metal and multiple antibiotic resistance indices. Significant positive correlations were observed between heavy metal resistance profiles, indices, Cu2+ and Cr3+ resistance with erythromycin resistance. Significant positive correlations were observed between merA (24%)/Tn21 (24%) presence and heavy metal resistance profiles and indices; however, significant negative correlations were obtained between integron-associated qacE∆1 (43%) and sulI (26%) gene presence and heavy metal resistance indices. Heavy metal and antimicrobial agents co-select for resistance, with fish-associated, resistant bacteria demonstrating simultaneous heavy metal resistance. Thus, care should be taken when using anti-fouling heavy metals as feed additives in aquaculture facilities.

  2. Glyphosate resistance: state of knowledge

    Science.gov (United States)

    Sammons, Robert Douglas; Gaines, Todd A

    2014-01-01

    Studies of mechanisms of resistance to glyphosate have increased current understanding of herbicide resistance mechanisms. Thus far, single-codon non-synonymous mutations of EPSPS (5-enolypyruvylshikimate-3-phosphate synthase) have been rare and, relative to other herbicide mode of action target-site mutations, unconventionally weak in magnitude for resistance to glyphosate. However, it is possible that weeds will emerge with non-synonymous mutations of two codons of EPSPS to produce an enzyme endowing greater resistance to glyphosate. Today, target-gene duplication is a common glyphosate resistance mechanism and could become a fundamental process for developing any resistance trait. Based on competition and substrate selectivity studies in several species, rapid vacuole sequestration of glyphosate occurs via a transporter mechanism. Conversely, as the chloroplast requires transporters for uptake of important metabolites, transporters associated with the two plastid membranes may separately, or together, successfully block glyphosate delivery. A model based on finite glyphosate dose and limiting time required for chloroplast loading sets the stage for understanding how uniquely different mechanisms can contribute to overall glyphosate resistance. PMID:25180399

  3. Emergence of fluoroquinolone resistance among drug resistant tuberculosis patients at a tertiary care facility in Karachi, Pakistan.

    Science.gov (United States)

    Zaidi, Syed Mohammad Asad; Haseeb, Abdul; Habib, Shifa Salman; Malik, Amyn; Khowaja, Saira; SaifUllah, Nausheen; Rizvi, Nadeem

    2017-07-25

    Pakistan is classified as one of the high multi-drug resistant tuberculosis (MDR-TB) burden countries. A poorly regulated private sector, over-prescription of antibiotics and self-medication has led to augmented rates of drug-resistance in the country. Pakistan's first national anti-tuberculosis drug resistance survey identified high prevalence of fluoroquinolone resistance among MDR-TB patients. Further institutional evidence of fluoroquinolone drug-resistance can support re-evaluation of treatment regimens as well as invigorate efforts to control antibiotic resistance in the country. In this study, data for drug-susceptibility testing (DST) was retrospectively analyzed for a total of 133 patients receiving MDR-TB treatment at the Chest Department of Jinnah Postgraduate Medical Center, Karachi, Pakistan. Frequency analyses for resistance patterns was carried out and association of fluoroquinolone (ofloxacin) resistance with demographics and past TB treatment category were assessed. Within first-line drugs, resistance to isoniazid was detected in 97.7% of cases, followed by rifampicin (96.9%), pyrazinamide (86.4%), ethambutol (69.2%) and streptomycin (64.6%). Within second-line drugs, ofloxacin resistance was detected in 34.6% of cases. Resistance to ethionamide and amikacin was 2.3% and 1.6%, respectively. Combined resistance of oflaxacin and isoniazid was detected in 33.9% of cases. Age, gender and past TB treatment category were not significantly associated with resistance to ofloxacin. Fluoroquinolone resistance was observed in an alarmingly high proportion of MDR-TB cases. Our results suggest caution in their use for empirical management of MDR-TB cases and recommended treatment regimens for MDR-TB may require re-evaluation. Greater engagement of private providers and stringent pharmacy regulations are urgently required.

  4. Adriamycin resistance and radiation response

    International Nuclear Information System (INIS)

    Belli, J.A.; Harris, J.R.

    1979-01-01

    Mammalian cells (V79) in culture developed resistance to Adriamycin during continuous exposure to low levels of drug. This resistance was accompanied by change in x-ray survival properties which, in turn, depended upon the isolation of subpopulations from resistant sub lines. These changes in x-ray survival properties were characterized by reduced D/sub Q/ values and a decrease in the D/sub O/. However, these changes were not observed together in the same cell sub line. Adriamycin-resistant cells did not appear to be radiation damage repair deficient. Other phenotypic changes (cell morphology, DNA content and chromosome number) suggested mutational events coincident with the development of Adriamycin resistance

  5. Measuring The Contact Resistances Of Photovoltaic Cells

    Science.gov (United States)

    Burger, D. R.

    1985-01-01

    Simple method devised to measure contact resistances of photovoltaic solar cells. Method uses readily available equipment and applicable at any time during life of cell. Enables evaluation of cell contact resistance, contact-end resistance, contact resistivity, sheet resistivity, and sheet resistivity under contact.

  6. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... More in Antimicrobial Resistance National Antimicrobial Resistance Monitoring System ... If you need help accessing information in different file formats, see Instructions for Downloading ...

  7. Staphylococcus aureus: methicillin-susceptible S. aureus to methicillin-resistant S. aureus and vancomycin-resistant S. aureus.

    Science.gov (United States)

    Rehm, Susan J; Tice, Alan

    2010-09-15

    The evolution of methicillin-resistant and vancomycin-resistant Staphylococcus aureus has demanded serious review of antimicrobial use and development of new agents and revised approaches to prevent and overcome drug resistance. Depending on local conditions and patient risk factors, empirical therapy of suspected S. aureus infection may require coverage of drug-resistant organisms with newer agents and novel antibiotic combinations. The question of treatment with inappropriate antibiotics raises grave concerns with regard to methicillin-resistant S. aureus selection, overgrowth, and increased virulence. Several strategies to reduce the nosocomial burden of resistance are suggested, including shortened hospital stays and outpatient parenteral antimicrobial therapy of the most serious infections.

  8. Genome-Wide Association Mapping of Stem Rust Resistance in Hordeum vulgare subsp. spontaneum.

    Science.gov (United States)

    Sallam, Ahmad H; Tyagi, Priyanka; Brown-Guedira, Gina; Muehlbauer, Gary J; Hulse, Alex; Steffenson, Brian J

    2017-10-05

    Stem rust was one of the most devastating diseases of barley in North America. Through the deployment of cultivars with the resistance gene Rpg1 , losses to stem rust have been minimal over the past 70 yr. However, there exist both domestic (QCCJB) and foreign (TTKSK aka isolate Ug99) pathotypes with virulence for this important gene. To identify new sources of stem rust resistance for barley, we evaluated the Wild Barley Diversity Collection (WBDC) (314 ecogeographically diverse accessions of Hordeum vulgare subsp. spontaneum ) for seedling resistance to four pathotypes (TTKSK, QCCJB, MCCFC, and HKHJC) of the wheat stem rust pathogen ( Puccinia graminis f. sp. tritici , Pgt ) and one isolate (92-MN-90) of the rye stem rust pathogen ( P. graminis f. sp. secalis , Pgs ). Based on a coefficient of infection, the frequency of resistance in the WBDC was low ranging from 0.6% with HKHJC to 19.4% with 92-MN-90. None of the accessions was resistant to all five cultures of P. graminis A genome-wide association study (GWAS) was conducted to map stem rust resistance loci using 50,842 single-nucleotide polymorphic markers generated by genotype-by-sequencing and ordered using the new barley reference genome assembly. After proper accounting for genetic relatedness and structure among accessions, 45 quantitative trait loci were identified for resistance to P. graminis across all seven barley chromosomes. Three novel loci associated with resistance to TTKSK, QCCJB, MCCFC, and 92-MN-90 were identified on chromosomes 5H and 7H, and two novel loci associated with resistance to HKHJC were identified on chromosomes 1H and 3H. These novel alleles will enhance the diversity of resistance available for cultivated barley. Copyright © 2017 Sallam et al.

  9. Diffusion and perfusion MRI for the localisation of epileptogenic foci in drug-resistant epilepsy

    International Nuclear Information System (INIS)

    Heiniger, P.; El-Koussy, M.; Kiefer, C.; Oswald, H.; Schroth, G.; Schindler, K.; Donati, F.; Loevblad, K.O.; Wissmeyer, M.; Mariani, L.; Weder, B.

    2002-01-01

    Drug-resistant epilepsy is an important clinical challenge, both diagnostically and therapeutically. More and more surgical options are being considered, but precise presurgical assessment is necessary. We prospectively studied eight patients with drug-resistant epilepsy, who underwent clinical examination, single photon emission computed tomography (SPECT) and interictal MRI, including diffusion- and perfusion-weighted echoplanar sequences. Lesions suspected on SPECT of being epileptogenic showed mild hypoperfusion, while the diffusion-weighted MRI (DWI) revealed increased apparent diffusion coefficients relative to the other side. However, these abnormalities were not visible on the corresponding maps. We showed that DWI and perfusion-weighted MRI could be used confirm the characteristics and site of an epileptogenic area in patients with drug-resistant epilepsy. (orig.)

  10. Electrical resistivity of 5 f -electron systems affected by static and dynamic spin disorder

    Science.gov (United States)

    Havela, L.; Paukov, M.; Buturlim, V.; Tkach, I.; Drozdenko, D.; Cieslar, M.; Mašková, S.; Dopita, M.; Matěj, Z.

    2017-06-01

    Metallic 5 f materials have very strong coupling of magnetic moments and electrons mediating electrical conduction. It is caused by strong spin-orbit interaction, coming with high atomic number Z , together with involvement of the 5 f states in metallic bonding. We have used the recently discovered class of uranium (ultra)nanocrystalline hydrides, which are ferromagnets with high ordering temperature, to disentangle the origin of negative temperature coefficient of electrical resistivity. In general, the phenomenon of electrical resistivity decreasing with increasing temperature in metals can have several reasons. The magnetoresistivity study of these hydrides reveals that quantum effects related to spin-disorder scattering can explain the resistivity behavior of a broad class of actinide compounds.

  11. Risk of vancomycin-resistant enterococci bloodstream infection among patients colonized with vancomycin-resistant enterococci

    Directory of Open Access Journals (Sweden)

    Ahu Kara

    2015-01-01

    Conclusion: In conclusion, our study found that 1.55% of vancomycin-resistant enterococci-colonized children had developed vancomycin-resistant enterococci bloodstream infection among the pediatric intensive care unit and hematology/oncology patients; according to our findings, we suggest that immunosupression is the key point for developing vancomycin-resistant enterococci bloodstream infections.

  12. Relationship between Psidium species (Myrtaceae) by resistance gene analog markers: focus on nematode resistance.

    Science.gov (United States)

    Noia, L R; Tuler, A C; Ferreira, A; Ferreira, M F S

    2017-03-16

    Guava (Psidium guajava L.) crop is severely affected by the nematode Meloidogyne enterolobii. Native Psidium species have been reported as sources of resistance against this nematode. Knowledge on the molecular relationship between Psidium species based on plant resistance gene analogs (RGA) can be useful in the genetic breeding of guava for resistance to M. enterolobii. In this study, RGA markers from conserved domains, and structural features of plant R genes, were employed to characterize Psidium species and establish genetic proximity, with a focus on nematode resistance. SSR markers were also applied owing to their neutral nature, thus differing from RGA markers. For this, species reported as sources of resistance to M. enterolobii, such as P. cattleianum and P. friedrichsthalianum, as well as species occurring in the Atlantic Rainforest and susceptible genotypes, were investigated. In 10 evaluated Psidium species, high interspecific genetic variability was verified through RGA and SSR markers, with intraspecific variation in P. guajava higher with SSR, as was expected. Resistant species were clustered by RGA markers, and differential amplicons among genotypes resistant and susceptible to M. enterolobii were identified. Knowledge on the molecular relationships between Psidium species constitutes useful information for breeding of the guava tree, providing direction for hybridization and material for rootstocks. Additionally, the genetic relationship between native species, which have been little studied, and P. guajava were estimated by RGAs, which were confirmed as important markers for genetic diversity related to pathogen resistance.

  13. Slip resistance of casual footwear: implications for falls in older adults.

    Science.gov (United States)

    Menz, H B; Lord, S T; McIntosh, A S

    2001-01-01

    A large proportion of falls in older people are caused by slipping. Previous occupational safety research suggests that inadequate footwear may contribute to slipping accidents; however, no studies have assessed the slip resistance of casual footwear. To evaluate the slip resistance of different types of casual footwear over a range of common household surfaces. The slip resistance of men's Oxford shoes and women's fashion shoes with different heel configurations was determined by measuring the dynamic coefficient of friction (DCoF) at heel contact (in both dry and wet conditions) on a bathroom tile, concrete, vinyl flooring and a terra cotta tile using a specially-designed piezoelectric force plate apparatus. Analysis of variance revealed significant shoe, surface, and shoe-surface interaction effects. Men's Oxford shoes exhibited higher average DCoF values than the women's fashion shoes, however, none of the shoes could be considered safe on wet surfaces. Application of a textured sole material did not improve slip resistance of any of the shoes on wet surfaces. Heel geometry influences the slip resistance of casual footwear on common household surfaces. The suboptimal performance of all of the test shoes on wet surfaces suggests that a safety standard for casual footwear is required to assist in the development of safe footwear for older people. Copyright 2001 S. Karger AG, Basel

  14. The tetracycline resistance determinant Tet 39 and the sulphonamide resistance gene sulII are common among resistant Acinetobacter spp. isolated from integrated fish farms in Thailand

    DEFF Research Database (Denmark)

    Agersø, Yvonne; Petersen, Andreas

    2007-01-01

    Objectives: To determine the genetic basis for tetracycline and sulphonamide resistance and the prevalence of class I and II integrons in oxytetracycline-resistant Acinetobacter spp. from integrated fish farms in Thailand. Methods: A total of 222 isolates were screened for tetracycline resistance...... and Southern blots with sulII and tet(39) probes were performed on selected isolates. Results: The recently identified tetracycline resistance gene tet(39) was demonstrated in 75% (166/222) of oxytetracycline-resistant Acinetobacter spp. from integrated fish farms in Thailand. Isolates that were also...

  15. Incidence of multidrug-resistant, extensively drug-resistant and pan-drug-resistant bacteria in children hospitalized at Dr. Hasan Sadikin general hospital Bandung Indonesia

    Science.gov (United States)

    Adrizain, R.; Suryaningrat, F.; Alam, A.; Setiabudi, D.

    2018-03-01

    Antibiotic resistance has become a global issue, with 700,000 deaths attributable to multidrug-resistance (MDR) occurring each year. Centers for Disease Control and Prevention (CDC) show rapidly increasing rates of infection due to antibiotic-resistant bacteria. The aim of the study isto describe the incidence of MDR, extensively drug-resistant (XDR) and pan drug-resistant (PDR) in Enterococcus spp., Staphylococcus aureus, K. pneumonia, Acinetobacter baumanii, P. aeruginosin, and Enterobacter spp. (ESKAPE) pathogens in children admitted to Dr. Hasan Sadikin Hospital. All pediatric patients having blood culture drawn from January 2015 to December 2016 were retrospectively studied. Data include the number of drawn blood culture, number of positive results, type of bacteria, sensitivity pattern. International standard definitions for acquired resistance by ECDC and CDC was used as definitions for MDR, XDR and PDR bacteria. From January 2015 to December 2016, 299 from 2.542 (11.7%) blood culture was positive, with Staphylococcus aureus, Enterococcus spp., Enterobacteriaceae, Pseudomonas aeruginosa, Acinetobacter spp., respectively 5, 6, 24, 5, 20 with total 60 (20%). The MDR and XDR pathogen found were 47 and 13 patients, respectively.

  16. Multidrug-Resistant Salmonella enterica Serovar Muenchen from Pigs and Humans and Potential Interserovar Transfer of Antimicrobial Resistance

    OpenAIRE

    Gebreyes, Wondwossen A.; Thakur, Siddhartha

    2005-01-01

    Salmonella serovars are important reservoirs of antimicrobial resistance. Recently, we reported on multidrug-resistant (MDR) Salmonella enterica serovar Typhimurium strains among pigs with resistance to ampicillin, kanamycin, streptomycin, sulfamethoxazole, and tetracycline (resistance [R] type AKSSuT) and resistance to amoxicillin-clavulanic acid, ampicillin, chloramphenicol, streptomycin, sulfamethoxazole, and tetracycline (R type AxACSSuT). In the present study, 67 isolates (39 from humans...

  17. Antimicrobial resistance

    DEFF Research Database (Denmark)

    Llor, Carl; Bjerrum, Lars

    2014-01-01

    Antimicrobial resistance is a global public health challenge, which has accelerated by the overuse of antibiotics worldwide. Increased antimicrobial resistance is the cause of severe infections, complications, longer hospital stays and increased mortality. Overprescribing of antibiotics......-the-counter sale of antibiotics, the use of antimicrobial stewardship programmes, the active participation of clinicians in audits, the utilization of valid rapid point-of-care tests, the promotion of delayed antibiotic prescribing strategies, the enhancement of communication skills with patients with the aid...

  18. Phenotypic low-level isoniazid resistance as a marker to predict ethionamide resistance in Mycobacterium tuberculosis

    Directory of Open Access Journals (Sweden)

    Salima Qamar

    2017-01-01

    Full Text Available Background: Tuberculosis is one of the most prevalent diseases in Pakistan. Pakistan has the highest burden of MDR-TB in the Eastern Mediterranean region. Ethionamide is an anti-tuberculous drug frequently used to treat MDR-TB. Its drug susceptibility testing is not easily available in resource limited settings. Since it acts on the same target protein as isoniazid (inhA protein encoded by inhA gene, we sought to find out if phenotypic isoniazid resistance can be a marker of ethionamide resistance. Materials and Methods: This was a retrospective observational study conducted at the Aga Khan University hospital section of microbiology. Data was retrieved between 2011 to 2014 for all culture positive MTB strains. All culture positive MTB isolates with susceptibilities to isoniazid and ethionamide recorded were included in the study. Isoniazid and ethionamide susceptibilities were performed using agar proportion method on Middlebrook 7H10 agar. Rate of Ethionamide resistance between low-level isoniazid resistant, high level isoniazid resistant and isoniazid sensitive MTB was compared. Results: A total of 11,274 isolates were included in the study. A statistically significant association (P < 0.001 was found between Ethionamide resistance and low-level isoniazid resistance (26.6% as compared to high-level isoniazid resistance (8.85% and isoniazid sensitivity (0.71% in MTB strains. However this association was not seen in XDR-TB strains. Conclusion: Low level isoniazid resistance may be used as marker for phenotypic ethionamide resistance and hence guide clinicians' choice of antituberculous agent for MDR-TB in Pakistan. Further studies involving detection of genotypic association of isoniazid and ethionamide susceptibilities are needed before a final conclusion can be derived.

  19. A double EPSPS gene mutation endowing glyphosate resistance shows a remarkably high resistance cost.

    Science.gov (United States)

    Han, Heping; Vila-Aiub, Martin M; Jalaludin, Adam; Yu, Qin; Powles, Stephen B

    2017-12-01

    A novel glyphosate resistance double point mutation (T102I/P106S, TIPS) in the 5-enolpyruvylshikimate-3-phosphate synthase (EPSPS) gene has been recently identified for the first time only in the weed species Eleusine indica. Quantification of plant resistance cost associated with the TIPS and the often reported glyphosate resistance single P106S mutation was performed. A significant resistance cost (50% in seed number currency) associated with the homozygous TIPS but not the homozygous P106S EPSPS variant was identified in E. indica plants. The resistance cost associated with the TIPS mutation escalated to 85% in plants under resource competition with rice crops. The resistance cost was not detected in nonhomozygous TIPS plants denoting the recessive nature of the cost associated with the TIPS allele. An excess of 11-fold more shikimate and sixfold more quinate in the shikimate pathway was detected in TIPS plants in the absence of glyphosate treatment compared to wild type, whereas no changes in these compounds were observed in P106S plants when compared to wild type. TIPS plants show altered metabolite levels in several other metabolic pathways that may account for the expression of the observed resistance cost. © 2017 John Wiley & Sons Ltd.

  20. Insecticides resistance in the Culex quinquefasciatus populations from northern Thailand and possible resistance mechanisms.

    Science.gov (United States)

    Yanola, Jintana; Chamnanya, Saowanee; Lumjuan, Nongkran; Somboon, Pradya

    2015-09-01

    The mosquito vector Culex quinquefasciatus is known to be resistant to insecticides worldwide, including Thailand. This study was the first investigation of the insecticide resistance mechanisms, involving metabolic detoxification and target site insensitivity in C. quinquefasciatus from Thailand. Adult females reared from field-caught larvae from six provinces of northern Thailand were determined for resistant status by exposing to 0.05% deltamethrin, 0.75% permethrin and 5% malathion papers using the standard WHO susceptibility test. The overall mortality rates were 45.8%, 11.4% and 80.2%, respectively. A fragment of voltage-gated sodium channel gene was amplified and sequenced to identify the knock down resistance (kdr) mutation. The ace-1 gene mutation was determined by using PCR-RFLP. The L1014F kdr mutation was observed in all populations, but the homozygous mutant F/F1014 genotype was found only in two of the six provinces where the kdr mutation was significantly correlated with deltamethrin resistance. However, none of mosquitoes had the G119S mutation in the ace-1 gene. A laboratory deltamethrin resistant strain, Cq_CM_R, has been established showing a highly resistant level after selection for a few generations. The mutant F1014 allele frequency was significantly increased after one generation of selection. A synergist assay was performed to assess the metabolic detoxifying enzymes. Addition of bis(4-nitrophenyl)-phosphate (BNPP) and diethyl maleate (DEM), inhibitors of esterases and glutathione S-transferases (GST), respectively, into the larval bioassay of the Cq_CM strain with deltamethrin showed no significant reduction. By contrast, addition of piperonyl butoxide (PBO), an inhibitor of cytochrome P450 monooxygenases, showed a 9-fold reduction of resistance. Resistance to pyrethroids in C. quinquefasciatus is widely distributed in northern Thailand. This study reports for the first time for the detection of the L1014F kdr mutation in wild populations

  1. Antibiotic resistance and resistance genes in Escherichia coli from poultry farms, southwest Nigeria

    OpenAIRE

    Adelowo, Olawale O.; Fagade, Obasola E.; Agersø, Yvonne

    2014-01-01

    Introduction: This study investigated the mechanisms of resistance in 36 E. coli isolated from waste, litter, soil and water samples collected from poultry farms in Southwestern Nigeria. Methodology: Minimum inhibitory concentration (MIC) distributions of the isolates were determined using the methods of the Clinical and Laboratory Standard Institute and resistance genes detected by PCR. Results: A total of 30 isolates (94%) showed resistance to more than one antimicrobial. Percentage resista...

  2. Preventing drug resistance in severe influenza

    Science.gov (United States)

    Dobrovolny, Hana; Deecke, Lucas

    2015-03-01

    Severe, long-lasting influenza infections are often caused by new strains of influenza. The long duration of these infections leads to an increased opportunity for the emergence of drug resistant mutants. This is particularly problematic for new strains of influenza since there is often no vaccine, so drug treatment is the first line of defense. One strategy for trying to minimize drug resistance is to apply periodic treatment. During treatment the wild-type virus decreases, but resistant virus might increase; when there is no treatment, wild-type virus will hopefully out-compete the resistant virus, driving down the number of resistant virus. We combine a mathematical model of severe influenza with a model of drug resistance to study emergence of drug resistance during a long-lasting infection. We apply periodic treatment with two types of antivirals: neuraminidase inhibitors, which block release of virions; and adamantanes, which block replication of virions. We compare the efficacy of the two drugs in reducing emergence of drug resistant mutants and examine the effect of treatment frequency on the emergence of drug resistant mutants.

  3. Antibacterial resistance: an emerging 'zoonosis'?

    Science.gov (United States)

    Labro, Marie-Thérèse; Bryskier, Jean-Marie

    2014-12-01

    Antibacterial resistance is a worldwide threat, and concerns have arisen about the involvement of animal commensal and pathogenic bacteria in the maintenance and spread of resistance genes. However, beyond the facts related to the occurrence of resistant microorganisms in food, food-producing animals and companion animals and their transmission to humans, it is important to consider the vast environmental 'resistome', the selective pathways underlying the emergence of antibacterial resistance and how we can prepare answers for tomorrow.

  4. Study on drug resistance of mycobacterium tuberculosis in patients with pulmonary tuberculosis by drug resistance gene detecting

    International Nuclear Information System (INIS)

    Wang Wei; Li Hongmin; Wu Xueqiong; Wang Ansheng; Ye Yixiu; Wang Zhongyuan; Liu Jinwei; Chen Hongbing; Lin Minggui; Wang Jinhe; Li Sumei; Jiang Ping; Feng Bai; Chen Dongjing

    2004-01-01

    To investigate drug resistance of mycobacterium tuberculosis in different age group, compare detecting effect of two methods and evaluate their the clinical application value, all of the strains of mycobacterium tuberculosis were tested for resistance to RFP, INH SM PZA and EMB by the absolute concentration method on Lowenstein-Jensen medium and the mutation of the rpoB, katG, rpsL, pncA and embB resistance genes in M. tuberculosis was tested by PCR-SSCP. In youth, middle and old age group, the rate of acquired drug resistance was 89.2%, 85.3% and 67.6% respectively, the gene mutation rate was 76.2%, 81.3% and 63.2% respectively. The rate of acquired drug resistance and multiple drug resistance in youth group was much higher than those in other groups. The gene mutation was correlated with drug resistance level of mycobacterium tuberculosis. The gene mutation rate was higher in strains isolated from high concentration resistance than those in strains isolated from low concentration resistance. The more irregular treatment was longer, the rate of drug resistance was higher. Acquired drug resistance varies in different age group. It suggested that surveillance of drug resistence in different age group should be taken seriously, especially in youth group. PCR - SSCP is a sensitive and specific method for rapid detecting rpoB, katG, rpsL, pncA and embB genes mutations of MTB. (authors)

  5. Mechanisms of friction and assessment of slip resistance of new and used footwear soles on contaminated floors.

    Science.gov (United States)

    Grönqvist, Raoul

    1995-02-01

    The great number of slipping accidents indicates that footwear providing good slip resistance must be rare. Slip resistance seems to be a purely physical phenomenon, however, more knowledge of the mechanisms of friction is needed to develop slip-resistant footwear and to ensure safer walking in slippery conditions. In the present study the influence of the normal wear of shoe heels and soles on their frictional properties was clarified. The slip resistance of three types of new and used safety shoes on four relatively slippery floor-contaminant combinations, was assessed with a prototype apparatus, which simulates the movements of a human foot and the forces applied to the underfoot surface during an actual slip. The used shoes were collected from 27 workers in a shipbuilding company and classified by sight into four wear classes: Good, satisfactory, poor, and worn-out. The assessed shoe heels and soles were in general more slippery when new compared to used heels and soles. However, footwear must be discarded before the tread pattern is worn-out. Used microcellular polyurethane (PU) heels and soles gave a considerably higher coefficient of kinetic friction (μ k ) on contaminated floors than used heels and soles made of compact nitrile (NR) and compact styrene rubber (SR). The heel-slide coefficient of kinetic friction (μ kl ) for used versus new shoes was on average 66% higher for PU (0·216 versus 0·130), 27% higher for SR (0·143 versus 0·113), and 7% lower for NR (0·098 versus 0·105). The fundamental mechanisms of friction between shoe soles and contaminated floors were also discussed, and experiments with seven slabs of sole materials were carried out to assess contact pressure effects from the viewpoint of slipping. Slip resistance particularly seemed to depend on the squeeze film and the contact pressure effects between the soling materials and the floor. An increasing contact pressure dramatically reduced the μ k , thus indicating that the slip

  6. Buprofezin susceptibility survey, resistance selection and preliminary determination of the resistance mechanism in Nilaparvata lugens (Homoptera: Delphacidae).

    Science.gov (United States)

    Wang, Yanhua; Gao, Congfen; Xu, Zhiping; Zhu, Yu Cheng; Zhang, Jiushuang; Li, Wenhong; Dai, Dejiang; Lin, Youwei; Zhou, Weijun; Shen, Jinliang

    2008-10-01

    Buprofezin has been used for many years to control Nilaparvata lugens (Stål). Assessment of susceptibility change in the insect is essential for maintaining control efficiency and resistance management. Eleven-year surveys showed that most field populations were susceptible before 2004. However, substantially higher levels of resistance (up to 28-fold) were found in most of the rice fields in China after 2004. A field population was collected and periodically selected for buprofezin resistance in the laboratory. After 65 generations (56 were selected), the colony successfully obtained 3599-fold resistance to buprofezin. Synergism tests showed that O,O-diethyl-O-phenyl phosphorothioate (SV1), piperonyl butoxide (PBO) and diethyl maleate (DEM) increased buprofezin toxicity in the resistant strain by only 1.5-1.6 fold, suggesting that esterases, P450-monooxygenases and glutathione S-transferases had no substantial effect on buprofezin resistance development. The results from this study indicate that N. lugens has the potential to develop high resistance to buprofezin. A resistance management program with rotation of buprofezin and other pesticides may efficiently delay or slow down resistance development in the insect. Further investigation is also necessary to understand the resistance mechanisms in N. lugens.

  7. Collateral Resistance and Sensitivity Modulate Evolution of High-Level Resistance to Drug Combination Treatment in Staphylococcus aureus

    DEFF Research Database (Denmark)

    de Evgrafov, Mari Cristina Rodriguez; Gumpert, Heidi; Munck, Christian

    2015-01-01

    As drug-resistant pathogens continue to emerge, combination therapy will increasingly be relied upon to treat infections and to help combat further development of multidrug resistance. At present a dichotomy exists between clinical practice, which favors therapeutically synergistic combinations......, to reflect drug concentrations more likely to be encountered during treatment. We performed a series of adaptive evolution experiments using Staphylococcus aureus. Interestingly, no relationship between drug interaction type and resistance evolution was found as resistance increased significantly beyond wild......-type levels. All drug combinations, irrespective of interaction types, effectively limited resistance evolution compared with monotreatment. Cross-resistance and collateral sensitivity were found to be important factors in the extent of resistance evolution toward a combination. Comparative genomic analyses...

  8. Mapping the resistance-associated mobilome of a carbapenem-resistant Klebsiella pneumoniae strain reveals insights into factors shaping these regions and facilitates generation of a 'resistance-disarmed' model organism.

    Science.gov (United States)

    Bi, Dexi; Jiang, Xiaofei; Sheng, Zi-Ke; Ngmenterebo, David; Tai, Cui; Wang, Minggui; Deng, Zixin; Rajakumar, Kumar; Ou, Hong-Yu

    2015-10-01

    This study aims to investigate the landscape of the mobile genome, with a focus on antibiotic resistance-associated factors in carbapenem-resistant Klebsiella pneumoniae. The mobile genome of the completely sequenced K. pneumoniae HS11286 strain (an ST11, carbapenem-resistant, near-pan-resistant, clinical isolate) was annotated in fine detail. The identified mobile genetic elements were mapped to the genetic contexts of resistance genes. The blaKPC-2 gene and a 26 kb region containing 12 clustered antibiotic resistance genes and one biocide resistance gene were deleted, and the MICs were determined again to ensure that antibiotic resistance had been lost. HS11286 contains six plasmids, 49 ISs, nine transposons, two separate In2-related integron remnants, two integrative and conjugative elements (ICEs) and seven prophages. Sixteen plasmid-borne resistance genes were identified, 14 of which were found to be directly associated with Tn1721-, Tn3-, Tn5393-, In2-, ISCR2- and ISCR3-derived elements. IS26 appears to have actively moulded several of these genetic regions. The deletion of blaKPC-2, followed by the deletion of a 26 kb region containing 12 clustered antibiotic resistance genes, progressively decreased the spectrum and level of resistance exhibited by the resultant mutant strains. This study has reiterated the role of plasmids as bearers of the vast majority of resistance genes in this species and has provided valuable insights into the vital role played by ISs, transposons and integrons in shaping the resistance-coding regions in this important strain. The 'resistance-disarmed' K. pneumoniae ST11 strain generated in this study will offer a more benign and readily genetically modifiable model organism for future extensive functional studies. © The Author 2015. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  9. Increasing Incidence of Linezolid-Intermediate or -Resistant, Vancomycin-Resistant Enterococcus faecium Strains Parallels Increasing Linezolid Consumption▿

    OpenAIRE

    Scheetz, Marc H.; Knechtel, Stephanie A.; Malczynski, Michael; Postelnick, Michael J.; Qi, Chao

    2008-01-01

    Clinical enterococcal resistance to linezolid is defined by the presence of the G2576T mutation. We evaluated the incidence of genetically proven linezolid resistance among vancomycin-resistant Enterococcus faecium strains and linezolid consumption for a possible association. A relationship was found (r2 = 0.73, P = 0.03) and predicts increasing resistance with current trends of linezolid use.

  10. Electromigration early resistance increase measurements

    NARCIS (Netherlands)

    Niehof, J.; Flinn, P.A.; Maloney, T.J.

    1993-01-01

    An early resistance change measurement set-up, using an AC bridge technique, has been developed, and measurements have been performed. Large sample-to-sample variations occur. The characteristic time for the resistance change curve is shorter for resistance increase (under current stress) than for

  11. Analysis of metal and biocides resistance genes in drug resistance and susceptible Salmonella enterica from food animals

    Science.gov (United States)

    Background Generally drug resistant bacteria carry antibiotic resistance genes and heavy metal and biocide resistance genes on large conjugative plasmids. The presence of these metal and biocide resistance genes in susceptible bacteria are not assessed comprehensively. Hence, WGS data of susceptib...

  12. Resistance of green lacewing, Chrysoperla carnea Stephens to nitenpyram: Cross-resistance patterns, mechanism, stability, and realized heritability.

    Science.gov (United States)

    Mansoor, Muhammad Mudassir; Raza, Abu Bakar Muhammad; Abbas, Naeem; Aqueel, Muhammad Anjum; Afzal, Muhammad

    2017-01-01

    The green lacewing, Chrysoperla carnea Stephens (Neuroptera: Chrysopidae) is a major generalist predator employed in integrated pest management (IPM) plans for pest control on many crops. Nitenpyram, a neonicotinoid insecticide has widely been used against the sucking pests of cotton in Pakistan. Therefore, a field green lacewing strain was exposed to nitenpyram for five generations to investigate resistance evolution, cross-resistance pattern, stability, realized heritability, and mechanisms of resistance. Before starting the selection with nitenpyram, a field collected strain showed 22.08-, 23.09-, 484.69- and 602.90-fold resistance to nitenpyram, buprofezin, spinosad and acetamiprid, respectively compared with the Susceptible strain. After continuous selection for five generations (G1-G5) with nitenpyram in the laboratory, the Field strain (Niten-SEL) developed a resistance ratio of 423.95 at G6. The Niten-SEL strain at G6 showed no cross-resistance to buprofezin and acetamiprid and negative cross-resistance to spinosad compared with the Field strain (G1). For resistance stability, the Niten-SEL strain was left unexposed to any insecticide for four generations (G6-G9) and bioassay results at G10 showed that resistance to nitenpyram, buprofezin and spinosad was stable, while resistance to acetamiprid was unstable. The realized heritability values were 0.97, 0.16, 0.03, and -0.16 to nitenpyram, buprofezin, acetamiprid and spinosad, respectively, after five generations of selection. Moreover, the enzyme inhibitors (PBO or DEF) significantly decreased the nitenpyram resistance in the resistant strain, suggesting that resistance was due to microsomal oxidases and esterases. These results are very helpful for integration of green lacewings in IPM programs. Copyright © 2016 Elsevier B.V. All rights reserved.

  13. Antimicrobial Resistance of Hypervirulent Klebsiella pneumoniae: Epidemiology, Hypervirulence-Associated Determinants, and Resistance Mechanisms

    Directory of Open Access Journals (Sweden)

    Chang-Ro Lee

    2017-11-01

    Full Text Available Klebsiella pneumoniae is one of the most clinically relevant species in immunocompromised individuals responsible for community-acquired and nosocomial infections, including pneumonias, urinary tract infections, bacteremias, and liver abscesses. Since the mid-1980s, hypervirulent K. pneumoniae, generally associated with the hypermucoviscosity phenotype, has emerged as a clinically significant pathogen responsible for serious disseminated infections, such as pyogenic liver abscesses, osteomyelitis, and endophthalmitis, in a generally younger and healthier population. Hypervirulent K. pneumoniae infections were primarily found in East Asia and now are increasingly being reported worldwide. Although most hypervirulent K. pneumoniae isolates are antibiotic-susceptible, some isolates with combined virulence and resistance, such as the carbapenem-resistant hypervirulent K. pneumoniae isolates, are increasingly being detected. The combination of multidrug resistance and enhanced virulence has the potential to cause the next clinical crisis. To better understand the basic biology of hypervirulent K. pneumoniae, this review will provide a summarization and discussion focused on epidemiology, hypervirulence-associated factors, and antibiotic resistance mechanisms of such hypervirulent strains. Epidemiological analysis of recent clinical isolates in China warns the global dissemination of hypervirulent K. pneumoniae strains with extensive antibiotic resistance in the near future. Therefore, an immediate response to recognize the global dissemination of this hypervirulent strain with resistance determinants is an urgent priority.

  14. Antibiotic resistance increases with local temperature

    Science.gov (United States)

    MacFadden, Derek R.; McGough, Sarah F.; Fisman, David; Santillana, Mauricio; Brownstein, John S.

    2018-06-01

    Bacteria that cause infections in humans can develop or acquire resistance to antibiotics commonly used against them1,2. Antimicrobial resistance (in bacteria and other microbes) causes significant morbidity worldwide, and some estimates indicate the attributable mortality could reach up to 10 million by 20502-4. Antibiotic resistance in bacteria is believed to develop largely under the selective pressure of antibiotic use; however, other factors may contribute to population level increases in antibiotic resistance1,2. We explored the role of climate (temperature) and additional factors on the distribution of antibiotic resistance across the United States, and here we show that increasing local temperature as well as population density are associated with increasing antibiotic resistance (percent resistant) in common pathogens. We found that an increase in temperature of 10 °C across regions was associated with an increases in antibiotic resistance of 4.2%, 2.2%, and 2.7% for the common pathogens Escherichia coli, Klebsiella pneumoniae and Staphylococcus aureus. The associations between temperature and antibiotic resistance in this ecological study are consistent across most classes of antibiotics and pathogens and may be strengthening over time. These findings suggest that current forecasts of the burden of antibiotic resistance could be significant underestimates in the face of a growing population and climate change4.

  15. Abnormal electrical resistivity in γ-TiAl thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Alford, T.L.; Gadre, K.S.; Kim, H.C.; Deevi, S.C.

    2003-01-01

    Thin films of γ-TiAl are being considered as a potential conductor and/or diffusion barrier for high temperature electronics because of their high melting points and high oxidation resistance. However, it is not possible to form pure γ-TiAl thin films by thermal annealing of Al/Ti bilayers. This study, however, demonstrates the formation of γ-TiAl thin films by dc magnetron sputtering of a compound target. X-ray diffractometry and Rutherford backscattering spectrometry analyses confirm the γ-TiAl phase formation, composition, and thermal stability in vacuum (up to 700 deg. C, 1 h) on SiO 2 . Four-point probe resistivity measurements in vacuum show an initial increase in the resistivity with temperature up to transition temperature for the γ-TiAl thin films. At higher temperatures a decrease in resistivity with additional heating (i.e., negative temperature coefficient of resistivity, TCR) is seen. The values of dρ/dT are typically on the order of -0.32 μΩ cm/ deg. C between 200 and 550 deg. C. At the highest temperature, a minimum value of resistivity of ∼13 μΩ cm is obtained; this value is about one half the value of bulk TiAl at room temperatures. The negative TCR, low resistivity values at high temperatures, and temperature stability are not typically seen in bulk TiAl. This abnormal electrical property is explained using a modified model for a thermally activated polaron-hopping mechanism

  16. Resistance to dual-gene Bt maize in Spodoptera frugiperda: selection, inheritance, and cross-resistance to other transgenic events.

    Science.gov (United States)

    Santos-Amaya, Oscar F; Rodrigues, João V C; Souza, Thadeu C; Tavares, Clébson S; Campos, Silverio O; Guedes, Raul N C; Pereira, Eliseu J G

    2015-12-17

    Transgenic crop "pyramids" producing two or more Bacillus thuringiensis (Bt) toxins active against the same pest are used to delay evolution of resistance in insect pest populations. Laboratory and greenhouse experiments were performed with fall armyworm, Spodoptera frugiperda, to characterize resistance to Bt maize producing Cry1A.105 and Cry2Ab and test some assumptions of the "pyramid" resistance management strategy. Selection of a field-derived strain of S. frugiperda already resistant to Cry1F maize with Cry1A.105 + Cry2Ab maize for ten generations produced resistance that allowed the larvae to colonize and complete the life cycle on these Bt maize plants. Greenhouse experiments revealed that the resistance was completely recessive (Dx = 0), incomplete, autosomal, and without maternal effects or cross-resistance to the Vip3Aa20 toxin produced in other Bt maize events. This profile of resistance supports some of the assumptions of the pyramid strategy for resistance management. However, laboratory experiments with purified Bt toxin and plant leaf tissue showed that resistance to Cry1A.105 + Cry2Ab2 maize further increased resistance to Cry1Fa, which indicates that populations of fall armyworm have high potential for developing resistance to some currently available pyramided maize used against this pest, especially where resistance to Cry1Fa was reported in the field.

  17. Transposon characterization of vancomycin-resistant Enterococcus faecium (VREF) and dissemination of resistance associated with transferable plasmids

    DEFF Research Database (Denmark)

    Migura, Lourdes Garcia; Liebana, Ernesto; Jensen, Lars Bogø

    2007-01-01

    Objectives: VanA glycopeptide resistance has persisted on broiler farms in the UK despite the absence of the antimicrobial selective pressure, avoparcin. This study aimed to investigate the contribution of horizontal gene transfer of Tn 1546 versus clonal spread in the dissemination of the resist......Objectives: VanA glycopeptide resistance has persisted on broiler farms in the UK despite the absence of the antimicrobial selective pressure, avoparcin. This study aimed to investigate the contribution of horizontal gene transfer of Tn 1546 versus clonal spread in the dissemination...... plasmid replicons, associated with antimicrobial resistance on several unrelated farms. Conclusions: Horizontal transfer of vancomycin resistance may play a more important role in the persistence of antimicrobial resistance than clonal spread. The presence of different plasmid replicons, associated...... with antimicrobial resistance on several unrelated farms, illustrates the ability of these enterococci to acquire and disseminate mobile genetic elements within integrated livestock systems....

  18. Production of low-affinity penicillin-binding protein by low- and high-resistance groups of methicillin-resistant Staphylococcus aureus.

    Science.gov (United States)

    Murakami, K; Nomura, K; Doi, M; Yoshida, T

    1987-01-01

    Methicillin- and cephem-resistant Staphylococcus aureus (137 strains) for which the cefazolin MICs are at least 25 micrograms/ml could be classified into low-resistance (83% of strains) and high-resistance (the remaining 17%) groups by the MIC of flomoxef (6315-S), a 1-oxacephalosporin. The MICs were less than 6.3 micrograms/ml and more than 12.5 micrograms/ml in the low- and high-resistance groups, respectively. All strains produced penicillin-binding protein 2' (PBP 2'), which has been associated with methicillin resistance and which has very low affinity for beta-lactam antibiotics. Production of PBP 2' was regulated differently in low- and high-resistance strains. With penicillinase-producing strains of the low-resistance group, cefazolin, cefamandole, and cefmetazole induced PBP 2' production about 5-fold, while flomoxef induced production 2.4-fold or less. In contrast, penicillinase-negative variants of low-resistance strains produced PBP 2' constitutively in large amounts and induction did not occur. With high-resistance strains, flomoxef induced PBP 2' to an extent similar to that of cefazolin in both penicillinase-producing and -negative strains, except for one strain in which the induction did not occur. The amount of PBP 2' induced by beta-lactam antibiotics in penicillinase-producing strains of the low-resistance group correlated well with resistance to each antibiotic. Large amounts of PBP 2' in penicillinase-negative variants of the low-resistance group did not raise the MICs of beta-lactam compounds, although these strains were more resistant when challenged with flomoxef for 2 h. Different regulation of PBP 2' production was demonstrated in the high- and low-resistance groups, and factor(s) other than PBP 2' were suggested to be involved in the methicillin resistance of high-resistance strains. Images PMID:3499861

  19. Resistance to Barley Leaf Stripe

    DEFF Research Database (Denmark)

    Nørgaard Knudsen, J. C.

    1986-01-01

    in well adapted Northwest European spring cultivars. Virulence matching two hitherto not overcome resistances was demonstrated. Differences in apparent race nonspecific or partial resistance were also present, changing the percentage of infected plants of susceptible genotypes from about 20 to 44 per cent.......Ten barley [Hordeum vulgare] genotypes were inoculated with twelve isolates of Pyrenophora graminea of diverse European and North African origin. Race specific resistance occurred. Four, possibly five, genetically different sources of race-specific resistance were found, three of them occurring...

  20. Antimicrobial resistance: the major contribution of poor governance and corruption to this growing problem.

    Science.gov (United States)

    Collignon, Peter; Athukorala, Prema-Chandra; Senanayake, Sanjaya; Khan, Fahad

    2015-01-01

    To determine how important governmental, social, and economic factors are in driving antibiotic resistance compared to the factors usually considered the main driving factors-antibiotic usage and levels of economic development. A retrospective multivariate analysis of the variation of antibiotic resistance in Europe in terms of human antibiotic usage, private health care expenditure, tertiary education, the level of economic advancement (per capita GDP), and quality of governance (corruption). The model was estimated using a panel data set involving 7 common human bloodstream isolates and covering 28 European countries for the period 1998-2010. Only 28% of the total variation in antibiotic resistance among countries is attributable to variation in antibiotic usage. If time effects are included the explanatory power increases to 33%. However when the control of corruption indicator is included as an additional variable, 63% of the total variation in antibiotic resistance is now explained by the regression. The complete multivariate regression only accomplishes an additional 7% in terms of goodness of fit, indicating that corruption is the main socioeconomic factor that explains antibiotic resistance. The income level of a country appeared to have no effect on resistance rates in the multivariate analysis. The estimated impact of corruption was statistically significant (pcorruption indicator is associated with a reduction in antibiotic resistance by approximately 0.7 units. The estimated coefficient of private health expenditure showed that one unit reduction is associated with a 0.2 unit decrease in antibiotic resistance. These findings support the hypothesis that poor governance and corruption contributes to levels of antibiotic resistance and correlate better than antibiotic usage volumes with resistance rates. We conclude that addressing corruption and improving governance will lead to a reduction in antibiotic resistance.

  1. The correlation of the results of capacitance mapping and of sheet resistance mapping in semi-insulating 6H-SiC

    International Nuclear Information System (INIS)

    Lin Shenghuang; Chen Zhiming; Liang Peng; Jiang Dong; Xie Huajie; Yang Ying

    2010-01-01

    A combination of complex surface capacitance mapping and sheet resistance mapping is applied to establish the origin of resistance variations on semi-insulating (SI) 6H-SiC substrates. The direct correlation between the capacitance quadrature and the sheet resistance is found in vanadium-doped SI samples. Regions with low capacitance quadrature show high sheet resistance. This indicates, associated with the nonhomogeneity of sheet resistance on the substrate, that the quality of crystallization is not good enough, which also leads to resistivity nonhomogeneity when comparing with different types of deep defects. According to the capacitance mapping, the region with bad crystallization quality has a high radio absorption coefficient. Another correlation is established between the capacitance in-phase and sheet resistance for the vanadium-doped sample. In this sample, the capacitance in-phase map shows not only the surface topography, but also the same distribution trend as the sheet resistance, namely, regions of high capacitance in-phase reveal high sheet resistance.

  2. A study of dynamic resistance during small scale resistance spot welding of thin Ni sheets

    International Nuclear Information System (INIS)

    Tan, W; Zhou, Y; Kerr, H W; Lawson, S

    2004-01-01

    The dynamic resistance has been investigated during small scale resistance spot welding (SSRSW) of Ni sheets. Electrical measurements have been correlated with scanning electron microscope images of joint development. The results show that the dynamic resistance curve can be divided into the following stages based on physical change in the workpieces: asperity heating, surface breakdown, asperity softening, partial surface melting, nugget growth and expulsion. These results are also compared and contrasted with dynamic resistance behaviour in large scale RSW

  3. Overview of glyphosate-resistant weeds worldwide.

    Science.gov (United States)

    Heap, Ian; Duke, Stephen O

    2018-05-01

    Glyphosate is the most widely used and successful herbicide discovered to date, but its utility is now threatened by the occurrence of several glyphosate-resistant weed species. Glyphosate resistance first appeared in Lolium rigidum in an apple orchard in Australia in 1996, ironically the year that the first glyphosate-resistant crop (soybean) was introduced in the USA. Thirty-eight weed species have now evolved resistance to glyphosate, distributed across 37 countries and in 34 different crops and six non-crop situations. Although glyphosate-resistant weeds have been identified in orchards, vineyards, plantations, cereals, fallow and non-crop situations, it is the glyphosate-resistant weeds in glyphosate-resistant crop systems that dominate the area infested and growing economic impact. Glyphosate-resistant weeds present the greatest threat to sustained weed control in major agronomic crops because this herbicide is used to control weeds with resistance to herbicides with other sites of action, and no new herbicide sites of action have been introduced for over 30 years. Industry has responded by developing herbicide resistance traits in major crops that allow existing herbicides to be used in a new way. However, over reliance on these traits will result in multiple-resistance in weeds. Weed control in major crops is at a precarious point, where we must maintain the utility of the herbicides we have until we can transition to new weed management technologies. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  4. Antibiotic resistance of lactic acid bacteria

    Directory of Open Access Journals (Sweden)

    Bulajić Snežana

    2008-01-01

    Full Text Available Knowledge on the antibiotic resistance of lactic acid bacteria is still limited, possibly because of the large numbers of genera and species encountered in this group, as well as variances in their resistance spectra. The EFSA considers antibiotic resistances, especially transferable resistances, an important decision criterion for determining a strain's QPS status. There are no approved standards for the phenotypic or genotypic evaluation of antibiotic resistances in food isolates. Also, the choice of media is problematic, as well as the specification of MIC breakpoint values as a result of the large species variation and the possible resulting variation in MIC values between species and genera. The current investigations in this field showed that we might end up with a range of different species- or genus-specific breakpoint values that may further increase the current complexity. Another problem associated with safety determinations of starter strains is that once a resistance phenotype and an associated resistance determinant have been identified, it becomes difficult to show that this determinant is not transferable, especially if the resistance gene is not located on a plasmid and no standard protocols for showing genetic transfer are available. Encountering those problems, the QPS system should allow leeway for the interpretations of results, especially when these relate to the methodology for resistance phenotype determinations, determinations of MIC breakpoints for certain genera, species, or strains, the nondeterminability of a genetic basis of a resistance phenotype and the transferability of resistance genes.

  5. Analytical approximate equations for the resistivity and its temperature coefficient in thin polycrystalline metallic films

    International Nuclear Information System (INIS)

    Tellier, C.R.; Tosser, A.J.

    1977-01-01

    In the usual thickness range of sputtered metallic films, analytical linearized approximate expressions of polycrystalline film resistivity and its t.c.r. are deduced from the Mayadas-Shatzkes theoretical equations. A good experimental fit is observed for Al rf sputtered metal films. (orig.) [de

  6. Antiretroviral Resistance in HIV/AIDS Patients

    Science.gov (United States)

    Manosuthi, W.; MD

    2018-03-01

    The higher prevalence of HIV drug resistance was observed in areas with greater ART coverage. The HIV resistance-associated mutations occur when people have inadequate levels of antiretroviral drugs as well as inadequate potency, inadequate adherence, and preexisting resistance. The degree to drug cross-resistance is observed depends on the specific mutations and number of mutation accumulation. In the Southeast Asia region, the challenging of people with treatment failure is the availability and accessibility to subsequent new antiretroviral drugs to construct he second and salvage regimen. Genotypic resistance testing is a useful tool because it can identify the existing drug resistance-associated mutations under the selective drug pressure. Thus, understanding the basic interpretation of HIV drug resistance- associated mutation is useful in guiding clinical decisions for treatment-experienced people living with HIV.

  7. Resistivity and Hall voltage in gold thin films deposited on mica at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Bahamondes, Sebastián; Donoso, Sebastián; Ibañez-Landeta, Antonio; Flores, Marcos [Departamento de Física, Facultad de Ciencias Físicas y Matemáticas, Universidad de Chile, Av. Blanco Encalada 2008, Santiago (Chile); Henriquez, Ricardo, E-mail: ricardo.henriquez@usm.cl [Departamento de Física, Universidad Técnica Federico Santa María, Av. España 1680, Valparaiso 2390123 (Chile)

    2015-03-30

    Highlights: • We determined the 4 K thickness dependence of resistivity for a family of gold thin films. • We determined the thickness dependence of resistivity during the growth process. • Both behaviors are well represented by the Mayadas–Shatzkes theory. • We determined Hall tangent and Hall resistance at 4 K and up to 4.5 T. • Hall mobility is always higher than the drift mobility. - Abstract: We report the thickness dependence of the resistivity measured at 4 K of gold films grown onto mica at room temperature (RT), for thickness ranging from 8 to 100 nm. This dependence was compared to the one obtained for a sample during its growth process at RT. Both behaviors are well represented by the Mayadas–Shatzkes theory. Using this model, we found comparable contributions of electron surface and electron grain boundary scattering to the resistivity at 4 K. Hall effect measurements were performed using a variable transverse magnetic field up to 4.5 T. Hall tangent and Hall resistance exhibit a linear dependence on the magnetic field. For this magnetic field range, the Hall mobility is always larger than the drift mobility. This result is explained through the presence of the above-mentioned scattering mechanisms acting on the galvanomagnetic coefficients. In addition, we report the temperature dependence of the resistivity between 4 and 70 K.

  8. Breast cancer resistance protein is localized at the plasma membrane in mitoxantrone- and topotecan-resistant cell lines

    NARCIS (Netherlands)

    Scheffer, GL; Maliepaard, M; Pijnenborg, ACLM; van Gastelen, MA; Schroeijers, AB; Allen, JD; Ross, DD; van der Valk, P; Dalton, WS; Schellens, JHM; Scheper, RJ; de Jong, MC

    2000-01-01

    Tumor cells may display a multidrug resistant phenotype by overexpression of ATP-binding cassette transporters such as multidrug resistance (,MDR1) P-glycoprotein, multidrug resistance protein 1 (MRP1), and breast cancer resistance protein (BCRP). The presence of BCRP has thus far been reported

  9. Mutation of environmental mycobacteria to resist silver nanoparticles also confers resistance to a common antibiotic.

    Science.gov (United States)

    Larimer, Curtis; Islam, Mohammad Shyful; Ojha, Anil; Nettleship, Ian

    2014-08-01

    Non-tuberculous mycobacteria are a threat to human health, gaining entry to the body through contaminated water systems, where they form persistent biofilms despite extensive attempts at disinfection. Silver is a natural antibacterial agent and in nanoparticle form activity is increased by a high surface area. Silver nanoparticles (AgNPs) have been used as alternative disinfectants in circulating water systems, washing machines and even clothing. However, nanoparticles, like any other antibiotic that has a pervasive durable presence, carry the risk of creating a resistant population. In this study Mycobacterium smegmatis strain mc(2)155 was cultured in AgNP enriched agar such that only a small population survived. Surviving cultures were isolated and re-exposed to AgNPs and AgNO3 and resistance to silver was compared to a negative control. After only a single exposure, mutant M. smegmatis populations were resistant to AgNPs and AgNO3. Further, the silver resistant mutants were exposed to antibiotics to determine if general resistance had been conferred. The minimum inhibitory concentration of isoniazid was four times higher for silver resistant mutants than for strain mc(2)155. However, core resistance was not conferred to other toxic metal ions. The mutants had lower resistance to CuSO4 and ZnSO4 than the mc(2)155 strain.

  10. Paediatrics, insulin resistance and the kidney.

    Science.gov (United States)

    Marlais, Matko; Coward, Richard J

    2015-08-01

    Systemic insulin resistance is becoming more prevalent in the young due to modern lifestyles predisposing to the metabolic syndrome and obesity. There is also evidence that there are critical insulin-resistant phases for the developing child, including puberty, and that renal disease per se causes systemic insulin resistance. This review considers the factors that render children insulin resistant, as well as the accumulating evidence that the kidney is an insulin-responsive organ and could be affected by insulin resistance.

  11. Study of multidrug resistance and radioresistance

    International Nuclear Information System (INIS)

    Kang, Yoon Koo; Yoo, Young Do

    1999-04-01

    We investigated the mechanism of 5-FU, adriamycin, radiation resistance in Korean gastric cancer cells. First we investigated the relation between Rb and multidrug resistance. Rb stable transfectants exhibited 5- to 10- fold more resistance to adriamycin than the control cells. These Rb transfectants showed increased MDR1 expression. We also investigated up-regulation in radiation-resistant tumor tissues. HSP27, MRP-8, GST, and NKEF-B were up-regulated in radiation resistant tumor. Expression of NKEF-B was also increased by radiation exposure in Head and Neck cells. These results demonstrated that NKEF-B is a stress response protein and it may have an important role in radiation resistance

  12. Analysis and modeling of resistive switching mechanism oriented to fault tolerance of resistive memory based on memristor

    International Nuclear Information System (INIS)

    Huang Da; Wu Jun-Jie; Tang Yu-Hua

    2014-01-01

    With the progress of the semiconductor industry, resistive memories, especially the memristor, have drawn increasing attention. The resistive memory based on memrsitor has not been commercialized mainly because of data error. Currently, there are more studies focused on fault tolerance of resistive memory. This paper studies the resistive switching mechanism which may have time-varying characteristics. Resistive switching mechanism is analyzed and its respective circuit model is established based on the memristor Spice model

  13. SCC mec typing and antimicrobial resistance of methicillin-resistant Staphylococcus aureus (MRSA) from pigs of Northeast India.

    Science.gov (United States)

    Rajkhowa, S; Sarma, D K; Pegu, S R

    2016-12-01

    Staphylococcus aureus is one of the most important pathogens of both humans and animal. Methicillin-resistant Staphylococcus aureus (MRSA) is an important human pathogen that causes serious infections both in hospitals and communities due to its multidrug resistance tendency. This study was undertaken to characterize the MRSA isolates from pigs and to determine the antimicrobial resistance of these isolates. Forty nine MRSA strains (one strain per positive pig) isolated from pigs of Northeast India were characterized by SCCmec typing and antimicrobial resistance. The overall prevalence of MRSA was 7.02 % with the highest prevalence recorded in pigs aged 1-3 months (P = 0.001) and in nasal samples (P = 0.005). Two SCC mec types (type III and V) were found in Indian pigs with predominance of type V. All isolates were resistant to penicillin. Seventeen resistance groups were observed where 87.75 % isolates showed multidrug resistance (showed resistance to three or more classes of antimicrobials). The most predominant resistance pattern observed was Oxytetracycline + Penicillin + Sulfadiazine + Tetracycline accounting 12.24 % of the isolates. The present study contributes to the understanding of characteristics and antimicrobial resistance of porcine MRSA isolates which in turn will help in devising strategy for the control of this pathogen. Findings of the study also throw light on multidrug resistance MRSA and emphasize the need for judicious use of antimicrobials in animal practice.

  14. Characterization of Phenacoccus solenopsis (Tinsley) (Homoptera: Pseudococcidae) Resistance to Emamectin Benzoate: Cross-Resistance Patterns and Fitness Cost Analysis.

    Science.gov (United States)

    Afzal, M B S; Shad, S A

    2016-06-01

    Cotton mealybug Phenacoccus solenopsis (Tinsley) (Homoptera: Pseudococcidae) is a sucking pest of worldwide importance causing huge losses by feeding upon cotton in various parts of the world. Because of the importance of this pest, this research was carried out to select emamectin resistance in P. solenopsis in the laboratory to study cross-resistance, stability, realized heritability, and fitness cost of emamectin resistance. After selection from third generation (G3) to G6, P. solenopsis developed very high emamectin resistance (159.24-fold) when compared to a susceptible unselected population (Unsel pop). Population selected to emamectin benzoate conferred moderate (45.81-fold), low (14.06-fold), and no cross-resistance with abamectin, cypermethrin, and profenofos, respectively compared to the Unsel pop. A significant decline in emamectin resistance was observed in the resistant population when not exposed to emamectin from G7 to G13. The estimated realized heritability (h (2)) for emamectin resistance was 0.84. A high fitness cost was associated with emamectin resistance in P. solenopsis. Results of this study may be helpful in devising insecticide resistance management strategies for P. solenopsis.

  15. Insulin Resistance and Prediabetes

    Science.gov (United States)

    ... Your Baby is Born Monogenic Diabetes Insulin Resistance & Prediabetes Insulin resistance and prediabetes occur when your body ... will stay in the healthy range. What is prediabetes? Prediabetes means your blood glucose levels are higher ...

  16. Flow resistance in rod assemblies

    International Nuclear Information System (INIS)

    Korsun, A.S.; Sokolova, M.S.

    2000-01-01

    The general form of relation between the resistance force and the velocity vector, resistance tensor structure and possible types of anisotropy in the flow thorough such structures as rod or tube assemblies are under discussion. Some questions of experimental determination of volumetric resistance force tensor are also under consideration. (author)

  17. Studying Resistance: Some Cautionary Notes

    Science.gov (United States)

    Dimitriadis, Greg

    2011-01-01

    The question of "resistance" has oriented the field of critical ethnography for several generations now. Indeed, the reproduction-resistance binary has animated much of the most important, critical work in educational studies over the last 30 years. Yet, this reproduction-resistance binary has perhaps calcified in recent years. Such work…

  18. Heat resistant protective hand covering

    Science.gov (United States)

    Tschirch, R. P.; Sidman, K. R.; Arons, I. J. (Inventor)

    1984-01-01

    A heat-resistant aromatic polyamide fiber is described. The outer surface of the shell is coated with a fire-resistant elastomer and liner. Generally conforming and secured to the shell and disposed inwardly of the shell, the liner is made of a felt fabric of temperature-resistant aromatic polymide fiber.

  19. Voltage-gated sodium channel polymorphism and metabolic resistance in pyrethroid-resistant Aedes aegypti from Brazil.

    Science.gov (United States)

    Martins, Ademir Jesus; Lins, Rachel Mazzei Moura de Andrade; Linss, Jutta Gerlinde Birgitt; Peixoto, Alexandre Afranio; Valle, Denise

    2009-07-01

    The nature of pyrethroid resistance in Aedes aegypti Brazilian populations was investigated. Quantification of enzymes related to metabolic resistance in two distinct populations, located in the Northeast and Southeast regions, revealed increases in Glutathione-S-transferase (GST) and Esterase levels. Additionally, polymorphism was found in the IIS6 region of Ae. aegypti voltage-gated sodium channel (AaNa(V)), the pyrethroid target site. Sequences were classified in two haplotype groups, A and B, according to the size of the intron in that region. Rockefeller, a susceptible control lineage, contains only B sequences. In field populations, some A sequences present a substitution in the 1011 site (Ile/Met). When resistant and susceptible individuals were compared, the frequency of both A (with the Met mutation) and B sequences were slightly increased in resistant specimens. The involvement of the AaNa(V) polymorphism in pyrethroid resistance and the metabolic mechanisms that lead to potential cross-resistance between organophosphate and pyrethroids are discussed.

  20. Genetic makeup of amantadine-resistant and oseltamivir-resistant human influenza A/H1N1 viruses.

    Science.gov (United States)

    Zaraket, Hassan; Saito, Reiko; Suzuki, Yasushi; Baranovich, Tatiana; Dapat, Clyde; Caperig-Dapat, Isolde; Suzuki, Hiroshi

    2010-04-01

    The emergence and widespread occurrence of antiviral drug-resistant seasonal human influenza A viruses, especially oseltamivir-resistant A/H1N1 virus, are major concerns. To understand the genetic background of antiviral drug-resistant A/H1N1 viruses, we performed full genome sequencing of prepandemic A/H1N1 strains. Seasonal influenza A/H1N1 viruses, including antiviral-susceptible viruses, amantadine-resistant viruses, and oseltamivir-resistant viruses, obtained from several areas in Japan during the 2007-2008 and 2008-2009 influenza seasons were analyzed. Sequencing of the full genomes of these viruses was performed, and the phylogenetic relationships among the sequences of each individual genome segment were inferred. Reference genome sequences from the Influenza Virus Resource database were included to determine the closest ancestor for each segment. Phylogenetic analysis revealed that the oseltamivir-resistant strain evolved from a reassortant oseltamivir-susceptible strain (clade 2B) which circulated in the 2007-2008 season by acquiring the H275Y resistance-conferring mutation in the NA gene. The oseltamivir-resistant lineage (corresponding to the Northern European resistant lineage) represented 100% of the H1N1 isolates from the 2008-2009 season and further acquired at least one mutation in each of the polymerase basic protein 2 (PB2), polymerase basic protein 1 (PB1), hemagglutinin (HA), and neuraminidase (NA) genes. Therefore, a reassortment event involving two distinct oseltamivir-susceptible lineages, followed by the H275Y substitution in the NA gene and other mutations elsewhere in the genome, contributed to the emergence of the oseltamivir-resistant lineage. In contrast, amantadine-resistant viruses from the 2007-2008 season distinctly clustered in clade 2C and were characterized by extensive amino acid substitutions across their genomes, suggesting that a fitness gap among its genetic components might have driven these mutations to maintain it in the

  1. Adaptive and Mutational Resistance: Role of Porins and Efflux Pumps in Drug Resistance

    Science.gov (United States)

    Fernández, Lucía

    2012-01-01

    Summary: The substantial use of antibiotics in the clinic, combined with a dearth of new antibiotic classes, has led to a gradual increase in the resistance of bacterial pathogens to these compounds. Among the various mechanisms by which bacteria endure the action of antibiotics, those affecting influx and efflux are of particular importance, as they limit the interaction of the drug with its intracellular targets and, consequently, its deleterious effects on the cell. This review evaluates the impact of porins and efflux pumps on two major types of resistance, namely, mutational and adaptive types of resistance, both of which are regarded as key phenomena in the global rise of antibiotic resistance among pathogenic microorganisms. In particular, we explain how adaptive and mutational events can dramatically influence the outcome of antibiotic therapy by altering the mechanisms of influx and efflux of antibiotics. The identification of porins and pumps as major resistance markers has opened new possibilities for the development of novel therapeutic strategies directed specifically against these mechanisms. PMID:23034325

  2. Drug Resistance versus Spiritual Resistance: A Comparative Analysis from the Perspective of Spiritual Health

    Directory of Open Access Journals (Sweden)

    Mohammad Baqer Mohammadi Laini

    2014-12-01

    Full Text Available Background and Objectives: Taking into account a few principles concerning human being, it becomes plausible that the human spirit would also have a similar reaction to spiritual “medicine” provided to it. In order to better understand how this is possible, we must consider the means by which the human spirit becomes resistant to spiritual remedies and compare them with the resistance developed by the body against physical drugs. As such, this research aimed at creating a comparative analysis between the elements that cause the human spirit to become resistant against spiritual remedies in comparison to the body’s resistance against physical treatments (e.g. drugs and other physical treatment. Methods: The research at hand highlights the conclusions of an overall study of the Holy Quran, books of Islamic narration, and extensive Internet research concerning this subject. With these resources, the various aspects of the spirit’s resistance against spiritual remedies were discussed in detail. Results: According to Holy Quran and Islamic narrations: Based on the expectations which God has of man, his heart (i.e. spirit has the potential to fall under one of two categories – positive or negative. An afflicted heart may at times, like an afflicted body, become resistant against a remedy designed to cure it. In both cases of physical or metaphysical resistance, the underlying element that causes this resistance as well as the symptoms which accompany it are similar to one another. Having considered the teachings found in religious texts, this research discovered the underlying causes of spiritual resistance, and outlined some solutions which can prevent this issue from arising in the first place. Conclusion: Based on the standards of health and spiritual wellbeing as outlined in Holy Quran, it is said that some hearts are unhealthy and require treatment and healing. In Holy Quran, there is also no doubt in it, guidance to the God wary

  3. In Silico Assigned Resistance Genes Confer Bifidobacterium with Partial Resistance to Aminoglycosides but Not to Β-Lactams

    Science.gov (United States)

    Fouhy, Fiona; O’Connell Motherway, Mary; Fitzgerald, Gerald F.; Ross, R. Paul; Stanton, Catherine; van Sinderen, Douwe; Cotter, Paul D.

    2013-01-01

    Bifidobacteria have received significant attention due to their contribution to human gut health and the use of specific strains as probiotics. It is thus not surprising that there has also been significant interest with respect to their antibiotic resistance profile. Numerous culture-based studies have demonstrated that bifidobacteria are resistant to the majority of aminoglycosides, but are sensitive to β-lactams. However, limited research exists with respect to the genetic basis for the resistance of bifidobacteria to aminoglycosides. Here we performed an in-depth in silico analysis of putative Bifidobacterium-encoded aminoglycoside resistance proteins and β-lactamases and assess the contribution of these proteins to antibiotic resistance. The in silico-based screen detected putative aminoglycoside and β-lactam resistance proteins across the Bifidobacterium genus. Laboratory-based investigations of a number of representative bifidobacteria strains confirmed that despite containing putative β-lactamases, these strains were sensitive to β-lactams. In contrast, all strains were resistant to the aminoglycosides tested. To assess the contribution of genes encoding putative aminoglycoside resistance proteins in Bifidobacterium sp. two genes, namely Bbr_0651 and Bbr_1586, were targeted for insertional inactivation in B. breve UCC2003. As compared to the wild-type, the UCC2003 insertion mutant strains exhibited decreased resistance to gentamycin, kanamycin and streptomycin. This study highlights the associated risks of relying on the in silico assignment of gene function. Although several putative β-lactam resistance proteins are located in bifidobacteria, their presence does not coincide with resistance to these antibiotics. In contrast however, this approach has resulted in the identification of two loci that contribute to the aminoglycoside resistance of B. breve UCC2003 and, potentially, many other bifidobacteria. PMID:24324818

  4. In silico assigned resistance genes confer Bifidobacterium with partial resistance to aminoglycosides but not to β-lactams.

    Directory of Open Access Journals (Sweden)

    Fiona Fouhy

    Full Text Available Bifidobacteria have received significant attention due to their contribution to human gut health and the use of specific strains as probiotics. It is thus not surprising that there has also been significant interest with respect to their antibiotic resistance profile. Numerous culture-based studies have demonstrated that bifidobacteria are resistant to the majority of aminoglycosides, but are sensitive to β-lactams. However, limited research exists with respect to the genetic basis for the resistance of bifidobacteria to aminoglycosides. Here we performed an in-depth in silico analysis of putative Bifidobacterium-encoded aminoglycoside resistance proteins and β-lactamases and assess the contribution of these proteins to antibiotic resistance. The in silico-based screen detected putative aminoglycoside and β-lactam resistance proteins across the Bifidobacterium genus. Laboratory-based investigations of a number of representative bifidobacteria strains confirmed that despite containing putative β-lactamases, these strains were sensitive to β-lactams. In contrast, all strains were resistant to the aminoglycosides tested. To assess the contribution of genes encoding putative aminoglycoside resistance proteins in Bifidobacterium sp. two genes, namely Bbr_0651 and Bbr_1586, were targeted for insertional inactivation in B. breve UCC2003. As compared to the wild-type, the UCC2003 insertion mutant strains exhibited decreased resistance to gentamycin, kanamycin and streptomycin. This study highlights the associated risks of relying on the in silico assignment of gene function. Although several putative β-lactam resistance proteins are located in bifidobacteria, their presence does not coincide with resistance to these antibiotics. In contrast however, this approach has resulted in the identification of two loci that contribute to the aminoglycoside resistance of B. breve UCC2003 and, potentially, many other bifidobacteria.

  5. Friction coefficient determination by electrical resistance measurements

    Science.gov (United States)

    Tunyagi, A.; Kandrai, K.; Fülöp, Z.; Kapusi, Z.; Simon, A.

    2018-05-01

    A simple and low-cost, DIY-type, Arduino-driven experiment is presented for the study of friction and measurement of the friction coefficient, using a conductive rubber cord as a force sensor. It is proposed for high-school or college/university-level students. We strongly believe that it is worthwhile planning, designing and performing Arduino and compatible sensor-based experiments in physics class in order to ensure a better understanding of phenomena, develop theoretical knowledge and multiple experimental skills.

  6. Antibiotics and the resistant microbiome

    DEFF Research Database (Denmark)

    Sommer, Morten; Dantas, Gautam

    2011-01-01

    . Less appreciated are the concomitant changes in the human microbiome in response to these assaults and their contribution to clinical resistance problems. Studies have shown that pervasive changes to the human microbiota result from antibiotic treatment and that resistant strains can persist for years....... Additionally, culture-independent functional characterization of the resistance genes from the microbiome has demonstrated a close evolutionary relationship between resistance genes in the microbiome and in pathogens. Application of these techniques and novel cultivation methods are expected to significantly...... expand our understanding of the interplay between antibiotics and the microbiome....

  7. Rhythms of Resistance and Existence

    DEFF Research Database (Denmark)

    Chaudhary, Nandita; Hviid, Pernille; Marsico, Giuseppina

    2017-01-01

    This book is about resistance in everyday life, illustrated through empirical contexts from different parts of the world. Resistance is a widespread phenomenon in biological, social and psychological domains of human cultural development. Yet, it is not well articulated in the academic literature...... occurrence, the focus here is on everyday resistance as an intentional process where new meaning constructions emerge in thinking, feeling, acting or simply living with others. Resistance is thus conceived as a meaning-making activity that operates at the intersection of personal and collective systems...

  8. CONFERENCE REPORT ANTIRETROVIRAL RESISTANCE

    African Journals Online (AJOL)

    2004-08-02

    Aug 2, 2004 ... development of new agents with potential clinical utility for treating resistant ... data on the emergence of resistance among Thai women given zidovudine ... had achieved full virological suppression (viral load. < 50 copies/ml).

  9. Identification of antimicrobial resistance genes in multidrug-resistant clinical Bacteroides fragilis isolates by whole genome shotgun sequencing

    DEFF Research Database (Denmark)

    Sydenham, Thomas Vognbjerg; Sóki, József; Hasman, Henrik

    2015-01-01

    Bacteroides fragilis constitutes the most frequent anaerobic bacterium causing bacteremia in humans. The genetic background for antimicrobial resistance in B. fragilis is diverse with some genes requiring insertion sequence (IS) elements inserted upstream for increased expression. To evaluate whole...... genome shotgun sequencing as a method for predicting antimicrobial resistance properties, one meropenem resistant and five multidrug-resistant blood culture isolates were sequenced and antimicrobial resistance genes and IS elements identified using ResFinder 2.1 (http...

  10. Understanding The Resistance to Health Information Systems

    OpenAIRE

    David Ackah; Angelito E Alvarado; Heru Santoso Wahito Nugroho; Sanglar Polnok; Wiwin Martiningsih

    2017-01-01

    User resistance is users’ opposition to system implementation. Resistance often occurs as a result of a mismatch between management goals and employee preferences. There are two types of resistance to health iformation system namely active resistance and passive resistance. The manifestation of active resistance are being critical,  blaming/accusing, blocking, fault finding, sabotaging, undermining, ridiculing, intimidating/threatening, starting rumors, appealing to fear, manipulating arguing...

  11. Identifying resistance gene analogs associated with resistances to different pathogens in common bean.

    Science.gov (United States)

    López, Camilo E; Acosta, Iván F; Jara, Carlos; Pedraza, Fabio; Gaitán-Solís, Eliana; Gallego, Gerardo; Beebe, Steve; Tohme, Joe

    2003-01-01

    ABSTRACT A polymerase chain reaction approach using degenerate primers that targeted the conserved domains of cloned plant disease resistance genes (R genes) was used to isolate a set of 15 resistance gene analogs (RGAs) from common bean (Phaseolus vulgaris). Eight different classes of RGAs were obtained from nucleotide binding site (NBS)-based primers and seven from not previously described Toll/Interleukin-1 receptor-like (TIR)-based primers. Putative amino acid sequences of RGAs were significantly similar to R genes and contained additional conserved motifs. The NBS-type RGAs were classified in two subgroups according to the expected final residue in the kinase-2 motif. Eleven RGAs were mapped at 19 loci on eight linkage groups of the common bean genetic map constructed at Centro Internacional de Agricultura Tropical. Genetic linkage was shown for eight RGAs with partial resistance to anthracnose, angular leaf spot (ALS) and Bean golden yellow mosaic virus (BGYMV). RGA1 and RGA2 were associated with resistance loci to anthracnose and BGYMV and were part of two clusters of R genes previously described. A new major cluster was detected by RGA7 and explained up to 63.9% of resistance to ALS and has a putative contribution to anthracnose resistance. These results show the usefulness of RGAs as candidate genes to detect and eventually isolate numerous R genes in common bean.

  12. Lack of Cross-Resistance of Imazaquin-Resistant Xanthium strumarium Acetolactate Synthase to Flumetsulam and Chlorimuron.

    Science.gov (United States)

    Schmitzer, P. R.; Eilers, R. J.; Cseke, C.

    1993-09-01

    Acetolactate synthase (ALS) was isolated from a field population of cocklebur (Xanthium strumarium) that developed resistance to the herbicide Scepter following three consecutive years of application. The active ingredient of Scepter, imazaquin, gave an inhibitor concentration required to produce 50% inhibition of the enzyme activity that was more than 300 times greater for the resistant enzyme than for the wild-type cocklebur ALS. Tests with flumetsulam and chlorimuron show that the resistant ALS was not cross-resistant to these two other classes of ALS inhibitors.

  13. Antibiotic resistance in Burkholderia species.

    Science.gov (United States)

    Rhodes, Katherine A; Schweizer, Herbert P

    2016-09-01

    The genus Burkholderia comprises metabolically diverse and adaptable Gram-negative bacteria, which thrive in often adversarial environments. A few members of the genus are prominent opportunistic pathogens. These include Burkholderia mallei and Burkholderia pseudomallei of the B. pseudomallei complex, which cause glanders and melioidosis, respectively. Burkholderia cenocepacia, Burkholderia multivorans, and Burkholderia vietnamiensis belong to the Burkholderia cepacia complex and affect mostly cystic fibrosis patients. Infections caused by these bacteria are difficult to treat because of significant antibiotic resistance. The first line of defense against antimicrobials in Burkholderia species is the outer membrane penetration barrier. Most Burkholderia contain a modified lipopolysaccharide that causes intrinsic polymyxin resistance. Contributing to reduced drug penetration are restrictive porin proteins. Efflux pumps of the resistance nodulation cell division family are major players in Burkholderia multidrug resistance. Third and fourth generation β-lactam antibiotics are seminal for treatment of Burkholderia infections, but therapeutic efficacy is compromised by expression of several β-lactamases and ceftazidime target mutations. Altered DNA gyrase and dihydrofolate reductase targets cause fluoroquinolone and trimethoprim resistance, respectively. Although antibiotic resistance hampers therapy of Burkholderia infections, the characterization of resistance mechanisms lags behind other non-enteric Gram-negative pathogens, especially ESKAPE bacteria such as Acinetobacter baumannii, Klebsiella pneumoniae and Pseudomonas aeruginosa. Copyright © 2016 Elsevier Ltd. All rights reserved.

  14. Parasitic resistive switching uncovered from complementary resistive switching in single active-layer oxide memory device

    Science.gov (United States)

    Zhu, Lisha; Hu, Wei; Gao, Chao; Guo, Yongcai

    2017-12-01

    This paper reports the reversible transition processes between the bipolar and complementary resistive switching (CRS) characteristics on the binary metal-oxide resistive memory devices of Pt/HfO x /TiN and Pt/TaO x /TiN by applying the appropriate bias voltages. More interestingly, by controlling the amplitude of the negative bias, the parasitic resistive switching effect exhibiting repeatable switching behavior is uncovered from the CRS behavior. The electrical observation of the parasitic resistive switching effect can be explained by the controlled size of the conductive filament. This work confirms the transformation and interrelationship among the bipolar, parasitic, and CRS effects, and thus provides new insight into the understanding of the physical mechanism of the binary metal-oxide resistive switching memory devices.

  15. Electrical resistivity of Y(Fe1-x Alx)2 in the spin glass concentration range

    International Nuclear Information System (INIS)

    Cunha, S.F. da; Souza, G.P. de; Takeushi, A.Y.

    1986-01-01

    The temperature dependence of the electrical resistivity of the Y(Fe 1-x Al x ) 2 system (0.125 ≤ x ≤ 0.25) was measured. This system exhibits a minimum at low temperatures for the concentration range where the phase diagram presents a spin glass-ferromagnetic transition. A negative temperature coefficient is observed at high temperatures for x > 0.18 and was attributed to the high value of the electrical resistivity in this concentration range. (Author) [pt

  16. Mid-infrared response of reduced graphene oxide and its high-temperature coefficient of resistance

    Directory of Open Access Journals (Sweden)

    Haifeng Liang

    2014-10-01

    Full Text Available Much effort has been made to study the formation mechanisms of photocurrents in graphene and reduced graphene oxide films under visible and near-infrared light irradiation. A built-in field and photo-thermal electrons have been applied to explain the experiments. However, much less attention has been paid to clarifying the mid-infrared response of reduced graphene oxide films at room temperature. Thus, mid-infrared photoresponse and annealing temperature-dependent resistance experiments were carried out on reduced graphene oxide films. A maximum photocurrent of 75 μA was observed at room temperature, which was dominated by the bolometer effect, where the resistance of the films decreased as the temperature increased after they had absorbed light. The electrons localized in the defect states and the residual oxygen groups were thermally excited into the conduction band, forming a photocurrent. In addition, a temperature increase of 2 °C for the films after light irradiation for 2 minutes was observed using absorption power calculations. This work details a way to use reduced graphene oxide films that contain appropriate defects and residual oxygen groups as bolometer-sensitive materials in the mid-infrared range.

  17. Heat-resistant materials

    CERN Document Server

    1997-01-01

    This handbook covers the complete spectrum of technology dealing with heat-resistant materials, including high-temperature characteristics, effects of processing and microstructure on high-temperature properties, materials selection guidelines for industrial applications, and life-assessment methods. Also included is information on comparative properties that allows the ranking of alloy performance, effects of processing and microstructure on high-temperature properties, high-temperature oxidation and corrosion-resistant coatings for superalloys, and design guidelines for applications involving creep and/or oxidation. Contents: General introduction (high-temperature materials characteristics, and mechanical and corrosion properties, and industrial applications); Properties of Ferrous Heat-Resistant Alloys (carbon, alloy, and stainless steels; alloy cast irons; and high alloy cast steels); Properties of superalloys (metallurgy and processing, mechanical and corrosion properties, degradation, and protective coa...

  18. Risk Factors for Acquisition of Drug Resistance during Multidrug-Resistant Tuberculosis Treatment, Arkhangelsk Oblast, Russia, 2005–2010

    Science.gov (United States)

    Ershova, Julia; Vlasova, Natalia; Nikishova, Elena; Tarasova, Irina; Eliseev, Platon; Maryandyshev, Andrey O.; Shemyakin, Igor G.; Kurbatova, Ekaterina; Cegielski, J. Peter

    2015-01-01

    Acquired resistance to antituberculosis drugs decreases effective treatment options and the likelihood of treatment success. We identified risk factors for acquisition of drug resistance during treatment for multidrug-resistant tuberculosis (MDR TB) and evaluated the effect on treatment outcomes. Data were collected prospectively from adults from Arkhangelsk Oblast, Russia, who had pulmonary MDR TB during 2005–2008. Acquisition of resistance to capreomycin and of extensively drug-resistant TB were more likely among patients who received 3 effective drugs (9.4% vs. 0% and 8.6% vs. 0.8%, respectively). Poor outcomes were more likely among patients with acquired capreomycin resistance (100% vs. 25.9%), acquired ofloxacin resistance (83.6% vs. 22.7%), or acquired extensive drug resistance (100% vs. 24.4%). To prevent acquired drug resistance and poor outcomes, baseline susceptibility to first- and second-line drugs should be determined quickly, and treatment should be adjusted to contain >3 effective drugs. PMID:25988954

  19. High temperature resistant cermet and ceramic compositions. [for thermal resistant insulators and refractory coatings

    Science.gov (United States)

    Phillips, W. M. (Inventor)

    1978-01-01

    High temperature oxidation resistance, high hardness and high abrasion and wear resistance are properties of cermet compositions particularly to provide high temperature resistant refractory coatings on metal substrates, for use as electrical insulation seals for thermionic converters. The compositions comprise a sintered body of particles of a high temperature resistant metal or metal alloy, preferably molybdenum or tungsten particles, dispersed in and bonded to a solid solution formed of aluminum oxide and silicon nitride, and particularly a ternary solid solution formed of a mixture of aluminum oxide, silicon nitride and aluminum nitride. Ceramic compositions comprising a sintered solid solution of aluminum oxide, silicon nitride and aluminum nitride are also described.

  20. Electrical Methods: Resistivity Methods

    Science.gov (United States)

    Surface electrical resistivity surveying is based on the principle that the distribution of electrical potential in the ground around a current-carrying electrode depends on the electrical resistivities and distribution of the surrounding soils and rocks.

  1. The update of resist outgas testing for metal containing resists at EIDEC

    Science.gov (United States)

    Shiobara, Eishi; Mikami, Shinji

    2017-10-01

    The metal containing resist is one of the candidates for high sensitivity resists. EIDEC has prepared the infrastructure for outgas testing in hydrogen environment for metal containing resists at High Power EUV irradiation tool (HPEUV). We have experimentally obtained the preliminary results of the non-cleanable metal contamination on witness sample using model material by HPEUV [1]. The metal contamination was observed at only the condition of hydrogen environment. It suggested the generation of volatile metal hydrides by hydrogen radicals. Additionally, the metal contamination on a witness sample covered with Ru was not removed by hydrogen radical cleaning. The strong interaction between the metal hydride and Ru was confirmed by the absorption simulation. Recently, ASML announced a resist outgassing barrier technology using Dynamic Gas Lock (DGL) membrane located between projection optics and wafer stage [2], [3]. DGL membrane blocks the diffusion of all kinds of resist outgassing to the projection optics and prevents the reflectivity loss of EUV mirrors. The investigation of DGL membrane for high volume manufacturing is just going on. It extends the limitation of material design for EUV resists. However, the DGL membrane has an impact for the productivity of EUV scanners due to the transmission loss of EUV light and the necessity of periodic maintenance. The well understanding and control of the outgassing characteristics of metal containing resists may help to improve the productivity of EUV scanner. We consider the outgas evaluation for the resists still useful. For the improvement of resist outgas testing by HPEUV, there are some issues such as the contamination limited regime, the optimization of exposure dose to obtain the measurable contamination film thickness and the detection of minimum amount of metal related outgas species generated. The investigation and improvement for these issues are ongoing. The updates will be presented in the conference. This

  2. Antibiotic resistant pattern of methicillin resistant and sensitive Staphylococcus aureus isolated from patients durining 2009-2010, Ahvaz, Iran.

    Directory of Open Access Journals (Sweden)

    N Parhizgari

    2013-12-01

    Full Text Available Abstract Background & aim: Staphylococcus aureus is one of the most important nosocomial infecting agents resistant to commonly used antibiotics. Nowadays, methicillin-resistant S. aureus (MRSA is considered one of the main causes of nosocomial infections. The aim of this study was to identify the antibiotic resistance pattern of methicicllin- resistant and susceptible strains in Ahwaz, Iran. Methods: In the present cross - sectional study, a number of 255 clinically suspected cases of Staphylococcus aureus were collected during a 19 month period. The bacteria were investigated using standard biochemical tests such as catalase, mannitol fermentation, coagulase and Dnase. Sensitive strains were confirmed by disk diffusion method compared to commonly used antibiotics. The collected data were analyzed using descriptive statistical tests. Results: of 255 suspected cases, 180 were confirmed as S.aureus, a total of 59 strains of S. aureus (2/37 percent were resistant to methicillin. Resistance to S. aureus strains resistant to methicillin included: chloramphenicol (3.38%, rifampin (45.76%, norfloxacin (89.83%, gentamicin (89.83%, ciprofloxacin, (91.52%, azithromycin, (88.13%, cotrimoxazole (86.44% and all isolates strains were sensitive to vancomycin and nitrofurantoin. A total of 10 different patterns of antibiotic resistance in methicillin-resistant Staphylococcus aureus strains were identified. Conclusion: Expression of new resistance factor in nosocomial infection is one of the major challenges in treating these infections. This study showed a high prevalence of resistance against some class of antibiotics in MRSA isolated from Imam Khomeini and Golestan hospital of Ahwaz, Iran. Key words: Nosocomial infection, Methicillin Resistant Staphylococcus aureus (MRSA, Antibiotic Resistant Pattern

  3. A first-principles analysis of ballistic conductance, grain boundary scattering and vertical resistance in aluminum interconnects

    Science.gov (United States)

    Zhou, Tianji; Lanzillo, Nicholas A.; Bhosale, Prasad; Gall, Daniel; Quon, Roger

    2018-05-01

    We present an ab initio evaluation of electron scattering mechanisms in Al interconnects from a back-end-of-line (BEOL) perspective. We consider the ballistic conductance as a function of nanowire size, as well as the impact of surface oxidation on electron transport. We also consider several representative twin grain boundaries and calculate the specific resistivity and reflection coefficients for each case. Lastly, we calculate the vertical resistance across the Al/Ta(N)/Al and Cu/Ta(N)/Cu interfaces, which are representative of typical vertical interconnect structures with diffusion barriers. Despite a high ballistic conductance, the calculated specific resistivities at grain boundaries are 70-100% higher in Al than in Cu, and the vertical resistance across Ta(N) diffusion barriers are 60-100% larger for Al than for Cu. These results suggest that in addition to the well-known electromigration limitations in Al interconnects, electron scattering represents a major problem in achieving low interconnect line resistance at fine dimensions.

  4. The determinants of the antibiotic resistance process.

    Science.gov (United States)

    Franco, Beatriz Espinosa; Altagracia Martínez, Marina; Sánchez Rodríguez, Martha A; Wertheimer, Albert I

    2009-01-01

    The use of antibiotic drugs triggers a complex interaction involving many biological, sociological, and psychological determinants. Resistance to antibiotics is a serious worldwide problem which is increasing and has implications for morbidity, mortality, and health care both in hospitals and in the community. To analyze current research on the determinants of antibiotic resistance and comprehensively review the main factors in the process of resistance in order to aid our understanding and assessment of this problem. We conducted a MedLine search using the key words "determinants", "antibiotic", and "antibiotic resistance" to identify publications between 1995 and 2007 on the determinants of antibiotic resistance. Publications that did not address the determinants of antibiotic resistance were excluded. The process and determinants of antibiotic resistance are described, beginning with the development of antibiotics, resistance and the mechanisms of resistance, sociocultural determinants of resistance, the consequences of antibiotic resistance, and alternative measures proposed to combat antibiotic resistance. Analysis of the published literature identified the main determinants of antibiotic resistance as irrational use of antibiotics in humans and animal species, insufficient patient education when antibiotics are prescribed, lack of guidelines for treatment and control of infections, lack of scientific information for physicians on the rational use of antibiotics, and lack of official government policy on the rational use of antibiotics in public and private hospitals.

  5. Rolling Resistance Measurement and Model Development

    DEFF Research Database (Denmark)

    Andersen, Lasse Grinderslev; Larsen, Jesper; Fraser, Elsje Sophia

    2015-01-01

    There is an increased focus worldwide on understanding and modeling rolling resistance because reducing the rolling resistance by just a few percent will lead to substantial energy savings. This paper reviews the state of the art of rolling resistance research, focusing on measuring techniques, s......, surface and texture modeling, contact models, tire models, and macro-modeling of rolling resistance...

  6. The benefits of herbicide-resistant crops.

    Science.gov (United States)

    Green, Jerry M

    2012-10-01

    Since 1996, genetically modified herbicide-resistant crops, primarily glyphosate-resistant soybean, corn, cotton and canola, have helped to revolutionize weed management and have become an important tool in crop production practices. Glyphosate-resistant crops have enabled the implementation of weed management practices that have improved yield and profitability while better protecting the environment. Growers have recognized their benefits and have made glyphosate-resistant crops the most rapidly adopted technology in the history of agriculture. Weed management systems with glyphosate-resistant crops have often relied on glyphosate alone, have been easy to use and have been effective, economical and more environmentally friendly than the systems they have replaced. Glyphosate has worked extremely well in controlling weeds in glyphosate-resistant crops for more than a decade, but some key weeds have evolved resistance, and using glyphosate alone has proved unsustainable. Now, growers need to renew their weed management practices and use glyphosate with other cultural, mechanical and herbicide options in integrated systems. New multiple-herbicide-resistant crops with resistance to glyphosate and other herbicides will expand the utility of existing herbicide technologies and will be an important component of future weed management systems that help to sustain the current benefits of high-efficiency and high-production agriculture. Copyright © 2012 Society of Chemical Industry.

  7. Strategies for improving rust resistance in oats

    International Nuclear Information System (INIS)

    Harder, D.E.; McKenzie, R.I.H.; Martens, J.W.; Brown, P.D.

    1977-01-01

    During the history of breeding oats for rust resistance in Canada the known sources of resistance proved inadequate to counter the virulence potential of both stem rust (Puccinia graminis avenae) and crown rust (P. coronata avenae). A major programme to overcome the rust problem was undertaken at Winnipeg, involving four alternate approaches: (1) A search for new resistance in wild oat species, particularly Avena sterilis, has provided a wealth of good resistance to crown rust, but less to stem rust. Much of the A. sterilis-derived crown rust resistance is now being used world-wide; (2) Efforts at synthesizing new resistance by mutation breeding methods have not been successful. Of about seven million plants examined, only one showed significant new resistance, but this was associated with poor plant type; (3) Resistance with low levels of expression but which appears broadly effective has been observed against both stem and crown rusts. It appears that numbers of these low-level genes exist, and that they can be accumulated to provide increasingly effective resistance. Problems in using this type of resistance in a practical way are discussed; (4) Excellent rust resistance has been found in lower ploidy species such as A. barbata, but it was not previously possible to stabilize this resistance in hexaploid species. By using mutagenic treatments attempts have been made to translocate smaller portions of the A. barbata chromosome carrying the resistance to the hexaploid cultivar Rodney. In conclusion, mutation breeding methods at present appear to have limited application in synthesizing new rust-resistant genotypes in oats. The search for already existing genetic resistance and its synthesis into multi-genic resistant lines appears to be the most effective way at present of resolving the rust problem in oats. (author)

  8. Harmonic synchronization in resistively coupled Josephson junctions

    International Nuclear Information System (INIS)

    Blackburn, J.A.; Gronbech-Jensen, N.; Smith, H.J.T.

    1994-01-01

    The oscillations of two resistively coupled Josephson junctions biased only by a single dc current source are shown to lock harmonically in a 1:2 mode over a significant range of bias current, even when the junctions are identical. The dependence of this locking on both junction and coupling parameters is examined, and it is found that, for this particular two-junction configuration, 1:1 locking can never occur, and also that a minimum coupling coefficient is needed to support harmonic locking. Some issues related to subharmonic locking are also discussed

  9. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... how antimicrobial resistance both emerges and proliferates among bacteria. Over time, the use of antimicrobial drugs will result in the development of resistant strains of bacteria, complicating clinician's efforts to select the appropriate antimicrobial ...

  10. Response simulation and theoretical calibration of a dual-induction resistivity LWD tool

    Science.gov (United States)

    Xu, Wei; Ke, Shi-Zhen; Li, An-Zong; Chen, Peng; Zhu, Jun; Zhang, Wei

    2014-03-01

    In this paper, responses of a new dual-induction resistivity logging-while-drilling (LWD) tool in 3D inhomogeneous formation models are simulated by the vector finite element method (VFEM), the influences of the borehole, invaded zone, surrounding strata, and tool eccentricity are analyzed, and calibration loop parameters and calibration coefficients of the LWD tool are discussed. The results show that the tool has a greater depth of investigation than that of the existing electromagnetic propagation LWD tools and is more sensitive to azimuthal conductivity. Both deep and medium induction responses have linear relationships with the formation conductivity, considering optimal calibration loop parameters and calibration coefficients. Due to the different depths of investigation and resolution, deep induction and medium induction are affected differently by the formation model parameters, thereby having different correction factors. The simulation results can provide theoretical references for the research and interpretation of the dual-induction resistivity LWD tools.

  11. Candida Species Biofilms’ Antifungal Resistance

    Science.gov (United States)

    Silva, Sónia; Rodrigues, Célia F.; Araújo, Daniela; Rodrigues, Maria Elisa; Henriques, Mariana

    2017-01-01

    Candida infections (candidiasis) are the most prevalent opportunistic fungal infection on humans and, as such, a major public health problem. In recent decades, candidiasis has been associated to Candida species other than Candida albicans. Moreover, biofilms have been considered the most prevalent growth form of Candida cells and a strong causative agent of the intensification of antifungal resistance. As yet, no specific resistance factor has been identified as the sole responsible for the increased recalcitrance to antifungal agents exhibited by biofilms. Instead, biofilm antifungal resistance is a complex multifactorial phenomenon, which still remains to be fully elucidated and understood. The different mechanisms, which may be responsible for the intrinsic resistance of Candida species biofilms, include the high density of cells within the biofilm, the growth and nutrient limitation, the effects of the biofilm matrix, the presence of persister cells, the antifungal resistance gene expression and the increase of sterols on the membrane of biofilm cells. Thus, this review intends to provide information on the recent advances about Candida species biofilm antifungal resistance and its implication on intensification of the candidiasis. PMID:29371527

  12. Atomic crystals resistive switching memory

    International Nuclear Information System (INIS)

    Liu Chunsen; Zhang David Wei; Zhou Peng

    2017-01-01

    Facing the growing data storage and computing demands, a high accessing speed memory with low power and non-volatile character is urgently needed. Resistive access random memory with 4F 2 cell size, switching in sub-nanosecond, cycling endurances of over 10 12 cycles, and information retention exceeding 10 years, is considered as promising next-generation non-volatile memory. However, the energy per bit is still too high to compete against static random access memory and dynamic random access memory. The sneak leakage path and metal film sheet resistance issues hinder the further scaling down. The variation of resistance between different devices and even various cycles in the same device, hold resistive access random memory back from commercialization. The emerging of atomic crystals, possessing fine interface without dangling bonds in low dimension, can provide atomic level solutions for the obsessional issues. Moreover, the unique properties of atomic crystals also enable new type resistive switching memories, which provide a brand-new direction for the resistive access random memory. (topical reviews)

  13. Priorities for antibiotic resistance surveillance in Europe

    DEFF Research Database (Denmark)

    Fluit, A. C.; van der Bruggen, J. T.; Aarestrup, Frank Møller

    2006-01-01

    Antibiotic resistance is an increasing global problem. Surveillance studies are needed to monitor resistance development, to guide local empirical therapy, and to implement timely and adequate countermeasures. To achieve this, surveillance studies must have standardised methodologies, be longitud......Antibiotic resistance is an increasing global problem. Surveillance studies are needed to monitor resistance development, to guide local empirical therapy, and to implement timely and adequate countermeasures. To achieve this, surveillance studies must have standardised methodologies...... to the various reservoirs of antibiotic-resistant bacteria, such as hospitalised patients, nursing homes, the community, animals and food. Two studies that could serve as examples of tailored programmes are the European Antimicrobial Resistance Surveillance System (EARSS), which collects resistance data during...... of antibiotic resistance....

  14. Electrical resistivity in Zr48Nb8Cu12Fe8Be24 glassy and crystallized alloys

    Science.gov (United States)

    Bai, H. Y.; Tong, C. Z.; Zheng, P.

    2004-02-01

    The electrical resistivity of Zr48Nb8Cu12Fe8Be24 bulk metallic glassy and crystallized alloys in the temperature range of 4.2-293 K is investigated. It is found that the resistivity in glassy and crystallized states shows opposite temperature coefficients. For the metallic glass, the resistivity shows a negative logarithmic dependence at temperatures below 16 K, whereas it has more normal behavior for the crystallized alloy. At higher temperatures, the resistivity in both glassy and crystallized alloys shows dependence upon both T and T2, but the signs of the T and T2 terms are opposite. The results are interpreted in terms of scattering from two-level tunneling states in glasses and the generalized Ziman diffraction model.

  15. Prevalence of current patterns and predictive trends of multidrug-resistant Salmonella Typhi in Sudan

    Directory of Open Access Journals (Sweden)

    Ayman A. Elshayeb

    2017-11-01

    Full Text Available Abstract Background Enteric fever has persistence of great impact in Sudanese public health especially during rainy season when the causative agent Salmonella enterica serovar Typhi possesses pan endemic patterns in most regions of Sudan - Khartoum. Objectives The present study aims to assess the recent state of antibiotics susceptibility of Salmonella Typhi with special concern to multidrug resistance strains and predict the emergence of new resistant patterns and outbreaks. Methods Salmonella Typhi strains were isolated and identified according to the guidelines of the International Standardization Organization and the World Health Organization. The antibiotics susceptibilities were tested using the recommendations of the Clinical Laboratories Standards Institute. Predictions of emerging resistant bacteria patterns and outbreaks in Sudan were done using logistic regression, forecasting linear equations and in silico simulations models. Results A total of 124 antibiotics resistant Salmonella Typhi strains categorized in 12 average groups were isolated, different patterns of resistance statistically calculated by (y = ax − b. Minimum bactericidal concentration’s predication of resistance was given the exponential trend (y = n ex and the predictive coefficient R2 > 0 < 1 are approximately alike. It was assumed that resistant bacteria occurred with a constant rate of antibiotic doses during the whole experimental period. Thus, the number of sensitive bacteria decreases at the same rate as resistant occur following term to the modified predictive model which solved computationally. Conclusion This study assesses the prediction of multi-drug resistance among S. Typhi isolates by applying low cost materials and simple statistical methods suitable for the most frequently used antibiotics as typhoid empirical therapy. Therefore, bacterial surveillance systems should be implemented to present data on the aetiology and current

  16. Detection of antibiotic resistance and tetracycline resistance genes in Enterobacteriaceae isolated from the Pearl rivers in South China

    International Nuclear Information System (INIS)

    Tao Ran; Ying Guangguo; Su Haochang; Zhou Hongwei; Sidhu, Jatinder P.S.

    2010-01-01

    This study investigated antibiotic resistance profiles and tetracycline resistance genes in Enterobacteriaceae family isolates from the Pearl rivers. The Enterobacteriaceae isolates were tested for susceptibility to seven antibiotics ampicillin, chloramphenicol, ciprofloxacin, levofloxacin, sulphamethoxazole/trimethoprim, tetracycline and trimethoprim. In Liuxi reservoir, with an exception to ampicillin resistant strains (11%) no other antibiotic resistance bacterial strains were detected. However, multiple drug resistance in bacterial isolates from the other sites of Pearl rivers was observed which is possibly due to sewage discharge and input from other anthropogenic sources along the rivers. Four tetracycline resistance genes tet A, tet B, tet C and tet D were detected in the isolates from the rivers. The genes tet A and tet B were widely detected with the detection frequencies of 43% and 40% respectively. Ciprofloxacin and levofloxacin resistant enteric bacteria were also isolated from the pig and duck manures which suggest a wider distribution of human specific drugs in the environment. This investigation provided a baseline data on antibiotic resistance profiles and tetracycline resistance genes in the Pearl rivers delta. - High rates of antibiotic resistance in Enterobacteriaceae from river water are attributed to wastewater contamination.

  17. Detection of antibiotic resistance and tetracycline resistance genes in Enterobacteriaceae isolated from the Pearl rivers in South China

    Energy Technology Data Exchange (ETDEWEB)

    Tao Ran [State Key Laboratory of Organic Geochemistry, Guangzhou Institute of Geochemistry, Chinese Academy of Sciences, 511 Kehua Street, Tianhe District, Guangzhou 510640 (China); Ying Guangguo, E-mail: guangguo.ying@gmail.co [State Key Laboratory of Organic Geochemistry, Guangzhou Institute of Geochemistry, Chinese Academy of Sciences, 511 Kehua Street, Tianhe District, Guangzhou 510640 (China); Su Haochang [State Key Laboratory of Organic Geochemistry, Guangzhou Institute of Geochemistry, Chinese Academy of Sciences, 511 Kehua Street, Tianhe District, Guangzhou 510640 (China); Zhou Hongwei [Department of Environmental Health, School of Public Health and Tropical Medicine, Southern Medical University, 1838 North Guangzhou Street, Baiyun District, Guangzhou 510515 (China); Sidhu, Jatinder P.S. [CSIRO Land and Water, Queensland Bioscience Precinct, 306 Carmody Road, St Lucia QLD 4067 (Australia)

    2010-06-15

    This study investigated antibiotic resistance profiles and tetracycline resistance genes in Enterobacteriaceae family isolates from the Pearl rivers. The Enterobacteriaceae isolates were tested for susceptibility to seven antibiotics ampicillin, chloramphenicol, ciprofloxacin, levofloxacin, sulphamethoxazole/trimethoprim, tetracycline and trimethoprim. In Liuxi reservoir, with an exception to ampicillin resistant strains (11%) no other antibiotic resistance bacterial strains were detected. However, multiple drug resistance in bacterial isolates from the other sites of Pearl rivers was observed which is possibly due to sewage discharge and input from other anthropogenic sources along the rivers. Four tetracycline resistance genes tet A, tet B, tet C and tet D were detected in the isolates from the rivers. The genes tet A and tet B were widely detected with the detection frequencies of 43% and 40% respectively. Ciprofloxacin and levofloxacin resistant enteric bacteria were also isolated from the pig and duck manures which suggest a wider distribution of human specific drugs in the environment. This investigation provided a baseline data on antibiotic resistance profiles and tetracycline resistance genes in the Pearl rivers delta. - High rates of antibiotic resistance in Enterobacteriaceae from river water are attributed to wastewater contamination.

  18. Resistance controllability and variability improvement in a TaO{sub x}-based resistive memory for multilevel storage application

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, A., E-mail: amitknp@postech.ac.kr, E-mail: amit.knp02@gmail.com, E-mail: hwanghs@postech.ac.kr; Song, J.; Hwang, H., E-mail: amitknp@postech.ac.kr, E-mail: amit.knp02@gmail.com, E-mail: hwanghs@postech.ac.kr [Department of Materials Science and Engineering, Pohang University of Science and Technology (POSTECH), 77 Cheongam-ro, Nam-gu, Pohang, 790-784 (Korea, Republic of); Deleruyelle, D.; Bocquet, M. [Im2np, UMR CNRS 7334, Aix-Marseille Université, Marseille (France)

    2015-06-08

    In order to obtain reliable multilevel cell (MLC) characteristics, resistance controllability between the different resistance levels is required especially in resistive random access memory (RRAM), which is prone to resistance variability mainly due to its intrinsic random nature of defect generation and filament formation. In this study, we have thoroughly investigated the multilevel resistance variability in a TaO{sub x}-based nanoscale (<30 nm) RRAM operated in MLC mode. It is found that the resistance variability not only depends on the conductive filament size but also is a strong function of oxygen vacancy concentration in it. Based on the gained insights through experimental observations and simulation, it is suggested that forming thinner but denser conductive filament may greatly improve the temporal resistance variability even at low operation current despite the inherent stochastic nature of resistance switching process.

  19. Predicting the wheel rolling resistance regarding important motion parameters using the artificial neural network

    Directory of Open Access Journals (Sweden)

    F Gheshlaghi

    2016-04-01

    the analytical and statistical methods. It is expected that the neural network can more accurately predict the rolling resistance. In this study, the neural network for experimental data was trained and the relationship among some parameters of velocity, dynamic load and tire pressure and rolling resistance were evaluated. Materials and Methods: The soil bin and single wheel tester of Biosystem Engineering Mechanics Department of Urmia University was used in this study. This soil bin has 24 m length, 2 m width and 1 m depth including a single-wheel tester and the carrier. Tester consists of four horizontal arms and a vertical arm to vertical load. The S-shaped load cells were employed in horizontal arms with a load capacity of 200 kg and another 500 kg in the vertical arm was embedded. The tire used in this study was a general pneumatic tire (Good year 9.5L-14, 6 ply In this study, artificial neural networks were used for optimizing the rolling resistance by 35 neurons, 6 inputs and 1 output choices. Comparison of neural network models according to the mean square error and correlation coefficient was used. In addition, 60% of the data on training, 20% on test and finally 20% of the credits was allocated to the validation and Output parameter of the neural network model has determined the tire rolling resistance. Finally, this study predicts the effects of changing parameters of tire pressure, vertical load and velocity on rolling resistance using a trained neural network. Results and Discussion: Based on obtained error of Levenberg- Marquardt algorithm, neural network with 35 neurons in the hidden layer with sigmoid tangent function and one neuron in the output layer with linear actuator function were selected. The regression coefficient of tested network is 0.92 which seems acceptable, considering the complexity of the studied process. Some of the input parameters to the network are speed, pressure and vertical load which their relationship with the rolling

  20. Laser cladding of wear resistant metal matrix composite coatings

    International Nuclear Information System (INIS)

    Yakovlev, A.; Bertrand, Ph.; Smurov, I.

    2004-01-01

    A number of coatings with wear-resistant properties as well as with a low friction coefficient are produced by laser cladding. The structure of these coatings is determined by required performance and realized as metal matrix composite (MMC), where solid lubricant serves as a ductile matrix (e.g. CuSn), reinforced by appropriate ceramic phase (e.g. WC/Co). One of the engineered coating with functionally graded material (FGM) structure has a dry friction coefficient 0.12. Coatings were produced by coaxial injection of powder blend into the zone of laser beam action. Metallographic and tribological examinations were carried out confirming the advanced performance of engineered coatings

  1. Tuning resistance states by thickness control in an electroforming-free nanometallic complementary resistance random access memory

    Science.gov (United States)

    Yang, Xiang; Lu, Yang; Lee, Jongho; Chen, I.-Wei

    2016-01-01

    Tuning low resistance state is crucial for resistance random access memory (RRAM) that aims to achieve optimal read margin and design flexibility. By back-to-back stacking two nanometallic bipolar RRAMs with different thickness into a complementary structure, we have found that its low resistance can be reliably tuned over several orders of magnitude. Such high tunability originates from the exponential thickness dependence of the high resistance state of nanometallic RRAM, in which electron wave localization in a random network gives rise to the unique scaling behavior. The complementary nanometallic RRAM provides electroforming-free, multi-resistance-state, sub-100 ns switching capability with advantageous characteristics for memory arrays.

  2. Tuning resistance states by thickness control in an electroforming-free nanometallic complementary resistance random access memory

    International Nuclear Information System (INIS)

    Yang, Xiang; Lu, Yang; Lee, Jongho; Chen, I-Wei

    2016-01-01

    Tuning low resistance state is crucial for resistance random access memory (RRAM) that aims to achieve optimal read margin and design flexibility. By back-to-back stacking two nanometallic bipolar RRAMs with different thickness into a complementary structure, we have found that its low resistance can be reliably tuned over several orders of magnitude. Such high tunability originates from the exponential thickness dependence of the high resistance state of nanometallic RRAM, in which electron wave localization in a random network gives rise to the unique scaling behavior. The complementary nanometallic RRAM provides electroforming-free, multi-resistance-state, sub-100 ns switching capability with advantageous characteristics for memory arrays

  3. Diffusion barrier coatings for high temperature corrosion resistance of advanced carbon/carbon composites

    International Nuclear Information System (INIS)

    Singh Raman, K.S.

    2000-01-01

    Carbon possesses an excellent combination of mechanical and thermal properties, viz., excellent creep resistance at temperatures up to 2400 deg C in non-oxidizing environment and a low thermal expansion coefficient. These properties make carbon a potential material for very high temperature applications. However, the use of carbon materials at high temperatures is considerably restricted due to their extremely poor oxidation resistance at temperatures above 400 deg C. The obvious choice for improving high temperature oxidation resistance of such materials is a suitable diffusion barrier coating. This paper presents an overview of recent developments in advanced diffusion- and thermal-barrier coatings for ceramic composites, with particular reference to C/C composites. The paper discusses the development of multiphase and multi-component ceramic coatings, and recent investigations on the oxidation resistance of the coated C/C composites. The paper also discusses the cases of innovative engineering solutions for traditional problems with the ceramic coatings, and the scope of intelligent processing in developing coatings for the C/C composites. Copyright (2000) AD-TECH - International Foundation for the Advancement of Technology Ltd

  4. CONTACT RESISTANCE MODELING

    Directory of Open Access Journals (Sweden)

    S. V. LOSKUTOV

    2018-05-01

    Full Text Available Purpose. To determine the contribution of the real contact spots distribution in the total conductivity of the conductors contact. Methodology. The electrical contact resistance research was carried out on models. The experimental part of this work was done on paper with a graphite layer with membranes (the first type and conductive liquids with discrete partitions (the second type. Findings. It is shown that the contact electrical resistance is mainly determined by the real area of metal contact. The experimental dependence of the electrical resistance of the second type model on the distance between the electrodes and the potential distribution along the sample surface for the first type model were obtained. The theoretical model based on the principle of electric field superposition was considered. The dependences obtained experimentally and calculated by using the theoretical model are in good agreement. Originality. The regularity of the electrical contact resistance formation on a large number of membranes was researched for the first time. A new model of discrete electrical contact based on the liquid as the conducting environment with nuclear membrane partitions was developed. The conclusions of the additivity of contact and bulk electrical resistance were done. Practical value. Based on these researches, a new experimental method of kinetic macroidentation that as a parameter of the metal surface layer deformation uses the real contact area was developed. This method allows to determine the value of average contact stresses, yield point, change of the stress on the depth of deformation depending on the surface treatment.

  5. Reproducibility of The Random Incidence Absorption Coefficient Converted From the Sabine Absorption Coefficient

    DEFF Research Database (Denmark)

    Jeong, Cheol-Ho; Chang, Ji-ho

    2015-01-01

    largely depending on the test room. Several conversion methods for porous absorbers from the Sabine absorption coefficient to the random incidence absorption coefficient were suggested by considering the finite size of a test specimen and non-uniformly incident energy onto the specimen, which turned out...... resistivity optimization outperforms the surface impedance optimization in terms of the reproducibility....

  6. Zinc resistance of Staphylococcus aureus of animal origin is strongly associated with methicillin resistance

    DEFF Research Database (Denmark)

    Cavaco, Lina; Hasman, Henrik; Aarestrup, Frank Møller

    2011-01-01

    This study was conducted to determine the occurrence of zinc and copper resistances in methicillin-resistant Staphylococcus aureus (MRSA) from swine and veal calves in a global strain collection.The test population consisted of 476 porcine MRSA isolates from ten European countries, 18 porcine MRSA...... of the pig MRSA from Europe and the seven Chinese isolates belonged to other CCs and 3 isolates were not classified into a CC.All isolates were tested for susceptibility to zinc chloride and copper sulphate using agar dilution and tested by PCR for the czrC gene encoding zinc resistance.Phenotypic zinc...... resistance (MIC>2mM) was observed in 74% (n=324) and 42% (n=39) of European MRSA CC398 from pigs and veal calves, respectively, and in 44% of the Canadian isolates (n=8), but not among the Chinese isolates. Almost all (99%) zinc-resistant MRSA carried czrC. Of the 37 European non-CC398 MRSA, 62% were...

  7. Structural Biology Meets Drug Resistance: An Overview on Multidrug Resistance Transporters

    DEFF Research Database (Denmark)

    Shaheen, Aqsa; Iqbal, Mazhar; Mirza, Osman

    2017-01-01

    . Research on the underlying causes of multidrug resistance in cancerous cells and later on in infectious bacteria revealed the involvement of integral membrane transporters, capable of recognizing a broad range of structurally different molecules as substrates and exporting them from the cell using cellular...... superfamilies, viz., ATP-binding cassette superfamily, major facilitator superfamily and resistance nodulation division superfamily are presented. Further, the future role of structural biology in improving our understanding of drug-transporter interactions and in designing novel inhibitors against MDR pump...... century, mankind has become aware and confronted with the emergence of antibiotic-resistant pathogens. In parallel to the failure of antibiotic therapy against infectious pathogens, there had been continuous reports of cancerous cells not responding to chemotherapy with increase in the duration of therapy...

  8. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Skip to common links HHS U.S. Department of Health and Human Services U.S. Food and Drug Administration ... Tobacco Products Animal & Veterinary Home Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet ...

  9. Origin of the -|A | T1 /2 term in the resistivity of disordered ZrAs1.58Se0.39

    Science.gov (United States)

    Gnida, Daniel

    2018-04-01

    Recently, Cichorek et al. have analyzed the magnetic-field-independent -|A | T1 /2 term in the resistivity of disordered ZrAs1.58Se0.39 within the Altshuler-Arononv model of electron-electron interaction in the presence of disorder [Phys. Rev. Lett. 117, 106601 (2016), 10.1103/PhysRevLett.117.106601]. Making the assumption that diffusion of conduction electrons in this compound is isotropic, they could not get quantitative agreement between the considered model and the experimental results. This led the authors to conclude that the singular T1 /2 contribution to the resistivity of ZrAs1.58Se0.39 could not be caused by electron-electron interaction but could only be explained by a two-channel Kondo effect. Here, we perform a detailed analysis of the -|A | T1 /2 correction to the resistivity of disordered ZrAs1.58Se0.39 using analogous Altshuler-Aronov relation but taking into account that the diffusion coefficient in the tetragonal ZrAs1.58Se0.39 is anisotropic. For the considered resistivity anisotropy, we found that the calculated values of the A coefficient are in very good agreement with those derived from the resistivity measurements. Moreover, analysis indicates that the values of the screening parameter λ(j =1 ) are close to zero, which satisfies the condition that the A coefficient does not depend on magnetic field. This shows that the magnetic-field-independent -|A | T1 /2 correction to the resistivity of disordered ZrAs1.58Se0.39 can be explained within the electron-electron interaction scenario in disordered metallic systems.

  10. Rapid diagnosis of pyrazinamide-resistant multidrug-resistant tuberculosis using a molecular-based diagnostic algorithm.

    Science.gov (United States)

    Simons, S O; van der Laan, T; Mulder, A; van Ingen, J; Rigouts, L; Dekhuijzen, P N R; Boeree, M J; van Soolingen, D

    2014-10-01

    There is an urgent need for rapid and accurate diagnosis of pyrazinamide-resistant multidrug-resistant tuberculosis (MDR-TB). No diagnostic algorithm has been validated in this population. We hypothesized that pncA sequencing added to rpoB mutation analysis can accurately identify patients with pyrazinamide-resistant MDR-TB. We identified from the Dutch national database (2007-11) patients with a positive Mycobacterium tuberculosis culture containing a mutation in the rpoB gene. In these cases, we prospectively sequenced the pncA gene. Results from the rpoB and pncA mutation analysis (pncA added to rpoB) were compared with phenotypic susceptibility testing results to rifampicin, isoniazid and pyrazinamide (reference standard) using the Mycobacterial Growth Indicator Tube 960 system. We included 83 clinical M. tuberculosis isolates containing rpoB mutations in the primary analysis. Rifampicin resistance was seen in 72 isolates (87%), isoniazid resistance in 73 isolates (88%) and MDR-TB in 65 isolates (78%). Phenotypic reference testing identified pyrazinamide-resistant MDR-TB in 31 isolates (48%). Sensitivity of pncA sequencing added to rpoB mutation analysis for detecting pyrazinamide-resistant MDR-TB was 96.8%, the specificity was 94.2%, the positive predictive value was 90.9%, the negative predictive value was 98.0%, the positive likelihood was 16.8 and the negative likelihood was 0.03. In conclusion, pyrazinamide-resistant MDR-TB can be accurately detected using pncA sequencing added to rpoB mutation analysis. We propose to include pncA sequencing in every isolate with an rpoB mutation, allowing for stratification of MDR-TB treatment according to pyrazinamide susceptibility. © 2014 The Authors Clinical Microbiology and Infection © 2014 European Society of Clinical Microbiology and Infectious Diseases.

  11. Circumvention of resistance to photodynamic therapy in doxorubicin-resistant sarcoma by photochemical internalization of gelonin.

    Science.gov (United States)

    Olsen, Cathrine Elisabeth; Berg, Kristian; Selbo, Pål Kristian; Weyergang, Anette

    2013-12-01

    A wide range of anti-cancer therapies have been shown to induce resistance upon repetitive treatment and such adapted resistance may also cause cross-resistance to other treatment modalities. We here show that MES-SA/Dx5 cells with adapted resistance to doxorubicin (DOX) are cross-resistant to photodynamic therapy (PDT). A DOX-induced increased expression of the reactive oxygen species (ROS)-scavenging proteins glutathione peroxidase (GPx) 1 and GPx4 in MES-SA/Dx5 cells was indicated as the mechanism of resistance to PDT in line with the reduction in PDT-generated ROS observed in this cell line. ROS-induced p38 activation was, in addition, shown to be reduced to one-third of the signal of the parental MES-SA cells 2h after PDT, and addition of the p38 inhibitor SB203580 confirmed p38 activation as a death signal after PDT in the MES-SA cells. The MES-SA/Dx5 cells were also cross-resistant to ionizing radiation in agreement with the increased GPx1 and GPx4 expression. Surprisingly, PDT-induced endo/lysosomal release of the ribosome-inactivating protein gelonin (photochemical internalization (PCI)) was more effective in the PDT-resistant MES-SA/Dx5 cells, as measured by synergy calculations in both cell lines. Analysis of death-inducing signaling indicated a low activation of caspase-3 and a strong PARP I cleavage after PDT and PCI in both cell lines. The PARP I activation was, however, stronger after PCI than after PDT in the MES-SA cells, but not in the MES-SA/Dx5 cells, and therefore cannot explain the strong PCI effect in the MES-SA/Dx5 cells. In conclusion PCI of recombinant gelonin circumvents ROS resistance in an apoptosis-independent manner. © 2013 Elsevier Inc. All rights reserved.

  12. Deciphering MCR-2 Colistin Resistance.

    Science.gov (United States)

    Sun, Jian; Xu, Yongchang; Gao, Rongsui; Lin, Jingxia; Wei, Wenhui; Srinivas, Swaminath; Li, Defeng; Yang, Run-Shi; Li, Xing-Ping; Liao, Xiao-Ping; Liu, Ya-Hong; Feng, Youjun

    2017-05-09

    Antibiotic resistance is a prevalent problem in public health worldwide. In general, the carbapenem β-lactam antibiotics are considered a final resort against lethal infections by multidrug-resistant bacteria. Colistin is a cationic polypeptide antibiotic and acts as the last line of defense for treatment of carbapenem-resistant bacteria. Very recently, a new plasmid-borne colistin resistance gene, mcr-2 , was revealed soon after the discovery of the paradigm gene mcr-1 , which has disseminated globally. However, the molecular mechanisms for MCR-2 colistin resistance are poorly understood. Here we show a unique transposon unit that facilitates the acquisition and transfer of mcr-2 Evolutionary analyses suggested that both MCR-2 and MCR-1 might be traced to their cousin phosphoethanolamine (PEA) lipid A transferase from a known polymyxin producer, Paenibacillus Transcriptional analyses showed that the level of mcr-2 transcripts is relatively higher than that of mcr-1 Genetic deletions revealed that the transmembrane regions (TM1 and TM2) of both MCR-1 and MCR-2 are critical for their location and function in bacterial periplasm, and domain swapping indicated that the TM2 is more efficient than TM1. Matrix-assisted laser desorption ionization-time of flight mass spectrometry (MALDI-TOF MS) confirmed that all four MCR proteins (MCR-1, MCR-2, and two chimeric versions [TM1-MCR-2 and TM2-MCR-1]) can catalyze chemical modification of lipid A moiety anchored on lipopolysaccharide (LPS) with the addition of phosphoethanolamine to the phosphate group at the 4' position of the sugar. Structure-guided site-directed mutagenesis defined an essential 6-residue-requiring zinc-binding/catalytic motif for MCR-2 colistin resistance. The results further our mechanistic understanding of transferable colistin resistance, providing clues to improve clinical therapeutics targeting severe infections by MCR-2-containing pathogens. IMPORTANCE Carbapenem and colistin are the last line of

  13. Overall Low Extended-Spectrum Cephalosporin Resistance but high Azithromycin Resistance in Neisseria gonorrhoeae in 24 European Countries, 2015.

    Science.gov (United States)

    Cole, Michelle J; Spiteri, Gianfranco; Jacobsson, Susanne; Woodford, Neil; Tripodo, Francesco; Amato-Gauci, Andrew J; Unemo, Magnus

    2017-09-11

    Surveillance of Neisseria gonorrhoeae antimicrobial susceptibility in Europe is performed through the European Gonococcal Antimicrobial Surveillance Programme (Euro-GASP), which additionally provides data to inform the European gonorrhoea treatment guideline; currently recommending ceftriaxone 500 mg plus azithromycin 2 g as first-line therapy. We present antimicrobial susceptibility data from 24 European countries in 2015, linked to epidemiological data of patients, and compare the results to Euro-GASP data from previous years. Antimicrobial susceptibility testing by MIC gradient strips or agar dilution methodology was performed on 2134 N. gonorrhoeae isolates and interpreted using EUCAST breakpoints. Patient variables associated with resistance were established using logistic regression to estimate odds ratios (ORs). In 2015, 1.7% of isolates were cefixime resistant compared to 2.0% in 2014. Ceftriaxone resistance was detected in only one (0.05%) isolate in 2015, compared with five (0.2%) in 2014. Azithromycin resistance was detected in 7.1% of isolates in 2015 (7.9% in 2014), and five (0.2%) isolates displayed high-level azithromycin resistance (MIC ≥ 256 mg/L) compared with one (0.05%) in 2014. Ciprofloxacin resistance remained high (49.4%, vs. 50.7% in 2014). Cefixime resistance significantly increased among heterosexual males (4.1% vs. 1.7% in 2014), which was mainly attributable to data from two countries with high cefixime resistance (~11%), however rates among men-who-have-sex-with-men (MSM) and females continued to decline to 0.5% and 1%, respectively. Azithromycin resistance in MSM and heterosexual males was higher (both 8.1%) than in females (4.9% vs. 2.2% in 2014). The association between azithromycin resistance and previous gonorrhoea infection, observed in 2014, continued in 2015 (OR 2.1, CI 1.2-3.5, p resistance and low overall resistance to ceftriaxone and cefixime. The low cephalosporin resistance may be attributable to the effectiveness

  14. Evaluation of maize inbred lines for resistance to pre-harvest aflatoxin and fumonisin contamination in the field

    Directory of Open Access Journals (Sweden)

    Baozhu Guo

    2017-06-01

    Full Text Available Two important mycotoxins, aflatoxin and fumonisin, are among the most potent naturally occurring carcinogens, contaminating maize (Zea mays and affecting crop yield and quality. Resistance of maize to pre-harvest mycotoxin contamination, specifically aflatoxin produced by Aspergillus flavus and fumonisin produced by Fusarium verticillioides, is a goal in breeding programs that screen for these important traits with the aim of developing resistant commercial hybrids. We conducted two years of field evaluations on 87 inbred lines originating primarily in China and Mexico and not previously screened for resistance. The objectives of our study were to identify resistant germplasm for breeding purposes and to examine possible relationships between resistances to the two mycotoxins. Aflatoxin and fumonisin were present in samples harvested from all lines in both years. Concentrations of total aflatoxin ranged from 52.00 ± 20.00 to 1524.00 ± 396.00 μg kg−1, while those of fumonisin ranged from 0.60 ± 0.06 to 124.00 ± 19.50 mg kg−1. The inbred lines TUN15, TUN61, TUN37, CY2, and TUN49 showed the lowest aflatoxin accumulation and CN1, GT601, TUN09, TUN61, and MP717 the lowest fumonisin accumulation. TUN61 showed the lowest accumulation of both mycotoxins. This study confirmed previous observations that high levels of aflatoxin can coexist with fumonisin, with 55 maize lines showing a positive correlation coefficient between the concentrations of aflatoxin and fumonisin and 32 lines showing a negative correlation coefficient. These selected lines, particularly TUN61, may provide sources of resistance to mycotoxin contamination in breeding programs. However, the mechanism of resistance in this germplasm remains to be identified. Future research should also address factors that influence the fungus–plant interaction, such as herbivory and environmental stress.

  15. Quinolone resistance: much more than predicted

    Directory of Open Access Journals (Sweden)

    Alvaro eHernandez

    2011-02-01

    Full Text Available Since quinolones are synthetic antibiotics, it was predicted that mutations in target genes would be the only mechanism through which resistance could be acquired, because there will not be quinolone resistance genes in nature. Contrary to this prediction, a variety of elements ranging from efflux pumps, target-protecting proteins and even quinolone-modifying enzymes have been shown to contribute to quinolone resistance. The finding of some of these elements in plasmids indicates that quinolone resistance can be transferable. As a result, there has been a developing interest on the reservoirs for quinolone resistance genes and on the potential risks associated with the use of these antibiotics in non-clinical environments. As a matter of fact, plasmid-encoded, quinolone-resistance qnr genes originated in the chromosome of aquatic bacteria, thus the use of quinolones in fish farming might constitute a risk for the emergence of resistance. Failure to predict the development of quinolone resistance reinforces the need of taking into consideration the wide plasticity of biological systems for future predictions. This plasticity allows pathogens to deal with toxic compounds, including those with a synthetic origin as quinolones.

  16. Bedaquiline resistance: Its emergence, mechanism and prevention.

    Science.gov (United States)

    Nguyen, Thi Van Anh; Anthony, Richard M; Bañuls, Anne-Laure; Vu, Dinh Hoa; Alffenaar, Jan-Willem C

    2017-11-08

    Bedaquiline, a new anti-tuberculosis drug, has already been used in more than 50 countries. The emergence of bedaquiline resistance is alarming, as it may result in the rapid loss of this new drug. This paper aims to review currently identified mechanisms of resistance, the emergence of bedaquiline resistance, and discuss strategies to delay the resistance acquisition. In vitro and clinical studies as well as reports from the compassionate use have identified the threat of bedaquiline resistance and cross-resistance with clofazimine, emphasizing the crucial need for the systematic surveillance of resistance. Currently known mechanisms of resistance include mutations within the atpE, Rv0678 and pepQ genes. The development of standardized drug susceptibility testing (DST) for bedaquiline is urgently needed.Understanding any target and non-target based mechanisms is essential to minimize the resistance development and treatment failure, help to develop appropriate DST for bedaquiline and genetic based resistance screening. © The Author 2017. Published by Oxford University Press for the Infectious Diseases Society of America. All rights reserved. For permissions, e-mail: journals.permissions@oup.com.

  17. Anomalous low temperature resistivity in CeCr0.8V0.2Ge3

    Science.gov (United States)

    Singh, Durgesh; Patidar, Manju Mishra; Mishra, A. K.; Krishnan, M.; Ganesan, V.

    2018-04-01

    Resistivity (8T) and heat capacity (0T) of CeCr0.8V0.2Ge3 at low temperatures and high magnetic fields are reported. Resistivity curve shows a Kondo like behavior at an anomalously high temperature of 250K. A broad peak at 20K is observed in resistivity. A sharp change in resistivity around 7.3K is due to magnetic ordering mediated by coherence effects. Similar low temperature peak is also observed in heat capacity around 7.2K. A small magnetic field of the order of 1T shifts the peak towards lower temperatures confirming the antiferromagnetic ordering. A broad feature, which appears in resistivity at 20K, is absent in heat capacity. This feature shift towards higher temperatures with magnetic field, and may be due to the partial ferromagnetic ordering or due to geometrical frustration which opposes the magnetic ordering. The system shows a moderate heavy fermion behavior with Sommerfeld coefficient (γ) of 111mJ/mol-K2. Debye temperature of the compound is 250K. Shifting of TN in magnetic fields towards 0K indicates a possibility of quantum criticality in this system.

  18. Correlation between the resistivity and the atomic clusters in liquid Cu-Sn alloys

    Science.gov (United States)

    Jia, Peng; Zhang, Jinyang; Hu, Xun; Li, Cancan; Zhao, Degang; Teng, XinYing; Yang, Cheng

    2018-05-01

    The liquid structure of CuxSn100-x (x = 0, 10, 20, 33, 40, 50, 60, 75, 80 and 100) alloys with atom percentage were investigated with resistivity and viscosity methods. It can be found from the resistivity data that the liquid Cu75Sn25 and Cu80Sn20 alloys had a negative temperature coefficient of resistivity (TCR), and liquid Cu75Sn25 alloy had a minimum value of -9.24 μΩ cm K-1. While the rest of liquid Cu-Sn alloys had a positive TCR. The results indicated that the Cu75Sn25 atomic clusters existed in Cu-Sn alloys. In addition, the method of calculating the percentage of Cu75Sn25 atomic clusters was established on the basis of resistivity theory and the law of conservation of mass. The Cu75Sn25 alloy had a maximum volume of the atomic clusters and a highest activation energy. The results further proved the existence of Cu75Sn25 atomic clusters. Furthermore, the correlation between the liquid structure and the resistivity was established. These results provide a useful reference for the investigation of liquid structure via the sensitive physical properties to the liquid structure.

  19. Antimicrobial Resistance and Resistance Genes in Aerobic Bacteria Isolated from Pork at Slaughter

    DEFF Research Database (Denmark)

    Li, Lili; Olsen, Rikke Heidemann; Ye, Lei

    2016-01-01

    The aim of this study was to investigate the phenotypic and genotypic antimicrobial resistance, integrons, and transferability of resistance markers in 243 aerobic bacteria recovered from pork at slaughter in the People's Republic of China. The organisms belonged to 22 genera of gram-negative bac......The aim of this study was to investigate the phenotypic and genotypic antimicrobial resistance, integrons, and transferability of resistance markers in 243 aerobic bacteria recovered from pork at slaughter in the People's Republic of China. The organisms belonged to 22 genera of gram......-negative bacteria (92.2%) and gram-positive bacteria (7.8%). High levels of resistance were detected to tetracycline, trimethoprim-sulfamethoxazole, and ampicillin (36.2 to 54.3%), and lower levels were detected to nitrofurantoin, cefotaxime, gentamicin, ciprofloxacin, and chloramphenicol (7.8 to 29.2%). Across.......6% of isolates contained class 1 integrons, and one isolate harbored class 2 integrons. Plasmid associated intI1 and androgen receptor– encoding genes were transferred into Escherichia coli J53 and E. coli DH5α by conjugation and transformation experiments, respectively. Our study highlights the importance...

  20. Detection of low frequency multi-drug resistance and novel putative maribavir resistance in immunocompromised paediatric patients with cytomegalovirus

    Directory of Open Access Journals (Sweden)

    Charlotte Jane Houldcroft

    2016-09-01

    Full Text Available Human cytomegalovirus (HCMV is a significant pathogen in immunocompromised individuals, with the potential to cause fatal pneumonitis and colitis, as well as increasing the risk of organ rejection in transplant patients. With the advent of new anti-HCMV drugs there is therefore considerable interest in using virus sequence data to monitor emerging resistance to antiviral drugs in HCMV viraemia and disease, including the identification of putative new mutations. We used target-enrichment to deep sequence HCMV DNA from 11 immunosuppressed paediatric patients receiving single or combination anti-HCMV treatment, serially sampled over 1-27 weeks. Changes in consensus sequence and resistance mutations were analysed for three ORFs targeted by anti-HCMV drugs and the frequencies of drug resistance mutations monitored. Targeted-enriched sequencing of clinical material detected mutations occurring at frequencies of 2%. Seven patients showed no evidence of drug resistance mutations. Four patients developed drug resistance mutations a mean of 16 weeks after starting treatment. In two patients, multiple resistance mutations accumulated at frequencies of 20% or less, including putative maribavir and ganciclovir resistance mutations P522Q (UL54 and C480F (UL97. In one patient, resistance was detected 14 days earlier than by PCR. Phylogenetic analysis suggested recombination or superinfection in one patient. Deep sequencing of HCMV enriched from clinical samples excluded resistance in 7 of eleven subjects and identified resistance mutations earlier than conventional PCR-based resistance testing in 2 patients. Detection of multiple low level resistance mutations was associated with poor outcome.

  1. The resistive tearing instability for generalized resistivity models: Applications

    International Nuclear Information System (INIS)

    Birk, G.T.; Otto, A.

    1991-01-01

    The dispersion relation for the resistive tearing mode is investigated with a general form of the resistivity that allows for evaluating the dependence of the mode on the anomalous dissipation caused by the lower-hybrid-drift turbulence and the ion-acoustic turbulence. The coupling of the plasma dynamic and radiative processes due to a temperature-dependent Spitzer resistivity are also discussed. The dispersion relation is solved numerically for two-dimensional equilibrium configurations with applications to the Earth's magnetosphere and the solar corona. In the case of the parameter regimes of the magnetopause and the solar corona, growth rates that result in realistic time scales for the respective dynamic processes as flux transfer events and solar flares were found. Moreover, the influence of a nonvanishing component of the magnetic field normal to the current sheet on the dispersion relation is examined. The normal field component leads to overstable modes and the growth rate of the pure tearing mode is not altered, whereas the radiative tearing mode is damped effectively

  2. Detection of Macrolide, Lincosamide and Streptogramin Resistance among Methicillin Resistant Staphylococcus aureus (MRSA in Mumbai

    Directory of Open Access Journals (Sweden)

    Arunagiri Subramanian

    2015-01-01

    Full Text Available Background: The increase in incidence of Methicillin Resistant Staphyloccocus aureus (MRSA and its extraordinary potential to develop antimicrobial resistance has highlighted the need for better agents to treat such infections. This has led to a renewed interest in use of new drugs for treatment with clindamycin and quinuprsitin-dalfopristin being the preferred choice for treatment. Aim & Objectives: This study was undertaken to detect the prevalence of MacrolideLincosamide-Streptogramin (MLS resistance among clinical isolates of MRSA.Material and Methods:Two hundred and thirty clinical isolates of S. aureus were subjected to routine antibiotic susceptibility testing including cefoxitin, erythromycin and quinupristindalfopristin. Inducible resistance to clindamycin was tested by 'D' test as per Clinical and Laboratory Standards Institute (CLSI guidelines. Results: Out of all S. aureus isolates, 93.91% were identified as MRSA. In the disc diffusion testing, 81.5% of isolates showed erythromycin resistance. Among these, the prevalence of constitutive (cMLS , inducible (iMLS b b and MS-phenotype were 35.80%, 31.82% and 32.39% respectively by the D-test method. 77.8% of isolates were resistant to quinupristin-dalfopristin and the Minimum Inhibitory Concentration (MIC ranged from 4–32 µg/ml. 89.20% of isolates were resistant to both quinupristin-dalfopristin and erythromycin of which 35.03%, 35.67% and 29.30% belonged to iMLS , cMLS and MS phenotype respectively. Conclusion: The emergence of quinupristindalfopristin resistance and MLS phenotypes brings b about the need for the simple and reliable D-test in routine diagnosis and further susceptibility testing for proper antimicrobial therapy.

  3. A fractal-like resistive network

    International Nuclear Information System (INIS)

    Saggese, A; De Luca, R

    2014-01-01

    The equivalent resistance of a fractal-like network is calculated by means of approaches similar to those employed in defining the equivalent resistance of an infinite ladder. Starting from an elementary triangular circuit, a fractal-like network, named after Saggese, is developed. The equivalent resistance of finite approximations of this network is measured, and the didactical implications of the model are highlighted. (paper)

  4. Resistance Status and Resistance Mechanisms in a Strain of Aedes aegypti (Diptera: Culicidae) From Puerto Rico.

    Science.gov (United States)

    Estep, Alden S; Sanscrainte, Neil D; Waits, Christy M; Louton, Jessica E; Becnel, James J

    2017-11-07

    Puerto Rico (PR) has a long history of vector-borne disease and insecticide-resistant Aedes aegypti (L.). Defining contributing mechanisms behind phenotypic resistance is critical for effective vector control intervention. However, previous studies from PR have each focused on only one mechanism of pyrethroid resistance. This study examines the contribution of P450-mediated enzymatic detoxification and sodium channel target site changes to the overall resistance phenotype of Ae. aegypti collected from San Juan, PR, in 2012. Screening of a panel of toxicants found broad resistance relative to the lab susceptible Orlando (ORL1952) strain. We identified significant resistance to representative Type I, Type II, and nonester pyrethroids, a sodium channel blocker, and a sodium channel blocking inhibitor, all of which interact with the sodium channel. Testing of fipronil, a chloride channel agonist, also showed low but significant levels of resistance. In contrast, the PR and ORL1952 strains were equally susceptible to chlorfenapyr, which has been suggested as an alternative public health insecticide. Molecular characterization of the strain indicated that two common sodium channel mutations were fixed in the population. Topical bioassay with piperonyl butoxide (PBO) indicated cytochrome P450-mediated detoxification accounts for approximately half of the resistance profile. Transcript expression screening of cytochrome P450s and glutathione-S-transferases identified the presence of overexpressed transcripts. This study of Puerto Rican Ae. aegypti with significant contributions from both genetic changes and enzymatic detoxification highlights the necessity of monitoring for resistance but also defining the multiple resistance mechanisms to inform effective mosquito control. Published by Oxford University Press on behalf of Entomological Society of America 2017. This work is written by US Government employees and is in the public domain in the US.

  5. Phenotypic and genotypic characterization of antibiotic resistance of methicillin-resistant Staphylococcus aureus isolated from hospital food

    Directory of Open Access Journals (Sweden)

    Farhad Safarpoor Dehkordi

    2017-10-01

    Full Text Available Abstract Background Pathogenic biotypes of the Methicillin-resistant Staphylococcus aureus (MRSA strains are considered to be one of the major cause of food-borne diseases in hospitals. The present investigation was done to study the pattern of antibiotic resistance and prevalence of antibiotic resistance genes of different biotypes of the MRSA strains isolated from various types of hospital food samples. Methods Four-hundred and eighty-five raw and cooked hospital food samples were cultured and MRSA strains were identified using the oxacillin and cefoxitin disk diffusion tests and mecA-based PCR amplification. Isolated strains were subjected to biotyping and their antibiotic resistance patterns were analyzed using the disk diffusion and PCR methods. Results Prevalence of S. aureus and MRSA were 9.69 and 7.62%, respectively. Meat and chicken barbecues had the highest prevalence of MRSA. Prevalence of bovine, ovine, poultry and human-based biotypes in the MRSA strains were 8.10, 8.10, 32.43 and 48.64%, respectively. All of the MRSA strains recovered from soup, salad and rice samples were related to human-based biotypes. MRSA strains harbored the highest prevalence of resistance against penicillin (100%, ceftaroline (100%, tetracycline (100%, erythromycin (89.18% and trimethoprim-sulfamethoxazole (83.78%. TetK (72.97%, ermA (72.97%, msrA (64.86% and aacA-D (62.16% were the most commonly detected antibiotic resistance genes. Conclusions Pattern of antibiotic resistance and also distribution of antibiotic resistance genes were related to the biotype of MRSA strains. Presence of multi-drug resistance and also simultaneous presence of several antibiotic resistance genes in some MRSA isolates showed an important public health issue Further researches are required to found additional epidemiological aspects of the MRSA strains in hospital food samples.

  6. Biofilm Formation Potential of Heat-Resistant Escherichia coli Dairy Isolates and the Complete Genome of Multidrug-Resistant, Heat-Resistant Strain FAM21845.

    Science.gov (United States)

    Marti, Roger; Schmid, Michael; Kulli, Sandra; Schneeberger, Kerstin; Naskova, Javorka; Knøchel, Susanne; Ahrens, Christian H; Hummerjohann, Jörg

    2017-08-01

    We tested the biofilm formation potential of 30 heat-resistant and 6 heat-sensitive Escherichia coli dairy isolates. Production of curli and cellulose, static biofilm formation on polystyrene (PS) and stainless steel surfaces, biofilm formation under dynamic conditions (Bioflux), and initial adhesion rates (IAR) were evaluated. Biofilm formation varied greatly between strains, media, and assays. Our results highlight the importance of the experimental setup in determining biofilm formation under conditions of interest, as correlation between different assays was often not a given. The heat-resistant, multidrug-resistant (MDR) strain FAM21845 showed the strongest biofilm formation on PS and the highest IAR and was the only strain that formed significant biofilms on stainless steel under conditions relevant to the dairy industry, and it was therefore fully sequenced. Its chromosome is 4.9 Mb long, and it harbors a total of five plasmids (147.2, 54.2, 5.8, 2.5, and 1.9 kb). The strain carries a broad range of genes relevant to antimicrobial resistance and biofilm formation, including some on its two large conjugative plasmids, as demonstrated in plate mating assays. IMPORTANCE In biofilms, cells are embedded in an extracellular matrix that protects them from stresses, such as UV radiation, osmotic shock, desiccation, antibiotics, and predation. Biofilm formation is a major bacterial persistence factor of great concern in the clinic and the food industry. Many tested strains formed strong biofilms, and especially strains such as the heat-resistant, MDR strain FAM21845 may pose a serious issue for food production. Strong biofilm formation combined with diverse resistances (some encoded on conjugative plasmids) may allow for increased persistence, coselection, and possible transfer of these resistance factors. Horizontal gene transfer may conceivably occur in the food production setting or the gastrointestinal tract after consumption. Copyright © 2017 Marti et al.

  7. Glycosphingolipids and insulin resistance

    NARCIS (Netherlands)

    Langeveld, Mirjam; Aerts, Johannes M. F. G.

    2009-01-01

    Obesity is associated with an increased risk for insulin resistance, a state characterized by impaired responsiveness of liver, muscle and adipose tissue to insulin. One class of lipids involved in the development of insulin resistance are the (glyco)sphingolipids. Ceramide, the most simple

  8. Herbicide resistance-endowing ACCase gene mutations in hexaploid wild oat (Avena fatua): insights into resistance evolution in a hexaploid species

    Science.gov (United States)

    Yu, Q; Ahmad-Hamdani, M S; Han, H; Christoffers, M J; Powles, S B

    2013-01-01

    Many herbicide-resistant weed species are polyploids, but far too little about the evolution of resistance mutations in polyploids is understood. Hexaploid wild oat (Avena fatua) is a global crop weed and many populations have evolved herbicide resistance. We studied plastidic acetyl-coenzyme A carboxylase (ACCase)-inhibiting herbicide resistance in hexaploid wild oat and revealed that resistant individuals can express one, two or three different plastidic ACCase gene resistance mutations (Ile-1781-Leu, Asp-2078-Gly and Cys-2088-Arg). Using ACCase resistance mutations as molecular markers, combined with genetic, molecular and biochemical approaches, we found in individual resistant wild-oat plants that (1) up to three unlinked ACCase gene loci assort independently following Mendelian laws for disomic inheritance, (2) all three of these homoeologous ACCase genes were transcribed, with each able to carry its own mutation and (3) in a hexaploid background, each individual ACCase resistance mutation confers relatively low-level herbicide resistance, in contrast to high-level resistance conferred by the same mutations in unrelated diploid weed species of the Poaceae (grass) family. Low resistance conferred by individual ACCase resistance mutations is likely due to a dilution effect by susceptible ACCase expressed by homoeologs in hexaploid wild oat and/or differential expression of homoeologous ACCase gene copies. Thus, polyploidy in hexaploid wild oat may slow resistance evolution. Evidence of coexisting non-target-site resistance mechanisms among wild-oat populations was also revealed. In all, these results demonstrate that herbicide resistance and its evolution can be more complex in hexaploid wild oat than in unrelated diploid grass weeds. Our data provide a starting point for the daunting task of understanding resistance evolution in polyploids. PMID:23047200

  9. Identifying clinically relevant drug resistance genes in drug-induced resistant cancer cell lines and post-chemotherapy tissues.

    Science.gov (United States)

    Tong, Mengsha; Zheng, Weicheng; Lu, Xingrong; Ao, Lu; Li, Xiangyu; Guan, Qingzhou; Cai, Hao; Li, Mengyao; Yan, Haidan; Guo, You; Chi, Pan; Guo, Zheng

    2015-12-01

    Until recently, few molecular signatures of drug resistance identified in drug-induced resistant cancer cell models can be translated into clinical practice. Here, we defined differentially expressed genes (DEGs) between pre-chemotherapy colorectal cancer (CRC) tissue samples of non-responders and responders for 5-fluorouracil and oxaliplatin-based therapy as clinically relevant drug resistance genes (CRG5-FU/L-OHP). Taking CRG5-FU/L-OHP as reference, we evaluated the clinical relevance of several types of genes derived from HCT116 CRC cells with resistance to 5-fluorouracil and oxaliplatin, respectively. The results revealed that DEGs between parental and resistant cells, when both were treated with the corresponding drug for a certain time, were significantly consistent with the CRG5-FU/L-OHP as well as the DEGs between the post-chemotherapy CRC specimens of responders and non-responders. This study suggests a novel strategy to extract clinically relevant drug resistance genes from both drug-induced resistant cell models and post-chemotherapy cancer tissue specimens.

  10. Resisting persuasion by the skin of one's teeth: the hidden success of resisted persuasive messages.

    Science.gov (United States)

    Tormala, Zakary L; Clarkson, Joshua J; Petty, Richard E

    2006-09-01

    Recent research has suggested that when people resist persuasion they can perceive this resistance and, under specifiable conditions, become more certain of their initial attitudes (e.g., Z. L. Tormala & R. E. Petty, 2002). Within the same metacognitive framework, the present research provides evidence for the opposite phenomenon--that is, when people resist persuasion, they sometimes become less certain of their initial attitudes. Four experiments demonstrate that when people perceive that they have done a poor job resisting persuasion (e.g., they believe they generated weak arguments against a persuasive message), they lose attitude certainty, show reduced attitude-behavioral intention correspondence, and become more vulnerable to subsequent persuasive attacks. These findings suggest that resisted persuasive attacks can sometimes have a hidden yet important success by reducing the strength of the target attitude. ((c) 2006 APA, all rights reserved).

  11. Electrical resistivity testing for as-built concrete performance assessment of chloride penetration resistance

    NARCIS (Netherlands)

    Polder, R.B.; Peelen, W.H.A.

    2014-01-01

    The electrical resistivity of concrete can provide information about its transport properties, which is relevant for durability performance. For example, resistivity is inversely proportional to chloride diffusion, at least within similar concrete compositions. A methodology is proposed for on-site

  12. Monitoring of drug resistance amplification and attenuation with the use of tetracycline-resistant bacteria during wastewater treatment

    Science.gov (United States)

    Harnisz, Monika; Korzeniewska, Ewa; Niestępski, Sebastian; Osińska, Adriana; Nalepa, Beata

    2017-11-01

    The objective of this study was to monitor changes (amplification or attenuation) in antibiotic resistance during wastewater treatment based on the ecology of tetracycline-resistant bacteria. The untreated and treated wastewater were collected in four seasons. Number of tetracycline-(TETR) and oxytetracycline-resistant (OTCR) bacteria, their qualitative composition, minimum inhibitory concentrations (MICs), sensitivity to other antibiotics, and the presence of tet (A, B, C, D, E) resistance genes were determined. TETR and OTCR counts in untreated wastewater were 100 to 1000 higher than in treated effluent. OTCR bacterial counts were higher than TETR populations in both untreated and treated wastewater. TETR isolates were not dominated by a single bacterial genus or species, whereas Aeromonas hydrophila and Aeromonas sobria were the most common in OTCR isolates. The treatment process attenuated the drug resistance of TETR bacteria and amplified the resistance of OTCR bacteria. In both microbial groups, the frequency of tet(A) gene increased in effluent in comparison with untreated wastewater. Our results also indicate that treated wastewater is a reservoir of multiple drug-resistant bacteria as well as resistance determinants which may pose a health hazard for humans and animals when released to the natural environment.

  13. Spread of carbapenem-resistant Acinetobacter baumannii global clone 2 in Asia and AbaR-type resistance islands.

    Science.gov (United States)

    Kim, Dae Hun; Choi, Ji-Young; Kim, Hae Won; Kim, So Hyun; Chung, Doo Ryeon; Peck, Kyong Ran; Thamlikitkul, Visanu; So, Thomas Man-Kit; Yasin, Rohani M D; Hsueh, Po-Ren; Carlos, Celia C; Hsu, Li Yang; Buntaran, Latre; Lalitha, M K; Song, Jae-Hoon; Ko, Kwan Soo

    2013-11-01

    In this surveillance study, we identified the genotypes, carbapenem resistance determinants, and structural variations of AbaR-type resistance islands among carbapenem-resistant Acinetobacter baumannii (CRAB) isolates from nine Asian locales. Clonal complex 92 (CC92), corresponding to global clone 2 (GC2), was the most prevalent in most Asian locales (83/108 isolates; 76.9%). CC108, or GC1, was a predominant clone in India. OXA-23 oxacillinase was detected in CRAB isolates from most Asian locales except Taiwan. blaOXA-24 was found in CRAB isolates from Taiwan. AbaR4-type resistance islands, which were divided into six subtypes, were identified in most CRAB isolates investigated. Five isolates from India, Malaysia, Singapore, and Hong Kong contained AbaR3-type resistance islands. Of these, three isolates harbored both AbaR3- and AbaR4-type resistance islands simultaneously. In this study, GC2 was revealed as a prevalent clone in most Asian locales, with the AbaR4-type resistance island predominant, with diverse variants. The significance of this study lies in identifying the spread of global clones of carbapenem-resistant A. baumannii in Asia.

  14. Antimicrobial resistance mechanisms among Campylobacter.

    Science.gov (United States)

    Wieczorek, Kinga; Osek, Jacek

    2013-01-01

    Campylobacter jejuni and Campylobacter coli are recognized as the most common causative agents of bacterial gastroenteritis in the world. Humans most often become infected by ingesting contaminated food, especially undercooked chicken, but also other sources of bacteria have been described. Campylobacteriosis is normally a self-limiting disease. Antimicrobial treatment is needed only in patients with more severe disease and in those who are immunologically compromised. The most common antimicrobial agents used in the treatment of Campylobacter infections are macrolides, such as erythromycin, and fluoroquinolones, such as ciprofloxacin. Tetracyclines have been suggested as an alternative choice in the treatment of clinical campylobacteriosis but in practice are not often used. However, during the past few decades an increasing number of resistant Campylobacter isolates have developed resistance to fluoroquinolones and other antimicrobials such as macrolides, aminoglycosides, and beta-lactams. Trends in antimicrobial resistance have shown a clear correlation between use of antibiotics in the veterinary medicine and animal production and resistant isolates of Campylobacter in humans. In this review, the patterns of emerging resistance to the antimicrobial agents useful in treatment of the disease are presented and the mechanisms of resistance to these drugs in Campylobacter are discussed.

  15. Resistant starch in cassava products

    Directory of Open Access Journals (Sweden)

    Bruna Letícia Buzati Pereira

    2014-06-01

    Full Text Available Found in different foods, starch is the most important source of carbohydrates in the diet. Some factors present in starchy foods influence the rate at which the starch is hydrolyzed and absorbed in vivo. Due the importance of cassava products in Brazilian diet, the objective of this study was to analyze total starch, resistant starch, and digestible starch contents in commercial cassava products. Thirty three commercial cassava products from different brands, classifications, and origin were analyzed. The method used for determination of resistant starch consisted of an enzymatic process to calculate the final content of resistant starch considering the concentration of glucose released and analyzed. The results showed significant differences between the products. Among the flours and seasoned flours analyzed, the highest levels of resistant starch were observed in the flour from Bahia state (2.21% and the seasoned flour from Paraná state (1.93%. Starch, tapioca, and sago showed levels of resistant starch ranging from 0.56 to 1.1%. The cassava products analyzed can be considered good sources of resistant starch; which make them beneficial products to the gastrointestinal tract.

  16. Resist materials for proton micromachining

    International Nuclear Information System (INIS)

    Kan, J.A. van; Sanchez, J.L.; Xu, B.; Osipowicz, T.; Watt, F.

    1999-01-01

    The production of high aspect ratio microstructures is a potential growth area. The combination of deep X-ray lithography with electroforming and micromolding (i.e. LIGA) is one of the main techniques used to produce 3D microstructures. The new technique of proton micromachining employs focused MeV protons in a direct write process which is complementary to LIGA, e.g. micromachining with 2 MeV protons results in microstructures with a height of 63 μm and lateral sub-micrometer resolution in PMMA resist. The aim of this paper is to investigate the capabilities of proton micromachining as a lithographic technique. This involves the study of different types of resists. The dose distribution of high molecular weight PMMA is compared with three other types of resist: First the positive photo resist AZ P4620 will be discussed and then PMGI SF 23, which can be used as a deep UV, e-beam or X-ray resist. Finally SU-8, a new deep UV negative type of chemically amplified resist will be discussed. All these polymers are applied using the spin coating technique at thicknesses of between 1 and 36 μm

  17. The (Street) Art of Resistance

    DEFF Research Database (Denmark)

    Awad, Sarah H.; Wagoner, Brady; Glaveanu, Vlad Petre

    2017-01-01

    This chapter focuses on the interrelation between resistance, novelty and social change We will consider resistance as both a social and individual phenomenon, a constructive process that articulates continuity and change and as an act oriented towards an imagined future of different communities....... In this account, resistance is thus a creative act having its own dynamic and, most of all, aesthetic dimension. In fact, it is one such visibly artistic form of resistance that will be considered here, the case of street art as a tool of social protest and revolution in Egypt. Street art is commonly defined...... in sharp contrast with high or fine art because of its collective nature and anonymity, its different kind of aesthetics, and most of all its disruptive, ‘anti-social’ outcomes. With the use of illustrations, we will argue here that street art is prototypical of a creative form of resistance, situated...

  18. Determination of the influence of dispersion pattern of pesticide-resistant individuals on the reliability of resistance estimates using different sampling plans.

    Science.gov (United States)

    Shah, R; Worner, S P; Chapman, R B

    2012-10-01

    Pesticide resistance monitoring includes resistance detection and subsequent documentation/ measurement. Resistance detection would require at least one (≥1) resistant individual(s) to be present in a sample to initiate management strategies. Resistance documentation, on the other hand, would attempt to get an estimate of the entire population (≥90%) of the resistant individuals. A computer simulation model was used to compare the efficiency of simple random and systematic sampling plans to detect resistant individuals and to document their frequencies when the resistant individuals were randomly or patchily distributed. A patchy dispersion pattern of resistant individuals influenced the sampling efficiency of systematic sampling plans while the efficiency of random sampling was independent of such patchiness. When resistant individuals were randomly distributed, sample sizes required to detect at least one resistant individual (resistance detection) with a probability of 0.95 were 300 (1%) and 50 (10% and 20%); whereas, when resistant individuals were patchily distributed, using systematic sampling, sample sizes required for such detection were 6000 (1%), 600 (10%) and 300 (20%). Sample sizes of 900 and 400 would be required to detect ≥90% of resistant individuals (resistance documentation) with a probability of 0.95 when resistant individuals were randomly dispersed and present at a frequency of 10% and 20%, respectively; whereas, when resistant individuals were patchily distributed, using systematic sampling, a sample size of 3000 and 1500, respectively, was necessary. Small sample sizes either underestimated or overestimated the resistance frequency. A simple random sampling plan is, therefore, recommended for insecticide resistance detection and subsequent documentation.

  19. Simulation of variation of apparent resistivity in resistivity surveys using finite difference modelling with Monte Carlo analysis

    Science.gov (United States)

    Aguirre, E. E.; Karchewski, B.

    2017-12-01

    DC resistivity surveying is a geophysical method that quantifies the electrical properties of the subsurface of the earth by applying a source current between two electrodes and measuring potential differences between electrodes at known distances from the source. Analytical solutions for a homogeneous half-space and simple subsurface models are well known, as the former is used to define the concept of apparent resistivity. However, in situ properties are heterogeneous meaning that simple analytical models are only an approximation, and ignoring such heterogeneity can lead to misinterpretation of survey results costing time and money. The present study examines the extent to which random variations in electrical properties (i.e. electrical conductivity) affect potential difference readings and therefore apparent resistivities, relative to an assumed homogeneous subsurface model. We simulate the DC resistivity survey using a Finite Difference (FD) approximation of an appropriate simplification of Maxwell's equations implemented in Matlab. Electrical resistivity values at each node in the simulation were defined as random variables with a given mean and variance, and are assumed to follow a log-normal distribution. The Monte Carlo analysis for a given variance of electrical resistivity was performed until the mean and variance in potential difference measured at the surface converged. Finally, we used the simulation results to examine the relationship between variance in resistivity and variation in surface potential difference (or apparent resistivity) relative to a homogeneous half-space model. For relatively low values of standard deviation in the material properties (<10% of mean), we observed a linear correlation between variance of resistivity and variance in apparent resistivity.

  20. Emergence of resistant pathogens against colistin

    OpenAIRE

    Rakesh Kumar; Baljit Jassal; Bhupinder Kumar

    2015-01-01

    Emergence of resistant strain to antimicrobials is a growing problem worldwide. Here, we report a case of multidrug-resistant Klebsiella pneumoniae and Acinectobacter baumannii, Gram-negative bacilli, which was only intermediate sensitive to colistin; a polymyxin E. Colistin has attracted more interest recently because of its significant activity against multi-resistant Pseudomonas aeruginosa, A. baumannii and K. pneumoniae, and the low resistance rates to it. The decrease in sensitivity of c...

  1. Gene expression analysis of two extensively drug-resistant tuberculosis isolates show that two-component response systems enhance drug resistance.

    Science.gov (United States)

    Yu, Guohua; Cui, Zhenling; Sun, Xian; Peng, Jinfu; Jiang, Jun; Wu, Wei; Huang, Wenhua; Chu, Kaili; Zhang, Lu; Ge, Baoxue; Li, Yao

    2015-05-01

    Global analysis of expression profiles using DNA microarrays was performed between a reference strain H37Rv and two clinical extensively drug-resistant isolates in response to three anti-tuberculosis drug exposures (isoniazid, capreomycin, and rifampicin). A deep analysis was then conducted using a combination of genome sequences of the resistant isolates, resistance information, and related public microarray data. Certain known resistance-associated gene sets were significantly overrepresented in upregulated genes in the resistant isolates relative to that observed in H37Rv, which suggested a link between resistance and expression levels of particular genes. In addition, isoniazid and capreomycin response genes, but not rifampicin, either obtained from published works or our data, were highly consistent with the differentially expressed genes of resistant isolates compared to those of H37Rv, indicating a strong association between drug resistance of the isolates and genes differentially regulated by isoniazid and capreomycin exposures. Based on these results, 92 genes of the studied isolates were identified as candidate resistance genes, 10 of which are known resistance-related genes. Regulatory network analysis of candidate resistance genes using published networks and literature mining showed that three two-component regulatory systems and regulator CRP play significant roles in the resistance of the isolates by mediating the production of essential envelope components. Finally, drug sensitivity testing indicated strong correlations between expression levels of these regulatory genes and sensitivity to multiple anti-tuberculosis drugs in Mycobacterium tuberculosis. These findings may provide novel insights into the mechanism underlying the emergence and development of drug resistance in resistant tuberculosis isolates and useful clues for further studies on this issue. Copyright © 2015 Elsevier Ltd. All rights reserved.

  2. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... menu Skip to common links HHS U.S. Department of Health and Human Services U.S. Food and Drug Administration ... Tobacco Products Animal & Veterinary Home Animal & Veterinary Safety & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet Linkedin Pin it More ...

  3. The Gut as Reservoir of Antibiotic Resistance: Microbial Diversity of Tetracycline Resistance in Mother and Infant

    DEFF Research Database (Denmark)

    de Vries, Lisbeth Elvira; Valles, Yvonne; Agersø, Yvonne

    2011-01-01

    The microbiota in the human gastrointestinal tract (GIT) is highly exposed to antibiotics, and may be an important reservoir of resistant strains and transferable resistance genes. Maternal GIT strains can be transmitted to the offspring, and resistances could be acquired from birth. This is a ca...

  4. Frequency of colistin and fosfomycin resistance in carbapenem-resistant Enterobacteriaceae from a tertiary care hospital in Karachi

    Directory of Open Access Journals (Sweden)

    Qamar S

    2017-07-01

    Full Text Available Salima Qamar, Najma Shaheen, Sadia Shakoor, Joveria Farooqi, Kauser Jabeen, Rumina Hasan Clinical Microbiology, Department of Pathology And Laboratory Medicine, Aga Khan University Hospital, Karachi, Pakistan Introduction: Management of infections with carbapenem-resistant Enterobacteriaceae (CRE is challenging. In recent times, agents such as colistin and fosfomycin have been used in combination with other antibiotics to treat such infections. In this study, we aim to seek frequency of colistin and fosfomycin resistance in CRE from Pakistan.Methods: This study was conducted at clinical laboratories, Aga Khan University Hospital. In total, 251 CRE were included in the study. Colistin minimum inhibitory concentrations (MICs were performed using broth microdilution (BMD method and VITEK® 2 system, whereas fosfomycin susceptibility was performed using Kirby–Bauer method. MIC50 and MIC90 were calculated for colistin and agreement between VITEK and BMD was also calculated.Results: Out of 251 strains colistin MIC of ≥4 µg/mL was seen in 40 (15.9%. Of these strains 20 (50% were Klebsiella pneumoniae. Colistin MIC50 and MIC90 were found to be 0.5 and 16 µg/mL, respectively. BMD and VITEK 2 showed 100% categorical agreement. Essential agreement was 88.5% with kappa score 0.733 indicating strong agreement between VITEK and BMD. 31 out of 251 (12.3% CREs were resistant to fosfomycin.Conclusion: Study shows frequency of colistin and fosfomycin resistance to be 15.9% and 12.3%, respectively. In countries where rate of CREs is high, emerging resistance against these last resort antibiotics is alarming as it leaves clinicians with almost no options to manage such multidrug resistant and extensively drug resistant infections. Keywords: emerging drug resistance, colistin resistance, fosfomycin resistance, carbapenam resistant enterobacteriaceae, salvage antibiotics 

  5. In vitro testing of daptomycin plus rifampin againstmethicillin-resistant Staphylococcus aureus resistant to rifampin

    International Nuclear Information System (INIS)

    Khaswneh, Faisal A.; Ashcraft, Deborah S.; Pankey, George A.

    2008-01-01

    Objective was to test for synergy between daptomycin (DAP) and rifampin(RIF) against RIF-resistant methicillin-resistant Staphylococcus aureus(MRSA) isolates. Synergy testing using time-kill assay (TKA) was performed on6 clinically and genetically unique RIF-resistant MRSA isolates. The isolateswere identified out of 489 (1.2%) samples collected during April 2003 toAugust 2006, from patients at the Ochsner Medical Center in New Orleans,Louisiana, United States of America. Synergy testing of DAP plus RIF by TKAshowed that 5 isolates were different, but one isolate was antagonistic. Ourin-vitro study failed to demonstrate synergy between DAP plus RIF, againstour RIF-resistant MRSA isolates. Clinical failure of this combination shouldprompt the clinician to consider antagonism as one of the potential causes.(author)

  6. Azole-Resistant Invasive Aspergillosis

    DEFF Research Database (Denmark)

    Stensvold, Christen Rune; Jørgensen, Lise Nistrup; Arendrup, Maiken Cavling

    2012-01-01

    with point mutation (s) in CYP51A (TR34/L98H and TR46/Y121F/T289A). In the third a single target enzyme alteration (G432S) is found. These resistant “environmental” strains have been detected in many West-European countries as well as in the Asia- Pacifics. Noticeably, these two continents account...... and classes available is impressive compared to the armamentarium in human medicine, azoles will remain the most important group in agriculture due to superior field performance and significant resistance in fungal pathogens to other compounds. Hence, further spread of environmental resistant Aspergillus...

  7. Radiation-resistant plastic insulators

    International Nuclear Information System (INIS)

    Sturm, B.J.; Parkinson, W.W.

    1975-01-01

    A high molecular weight organic composition useful as an electric insulator in radiation fields is provided and comprises normally a solid polymer of an organic compound having a specific resistance greater than 10 19 ohm-cm and containing phenyl groups and 1 to 7.5 weight percent of a high molecular weight organic phosphite. In one embodiment the composition comprises normally solid polystyrene having 7.5 weight percent tris-β-chloroethyl phosphite as an additive; the composition exhibited an increase in the post-irradiation resistivity of over an order of magnitude over the post-irradiation resistivity of pure polystyrene. (Patent Office Record)

  8. Profiling Prostate Cancer Therapeutic Resistance

    OpenAIRE

    Cameron A. Wade; Natasha Kyprianou

    2018-01-01

    The major challenge in the treatment of patients with advanced lethal prostate cancer is therapeutic resistance to androgen-deprivation therapy (ADT) and chemotherapy. Overriding this resistance requires understanding of the driving mechanisms of the tumor microenvironment, not just the androgen receptor (AR)-signaling cascade, that facilitate therapeutic resistance in order to identify new drug targets. The tumor microenvironment enables key signaling pathways promoting cancer cell survival ...

  9. Resistance to technological progress

    International Nuclear Information System (INIS)

    Isensee, J.

    1983-01-01

    This article deals with the tolerance test the constitutional system (Basic Law) of the Federal Republic of Germany is currently put to as a consequence of the resistance to the power structure of this country, which is expressed in the name of protection and defense for the environment and world peace. This biopacifistic resistance movment, the author says, has nothing to do with the legal right to resist, as laid down in art. 20 (4) of the Basic Law. According to the author, this attitude is an offspring of fear of the hazards of technological progress, primarily of nuclear hazards. Practical resistance, the author states, is preceded by theoretical resistance in speech: De-legitimation of the democratic legality, of the parliamentary functions, of the supreme power of the government, and denial of the citizens duty of obedience. The author raises the question as to whether this attitude of disobedience on ecological grounds marks the onset of a fourth stage of development of the modern state, after we have passed through stages characterised by fear of civil war, of tyranny, and of social privation and suffering. There are no new ideas brought forward by the ecologically minded movement, the author says, for re-shaping our institutions or constitutional system. (HP) [de

  10. Systemic resistance induced by rhizosphere bacteria

    NARCIS (Netherlands)

    Loon, L.C. van; Bakker, P.A.H.M.; Pieterse, C.M.J.

    1998-01-01

    Nonpathogenic rhizobacteria can induce a systemic resistance in plants that is phenotypically similar to pathogen-induced systemic acquired resistance (SAR). Rhizobacteria-mediated induced systemic resistance (ISR) has been demonstrated against fungi, bacteria, and viruses in Arabidopsis, bean,

  11. Happy Festivus! Parody as playful consumer resistance

    DEFF Research Database (Denmark)

    Mikkonen, Ilona; Bajde, Domen

    2013-01-01

    Drawing upon literary theory, play and consumer resistance literature, we conceptualize consumer parodic resistance – a resistant form of play that critically refunctions dominant consumption discourses and marketplace ideologies. We explore parodic resistance empirically by analyzing Festivus, a...

  12. Cancer resistance as an acquired and inheritable trait

    DEFF Research Database (Denmark)

    Koch, Janne; Hau, Jann; Jensen, Henrik Elvang

    2014-01-01

    AIM: To induce cancer resistance in wild-type mice and detect if the resistance could be inherited to the progeny of the induced resistant mice. Furthermore to investigate the spectrum and immunology of this inherited cancer resistance. MATERIALS AND METHODS: Resistance to with live S180 cancer c...... of the resistance is unknown but may involve epigenetic mechanisms. Other examples of inheritability of acquired phenotypic changes exist but, to our knowledge, this is the first demonstration of acquired, inherited cancer resistance.......AIM: To induce cancer resistance in wild-type mice and detect if the resistance could be inherited to the progeny of the induced resistant mice. Furthermore to investigate the spectrum and immunology of this inherited cancer resistance. MATERIALS AND METHODS: Resistance to with live S180 cancer...... cells in BALB/c mice was induced by immunization with inactivated S180 cancer cells. The immunization was performed by either frozen/thawed or irradiated cancer cells or cell-free ascitic fluid (CFAF). RESULTS: In all instances the induced resistance was demonstrated to be inheritable. The phenotype...

  13. Understanding The Resistance to Health Information Systems

    Directory of Open Access Journals (Sweden)

    David Ackah

    2017-07-01

    Full Text Available User resistance is users’ opposition to system implementation. Resistance often occurs as a result of a mismatch between management goals and employee preferences. There are two types of resistance to health iformation system namely active resistance and passive resistance. The manifestation of active resistance are being critical,  blaming/accusing, blocking, fault finding, sabotaging, undermining, ridiculing, intimidating/threatening, starting rumors, appealing to fear, manipulating arguing, using facts selectively, distorting facts and  raising objections. The manifestation of passive resistance are agreeing verbally but not following through, failing to implement change, procrastinating/dragging feet, feigning ignorance, withholding information, suggestions, help or support, and standing by and allowing the change to fail.

  14. Mechanisms of insulin resistance in obesity

    Science.gov (United States)

    Ye, Jianping

    2014-01-01

    Obesity increases the risk for type 2 diabetes through induction of insulin resistance. Treatment of type 2 diabetes has been limited by little translational knowledge of insulin resistance although there have been several well-documented hypotheses for insulin resistance. In those hypotheses, inflammation, mitochondrial dysfunction, hyperinsulinemia and lipotoxicity have been the major concepts and have received a lot of attention. Oxidative stress, endoplasmic reticulum (ER) stress, genetic background, aging, fatty liver, hypoxia and lipodystrophy are active subjects in the study of these concepts. However, none of those concepts or views has led to an effective therapy for type 2 diabetes. The reason is that there has been no consensus for a unifying mechanism of insulin resistance. In this review article, literature is critically analyzed and reinterpreted for a new energy-based concept of insulin resistance, in which insulin resistance is a result of energy surplus in cells. The energy surplus signal is mediated by ATP and sensed by adenosine monophosphate-activated protein kinase (AMPK) signaling pathway. Decreasing ATP level by suppression of production or stimulation of utilization is a promising approach in the treatment of insulin resistance. In support, many of existing insulin sensitizing medicines inhibit ATP production in mitochondria. The effective therapies such as weight loss, exercise, and caloric restriction all reduce ATP in insulin sensitive cells. This new concept provides a unifying cellular and molecular mechanism of insulin resistance in obesity, which may apply to insulin resistance in aging and lipodystrophy. PMID:23471659

  15. Identification of acquired antimicrobial resistance genes

    DEFF Research Database (Denmark)

    Zankari, Ea; Hasman, Henrik; Cosentino, Salvatore

    2012-01-01

    ObjectivesIdentification of antimicrobial resistance genes is important for understanding the underlying mechanisms and the epidemiology of antimicrobial resistance. As the costs of whole-genome sequencing (WGS) continue to decline, it becomes increasingly available in routine diagnostic laborato......ObjectivesIdentification of antimicrobial resistance genes is important for understanding the underlying mechanisms and the epidemiology of antimicrobial resistance. As the costs of whole-genome sequencing (WGS) continue to decline, it becomes increasingly available in routine diagnostic...... laboratories and is anticipated to substitute traditional methods for resistance gene identification. Thus, the current challenge is to extract the relevant information from the large amount of generated data.MethodsWe developed a web-based method, ResFinder that uses BLAST for identification of acquired...... antimicrobial resistance genes in whole-genome data. As input, the method can use both pre-assembled, complete or partial genomes, and short sequence reads from four different sequencing platforms. The method was evaluated on 1862 GenBank files containing 1411 different resistance genes, as well as on 23 de...

  16. Insulin resistance: vascular function and exercise

    Directory of Open Access Journals (Sweden)

    Moon-Hyon Hwang

    2016-09-01

    Full Text Available Insulin resistance associated with metabolic syndrome and Type 2 diabetes mellitus is an epidemic metabolic disorder, which increases the risk of cardiovascular complications. Impaired vascular endothelial function is an early marker for atherosclerosis, which causes cardiovascular complications. Both experimental and clinical studies indicate that endothelial dysfunction in vasculatures occurs with insulin resistance. The associated physiological mechanisms are not fully appreciated yet, however, it seems that augmented oxidative stress, a physiological imbalance between oxidants and antioxidants, in vascular cells is a possible mechanism involved in various vascular beds with insulin resistance and hyperglycemia. Regardless of the inclusion of resistance exercise, aerobic exercise seems to be beneficial for vascular endothelial function in both large conduit and small resistance vessels in both clinical and experimental studies with insulin resistance. In clinical cases, aerobic exercise over 8 weeks with higher intensity seems more beneficial than the cases with shorter duration and lower intensity. However, more studies are needed in the future to elucidate the physiological mechanisms by which vascular endothelial function is impaired in insulin resistance and improved with aerobic exercise.

  17. Mechanisms of Candida biofilm drug resistance

    Science.gov (United States)

    Taff, Heather T; Mitchell, Kaitlin F; Edward, Jessica A; Andes, David R

    2013-01-01

    Candida commonly adheres to implanted medical devices, growing as a resilient biofilm capable of withstanding extraordinarily high antifungal concentrations. As currently available antifungals have minimal activity against biofilms, new drugs to treat these recalcitrant infections are urgently needed. Recent investigations have begun to shed light on the mechanisms behind the profound resistance associated with the biofilm mode of growth. This resistance appears to be multifactorial, involving both mechanisms similar to conventional, planktonic antifungal resistance, such as increased efflux pump activity, as well as mechanisms specific to the biofilm lifestyle. A unique biofilm property is the production of an extracellular matrix. Two components of this material, β-glucan and extracellular DNA, promote biofilm resistance to multiple antifungals. Biofilm formation also engages several stress response pathways that impair the activity of azole drugs. Resistance within a biofilm is often heterogeneous, with the development of a subpopulation of resistant persister cells. In this article we review the molecular mechanisms underlying Candida biofilm antifungal resistance and their relative contributions during various growth phases. PMID:24059922

  18. Cephalosporin resistance in Neisseria gonorrhoeae

    Directory of Open Access Journals (Sweden)

    Manju Bala

    2010-01-01

    Full Text Available Gonorrhea, a disease of public health importance, not only leads to high incidence of acute infections and complications but also plays a major role in facilitating human immunodeficiency virus (HIV acquisition and transmission. One of the major public health needs for gonorrhea control is appropriate, effective treatment. However, treatment options for gonorrhea are diminishing as Neisseria gonorrhoeae have developed resistance to several antimicrobial drugs such as sulfonamides, penicillin, tetracyclines and quinolones. Antimicrobial resistance (AMR surveillance of N. gonorrhoeae helps establish and maintain the efficacy of standard treatment regimens. AMR surveillance should be continuous to reveal the emergence of new resistant strains, monitor the changing patterns of resistance, and be able to update treatment recommendations so as to assist in disease control. Current treatment guidelines recommend the use of single dose injectable or oral cephalosporins. The emergence and spread of cephalosporin resistant and multi drug resistant N. gonorrhoeae strains, represents a worrying trend that requires monitoring and investigation. Routine clinical laboratories need to be vigilant for the detection of such strains such that strategies for control and prevention could be reviewed and revised from time to time. It will be important to elucidate the genetic mechanisms responsible for decreased susceptibility and future resistance. There is also an urgent need for research of safe, alternative anti-gonococcal compounds that can be administered orally and have effective potency, allowing high therapeutic efficacy (greater than 95.0% cure rate.

  19. Resistance to BET Inhibitor Leads to Alternative Therapeutic Vulnerabilities in Castration-Resistant Prostate Cancer.

    Science.gov (United States)

    Pawar, Aishwarya; Gollavilli, Paradesi Naidu; Wang, Shaomeng; Asangani, Irfan A

    2018-02-27

    BRD4 plays a major role in the transcription networks orchestrated by androgen receptor (AR) in castration-resistant prostate cancer (CRPC). Several BET inhibitors (BETi) that displace BRD4 from chromatin are being evaluated in clinical trials for CRPC. Here, we describe mechanisms of acquired resistance to BETi that are amenable to targeted therapies in CRPC. BETi-resistant CRPC cells displayed cross-resistance to a variety of BETi in the absence of gatekeeper mutations, exhibited reduced chromatin-bound BRD4, and were less sensitive to BRD4 degraders/knockdown, suggesting a BRD4-independent transcription program. Transcriptomic analysis revealed reactivation of AR signaling due to CDK9-mediated phosphorylation of AR, resulting in sensitivity to CDK9 inhibitors and enzalutamide. Additionally, increased DNA damage associated with PRC2-mediated transcriptional silencing of DDR genes was observed, leading to PARP inhibitor sensitivity. Collectively, our results identify the therapeutic limitation of BETi as a monotherapy; however, our BETi resistance data suggest unique opportunities for combination therapies in treating CRPC. Copyright © 2018 The Author(s). Published by Elsevier Inc. All rights reserved.

  20. Mutations in the Plasmodium falciparum Cyclic Amine Resistance Locus (PfCARL Confer Multidrug Resistance

    Directory of Open Access Journals (Sweden)

    Gregory LaMonte

    2016-07-01

    Full Text Available Mutations in the Plasmodium falciparum cyclic amine resistance locus (PfCARL are associated with parasite resistance to the imidazolopiperazines, a potent class of novel antimalarial compounds that display both prophylactic and transmission-blocking activity, in addition to activity against blood-stage parasites. Here, we show that pfcarl encodes a protein, with a predicted molecular weight of 153 kDa, that localizes to the cis-Golgi apparatus of the parasite in both asexual and sexual blood stages. Utilizing clustered regularly interspaced short palindromic repeat (CRISPR-mediated gene introduction of 5 variants (L830V, S1076N/I, V1103L, and I1139K, we demonstrate that mutations in pfcarl are sufficient to generate resistance against the imidazolopiperazines in both asexual and sexual blood-stage parasites. We further determined that the mutant PfCARL protein confers resistance to several structurally unrelated compounds. These data suggest that PfCARL modulates the levels of small-molecule inhibitors that affect Golgi-related processes, such as protein sorting or membrane trafficking, and is therefore an important mechanism of resistance in malaria parasites.

  1. Characterisation of non-P-glycoprotein multidrug-resistant Ehrlich ascites tumour cells selected for resistance to mitoxantrone

    DEFF Research Database (Denmark)

    Nielsen, D; Eriksen, J; Maare, C

    2000-01-01

    An Ehrlich ascites tumour cell line (EHR2) was selected in vivo for resistance to mitoxantrone (MITOX). The resistant cell line (EHR2/MITOX) was 6123-, 33-, and 30-fold-resistant to mitoxantrone, daunorubicin, and etoposide, respectively, but retained sensitivity to vincristine. The resistant cel...... to be associated with: 1) a quantitative reduction in topoisomerase IIalpha and beta protein; 2) reduced drug accumulation, probably as a result of increased expression of a novel transport protein with ATPase activity; and 3) increased expression of MRP mRNA....

  2. Analysis of cold resistance and identification of SSR markers linked to cold resistance genes in Brassica rapa L.

    Science.gov (United States)

    Huang, Zhen; Zhang, Xuexian; Jiang, Shouhua; Qin, Mengfan; Zhao, Na; Lang, Lina; Liu, Yaping; Tian, Zhengshu; Liu, Xia; Wang, Yang; Zhang, Binbin; Xu, Aixia

    2017-06-01

    Currently, cold temperatures are one of the main factors threatening rapeseed production worldwide; thus, it is imperative to identify cold-resistant germplasm and to cultivate cold-resistant rapeseed varieties. In this study, the cold resistance of four Brassica rapa varieties was analyzed. The cold resistance of Longyou6 and Longyou7 was better than that of Tianyou2 and Tianyou4. Thus, an F 2 population derived from Longyou6 and Tianyou4 was used to study the correlation of cold resistance and physiological indexes. Our results showed that the degree of frost damage was related to the relative conductivity and MDA content (r1 = 0.558 and r2 = 0.447, respectively). In order to identify the markers related to cold resistance, 504 pairs of SSR (simple sequence repeats) primers were used to screen the two parents and F 2 population. Four and five SSR markers had highly significant positive correlation to relative conductivity and MDA, respectively. In addition, three of these SSR markers had a highly significant positive correlation to both of these two indexes. These three SSR markers were subsequently confirmed to be used to distinguish between cold-resistant and non-cold-resistant varieties. The results of this study will lay a solid foundation for the mapping of cold-resistant genes and molecular markers assisted selection for the cold-resistance.

  3. Ensemble Classifiers for Predicting HIV-1 Resistance from Three Rule-Based Genotypic Resistance Interpretation Systems.

    Science.gov (United States)

    Raposo, Letícia M; Nobre, Flavio F

    2017-08-30

    Resistance to antiretrovirals (ARVs) is a major problem faced by HIV-infected individuals. Different rule-based algorithms were developed to infer HIV-1 susceptibility to antiretrovirals from genotypic data. However, there is discordance between them, resulting in difficulties for clinical decisions about which treatment to use. Here, we developed ensemble classifiers integrating three interpretation algorithms: Agence Nationale de Recherche sur le SIDA (ANRS), Rega, and the genotypic resistance interpretation system from Stanford HIV Drug Resistance Database (HIVdb). Three approaches were applied to develop a classifier with a single resistance profile: stacked generalization, a simple plurality vote scheme and the selection of the interpretation system with the best performance. The strategies were compared with the Friedman's test and the performance of the classifiers was evaluated using the F-measure, sensitivity and specificity values. We found that the three strategies had similar performances for the selected antiretrovirals. For some cases, the stacking technique with naïve Bayes as the learning algorithm showed a statistically superior F-measure. This study demonstrates that ensemble classifiers can be an alternative tool for clinical decision-making since they provide a single resistance profile from the most commonly used resistance interpretation systems.

  4. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... Skip to common links HHS U.S. Department of Health and Human Services U.S. Food and Drug Administration A to Z Index Follow FDA En Español Search FDA Submit search ... & Health Antimicrobial Resistance Animation of Antimicrobial Resistance Share Tweet ...

  5. Stability of ideal and resistive modes in cylindrical plasmas with resistive walls and plasma rotation

    International Nuclear Information System (INIS)

    Bondeson, A.; Xie, H.X.

    1996-01-01

    The stabilization of cylindrical plasmas by resistive walls combined with plasma rotation is analyzed. Perturbations with a single mode rational surface q=m/n in a finitely conducting plasma are treated by the resistive kink dispersion relation of Coppi. The possibilities for stabilization of ideal and resistive instabilities are explored systematically in different regions of parameter space. The study confirms that an ideal instability can be stabilized by a close-fitting wall and a rotation velocity of the order of resistive growth rate. However, the region in parameter space where such stabilization occurs is very small and appears to be difficult to exploit in experiments. The overall conclusion from the cylindrical plasma model is that resistive modes can readily be wall stabilized, whereas complete wall stabilization is hard to achieve for plasmas that are ideally unstable with the wall at infinity. 26 refs, 5 figs

  6. Adiabatic and isothermal resistivities

    International Nuclear Information System (INIS)

    Fishman, R.S.

    1989-01-01

    The force-balance method is used to calculate the isothermal resistivity to first order in the electric field. To lowest order in the impurity potential, the isothermal resistivity disagrees with the adiabatic results of the Kubo formula and the Boltzmann equation. However, an expansion of the isothermal resistivity in powers of the impurity potential is divergent, with two sets of divergent terms. The first set arises from the density matrix of the relative electron-phonon system. The second set arises from the explicit dependence of the density matrix on the electric field, which was ignored by force-balance calculations. These divergent contributions are calculated inductively, by applying a recursion relation for the Green's functions. Using the λ 2 t→∞ limit of van Hove, I show that the resummation of these divergent terms yields the same result for the resistivity as the adiabatic calculations, in direct analogy with the work of Argyres and Sigel, and Huberman and Chester

  7. Genetic resistance in experimental autoimmune encephalomyelitis. I. Analysis of the mechanism of LeR resistance using radiation chimeras

    International Nuclear Information System (INIS)

    Pelfrey, C.M.; Waxman, F.J.; Whitacre, C.C.

    1989-01-01

    Experimental autoimmune encephalomyelitis (EAE) is a cell-mediated autoimmune disease of the central nervous system that has been extensively studied in the rat. The Lewis rat is highly susceptible to the induction of EAE, while the Lewis resistant (LeR) rat is known to be resistant. In this paper, we demonstrate that the LeR rat, which was derived from the Lewis strain by inbreeding of fully resistant animals, is histocompatible with the Lewis strain. Radiation chimeras, a tool for distinguishing between immunologic and nonimmunologic resistance mechanisms, were utilized to analyze the cellular mechanisms involved in genetic resistance to EAE. By transplanting bone marrow cells from LeR rats into irradiated Lewis recipients, Lewis rats were rendered resistant to EAE induction. Likewise, transplanting Lewis bone marrow cells into irradiated LeR recipients rendered LeR rats susceptible. Mixed lymphoid cell chimeras using bone marrow, spleen, and thymus cells in Lewis recipient rats revealed individual lymphoid cell types and cell interactions that significantly affected the incidence and severity of EAE. Our results suggest that LeR resistance is mediated by hematopoietic/immune cells, and that cells located in the spleen appear to play a critical role in the resistance/susceptibility to EAE induction. Depletion of splenic adherent cells did not change the patterns of EAE resistance. In vivo cell mixing studies suggested the presence of a suppressor cell population in the LeR spleen preparations which exerted an inhibitory effect on Lewis autoimmune responses. Thus, the mechanism of LeR resistance appears to be different from that in other EAE-resistant animals

  8. Insulin Resistance and Mitochondrial Dysfunction.

    Science.gov (United States)

    Gonzalez-Franquesa, Alba; Patti, Mary-Elizabeth

    2017-01-01

    Insulin resistance precedes and predicts the onset of type 2 diabetes (T2D) in susceptible humans, underscoring its important role in the complex pathogenesis of this disease. Insulin resistance contributes to multiple tissue defects characteristic of T2D, including reduced insulin-stimulated glucose uptake in insulin-sensitive tissues, increased hepatic glucose production, increased lipolysis in adipose tissue, and altered insulin secretion. Studies of individuals with insulin resistance, both with established T2D and high-risk individuals, have consistently demonstrated a diverse array of defects in mitochondrial function (i.e., bioenergetics, biogenesis and dynamics). However, it remains uncertain whether mitochondrial dysfunction is primary (critical initiating defect) or secondary to the subtle derangements in glucose metabolism, insulin resistance, and defective insulin secretion present early in the course of disease development. In this chapter, we will present the evidence linking mitochondrial dysfunction and insulin resistance, and review the potential for mitochondrial targets as a therapeutic approach for T2D.

  9. The evolutionary benefit of insulin resistance

    NARCIS (Netherlands)

    Soeters, Maarten R.; Soeters, Peter B.

    2012-01-01

    Insulin resistance is perceived as deleterious, associated with conditions as the metabolic syndrome, type 2 diabetes mellitus and critical illness. However, insulin resistance is evolutionarily well preserved and its persistence suggests that it benefits survival. Insulin resistance is important in

  10. Resistance of stored-product insects to phosphine

    International Nuclear Information System (INIS)

    Pimentel, Marco Aurelio Guerra; Faroni, Leda Rita D'Antonino; Batista, Maurilio Duarte; Silva, Felipe Humberto da

    2008-01-01

    The objectives of this work were to assess phosphine resistance in insect populations (Tribolium castaneum, Rhyzopertha dominica, Sitophilus zeamais and Oryzaephilus surinamensis) from different regions of Brazil and to verify if the prevailing mechanism of phosphine resistance in these populations involves reduced respiration rates. Sixteen populations of T. castaneum, 15 of R. dominica, 27 of S. zeamais and eight of O. surinamensis were collected from 36 locations over seven Brazilian states. Each population was tested for resistance to phosphine, based on the response of adults to discriminating concentrations, according to FAO standard method. For each insect species, the production of carbon dioxide of the most resistant and of the most susceptible populations was inversely related to their phosphine resistance. The screening tests identified possible phosphine resistant populations. R. dominica and O. surinamensis were less susceptible to phosphine than the other two species. The populations with lower respiration rate showed a lower mortality at discriminating concentration, possibly related to a phosphine resistance mechanism. Phosphine resistance occurs in stored-product insects, in different regions of Brazil, and the resistance mechanism involves reduced respiration rate. (author)

  11. Axes of resistance for tooth movement: does the center of resistance exist in 3-dimensional space?

    Science.gov (United States)

    Viecilli, Rodrigo F; Budiman, Amanda; Burstone, Charles J

    2013-02-01

    The center of resistance is considered the most important reference point for tooth movement. It is often stated that forces through this point will result in tooth translation. The purpose of this article is to report the results of numeric experiments testing the hypothesis that centers of resistance do not exist in space as 3-dimensional points, primarily because of the geometric asymmetry of the periodontal ligament. As an alternative theory, we propose that, for an arbitrary tooth, translation references can be determined by 2-dimensional projection intersections of 3-dimensional axes of resistance. Finite element analyses were conducted on a maxillary first molar model to determine the position of the axes of rotation generated by 3-dimensional couples. Translation tests were performed to compare tooth movement by using different combinations of axes of resistance as references. The couple-generated axes of rotation did not intersect in 3 dimensions; therefore, they do not determine a 3-dimensional center of resistance. Translation was obtained by using projection intersections of the 2 axes of resistance perpendicular to the force direction. Three-dimensional axes of resistance, or their 2-dimensional projection intersections, should be used to plan movement of an arbitrary tooth. Clinical approximations to a small 3-dimensional "center of resistance volume" might be adequate in nearly symmetric periodontal ligament cases. Copyright © 2013 American Association of Orthodontists. Published by Mosby, Inc. All rights reserved.

  12. Exact asymptotic expansion for the resistance between the center node and a node on the cobweb network boundary

    Directory of Open Access Journals (Sweden)

    R. Kenna

    2014-09-01

    Full Text Available We analyze the resistance between two nodes in a cobweb network of resistors. Based on an exact expression, we derive the asymptotic expansions for the resistance between the center node and a node on the boundary of the M x N cobweb network with resistors r and s in the two spatial directions. All coefficients in this expansion are expressed through analytical functions.

  13. Prevalence of Methicillin-Resistant Staphylococcus aureus among ...

    African Journals Online (AJOL)

    Purpose: To determine the prevalence of methicillin-resistant Staphylococcus aureus (MRSA) in apparently healthy ... treatment failures is vital. Keywords: Methicillin-resistant Staphylococcus aureus, Nasal swabs, Multidrug resistance, Rational .... defined as resistance to three or more classes of antibiotics other than the ...

  14. Cry1F resistance among lepidopteran pests: a model for improved resistance management?

    Science.gov (United States)

    Vélez, Ana M; Vellichirammal, Neetha Nanoth; Jurat-Fuentes, Juan Luis; Siegfried, Blair D

    2016-06-01

    The Cry1Fa protein from the bacterium Bacillus thuringiensis (Bt) is known for its potential to control lepidopteran pests, especially through transgenic expression in maize and cotton. The maize event TC1507 expressing the cry1Fa toxin gene became commercially available in the United States in 2003 for the management of key lepidopteran pests including the European corn borer, Ostrinia nubilalis, and the fall armyworm, Spodoptera frugiperda. A high-dose/refuge strategy has been widely adopted to delay evolution of resistance to event TC1507 and other transgenic Bt crops. Efficacy of this strategy depends on the crops expressing a high dose of the Bt toxin to targeted pests and adjacent refuges of non-Bt host plants serving as a source of abundant susceptible insects. While this strategy has proved effective in delaying O. nubilalis resistance, field-evolved resistance to event TC1507 has been reported in S. frugiperda populations in Puerto Rico, Brazil, and the southeastern United States. This paper examines available information on resistance to Cry1Fa in O. nubilalis and S. frugiperda and discusses how this information identifies opportunities to refine resistance management recommendations for Bt maize. Copyright © 2016 Elsevier Inc. All rights reserved.

  15. Influence of HMB supplementation and resistance training on cytokine responses to resistance exercise.

    Science.gov (United States)

    Kraemer, William J; Hatfield, Disa L; Comstock, Brett A; Fragala, Maren S; Davitt, Patrick M; Cortis, Cristina; Wilson, Jacob M; Lee, Elaine C; Newton, Robert U; Dunn-Lewis, Courtenay; Häkkinen, Keijo; Szivak, Tunde K; Hooper, David R; Flanagan, Shawn D; Looney, David P; White, Mark T; Volek, Jeff S; Maresh, Carl M

    2014-01-01

    The purpose of this study was to determine the effects of a multinutritional supplement including amino acids, β-hydroxy-β-methylbutyrate (HMB), and carbohydrates on cytokine responses to resistance exercise and training. Seventeen healthy, college-aged men were randomly assigned to a Muscle Armor™ (MA; Abbott Nutrition, Columbus, OH) or placebo supplement group and 12 weeks of resistance training. An acute resistance exercise protocol was administered at 0, 6, and 12 weeks of training. Venous blood samples at pre-, immediately post-, and 30-minutes postexercise were analyzed via bead multiplex immunoassay for 17 cytokines. After 12 weeks of training, the MA group exhibited decreased interferon-gamma (IFN-γ) and interleukin (IL)-10. IL-1β differed by group at various times. Granulocyte colony-stimulating factor (G-CSF), granulocyte-macrophage colony-stimulating factor (GM-CSF), IL-6, IL-7, IL-8, IL-12p70, IL-13, IL-17, monocyte chemoattractant protein-1 (MCP-1), and macrophage inflammatory protein-1 beta (MIP-1β) changed over the 12-week training period but did not differ by group. Twelve weeks of resistance training alters the cytokine response to acute resistance exercise, and supplementation with HMB and amino acids appears to further augment this result.

  16. Arterial Stiffness and Autonomic Modulation After Free-Weight Resistance Exercises in Resistance Trained Individuals.

    Science.gov (United States)

    Kingsley, J Derek; Mayo, Xián; Tai, Yu Lun; Fennell, Curtis

    2016-12-01

    Kingsley, JD, Mayo, X, Tai, YL, and Fennell, C. Arterial stiffness and autonomic modulation after free-weight resistance exercises in resistance trained individuals. J Strength Cond Res 30(12): 3373-3380, 2016-We investigated the effects of an acute bout of free-weight, whole-body resistance exercise consisting of the squat, bench press, and deadlift on arterial stiffness and cardiac autonomic modulation in 16 (aged 23 ± 3 years; mean ± SD) resistance-trained individuals. Arterial stiffness, autonomic modulation, and baroreflex sensitivity (BRS) were assessed at rest and after 3 sets of 10 repetitions at 75% 1-repetition maximum on each exercise with 2 minutes of rest between sets and exercises. Arterial stiffness was analyzed using carotid-femoral pulse wave velocity (cf-PWV). Linear heart rate variability (log transformed [ln] absolute and normalized units [nu] of low-frequency [LF] and high-frequency [HF] power) and nonlinear heart rate complexity (Sample Entropy [SampEn], Lempel-Ziv Entropy [LZEn]) were measured to determine autonomic modulation. BRS was measured by the sequence method. A 2 × 2 repeated measures analysis of variance (ANOVA) was used to analyze time (rest, recovery) across condition (acute resistance exercise, control). There were significant increases in cf-PWV (p = 0.05), heart rate (p = 0.0001), normalized LF (LFnu; p = 0.001), and the LF/HF ratio (p = 0.0001). Interactions were also noted for ln HF (p = 0.006), HFnu (p = 0.0001), SampEn (p = 0.001), LZEn (p = 0.005), and BRS (p = 0.0001) such that they significantly decreased during recovery from the resistance exercise compared with rest and the control. There was no effect on ln total power, or ln LF. These data suggest that a bout of resistance exercise using free-weights increases arterial stiffness and reduces vagal activity and BRS in comparison with a control session. Vagal tone may not be fully recovered up to 30 minutes after a resistance exercise bout.

  17. Parallel screening of wild-type and drug-resistant targets for anti-resistance neuraminidase inhibitors.

    Directory of Open Access Journals (Sweden)

    Kai-Cheng Hsu

    Full Text Available Infection with influenza virus is a major public health problem, causing serious illness and death each year. Emergence of drug-resistant influenza virus strains limits the effectiveness of drug treatment. Importantly, a dual H275Y/I223R mutation detected in the pandemic influenza A 2009 virus strain results in multidrug resistance to current neuraminidase (NA drugs. Therefore, discovery of new agents for treating multiple drug-resistant (MDR influenza virus infections is important. Here, we propose a parallel screening strategy that simultaneously screens wild-type (WT and MDR NAs, and identifies inhibitors matching the subsite characteristics of both NA-binding sites. These may maintain their potency when drug-resistant mutations arise. Initially, we analyzed the subsite of the dual H275Y/I223R NA mutant. Analysis of the site-moiety maps of NA protein structures show that the mutant subsite has a relatively small volume and is highly polar compared with the WT subsite. Moreover, the mutant subsite has a high preference for forming hydrogen-bonding interactions with polar moieties. These changes may drive multidrug resistance. Using this strategy, we identified a new inhibitor, Remazol Brilliant Blue R (RB19, an anthraquinone dye, which inhibited WT NA and MDR NA with IC(50 values of 3.4 and 4.5 µM, respectively. RB19 comprises a rigid core scaffold and a flexible chain with a large polar moiety. The former interacts with highly conserved residues, decreasing the probability of resistance. The latter forms van der Waals contacts with the WT subsite and yields hydrogen bonds with the mutant subsite by switching the orientation of its flexible side chain. Both scaffolds of RB19 are good starting points for lead optimization. The results reveal a parallel screening strategy for identifying resistance mechanisms and discovering anti-resistance neuraminidase inhibitors. We believe that this strategy may be applied to other diseases with high

  18. Phosphine resistance does not confer cross-resistance to sulfuryl fluoride in four major stored grain insect pests.

    Science.gov (United States)

    Jagadeesan, Rajeswaran; Nayak, Manoj K

    2017-07-01

    Susceptibility to phosphine (PH 3 ) and sulfuryl fluoride (SF) and cross-resistance to SF were evaluated in two life stages (eggs and adults) of key grain insect pests, Rhyzopertha dominca (F.), Sitophilus oryzae (L.), Cryptolestes ferrugineus (Stephens), and Tribolium castaneum (Herbst). This study was performed with an aim to integrate SF into phosphine resistance management programmes in Australia. Characterisation of susceptibility and resistance to phosphine in eggs and adults showed that C. ferrugineus was the most tolerant as well as resistant species. Mortality responses of eggs and adults to SF at 25 °C revealed T. castaneum to be the most tolerant species followed by S. oryzae, C. ferrugineus and R. dominica. A high dose range of SF, 50.8-62.2 mg L -1 over 48 h, representing c (concentration) × t (time) products of 2438-2985 gh m -3 , was required for complete control of eggs of T. castaneum, whereas eggs of the least tolerant R. dominca required only 630 gh m -3 for 48 h (13.13 mg L -1 ). Mortality response of eggs and adults of phosphine-resistant strains to SF in all four species confirmed the lack of cross-resistance to SF. Our research concludes that phosphine resistance does not confer cross-resistance to SF in grain insect pests irrespective of the variation in levels of tolerance to SF itself or resistance to phosphine in their egg and adult stages. While our study confirms that SF has potential as a 'phosphine resistance breaker', the observed higher tolerance in eggs stresses the importance of developing SF fumigation protocols with longer exposure periods. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  19. Correlations between plasma noradrenaline concentrations, antioxidants, and neutrophil counts after submaximal resistance exercise in men

    Science.gov (United States)

    Ramel, A; Wagner, K; Elmadfa, I

    2004-01-01

    Objectives: To investigate noradrenaline concentrations, neutrophil counts, plasma antioxidants, and lipid oxidation products before and after acute resistance exercise. Methods: 17 male participants undertook a submaximal resistance exercise circuit (10 exercises; 75% of the one repetition maximum; mean (SD) exercise time, 18.6 (1.1) minutes). Blood samples were taken before and immediately after exercise and analysed for plasma antioxidants, noradrenaline, neutrophils, and lipid oxidation products. Wilcoxon's signed-rank test and Pearson's correlation coefficient were used for calculations. Results: Neutrophils, noradrenaline, fat soluble antioxidants, and lipid oxidation products increased after exercise. Noradrenaline concentrations were associated with higher antioxidant concentrations. Neutrophils were related to higher concentrations of conjugated dienes. Conclusions: Submaximal resistance exercise increases plasma antioxidants. This might reflect enhanced antioxidant defence in response to the oxidative stress of exercise, though this is not efficient for inhibiting lipid oxidation. The correlation between noradrenaline concentrations and plasma antioxidants suggests a modulating role of the stress hormone. Neutrophils are a possible source of oxidative stress after resistance exercise. PMID:15388566

  20. Non-p-glycoprotein-mediated multidrug resistance in detransformed rat cells selected for resistance to methylglyoxal bis(guanylhydrazone).

    Science.gov (United States)

    Weber, J M; Sircar, S; Horvath, J; Dion, P

    1989-11-01

    Three independent variants (G2, G4, G5), resistant to methylglyoxal bis(guanylhydrazone), an anticancer drug, have been isolated by single step selection from an adenovirus-transformed rat brain cell line (1). These variants display selective cross-resistance to several natural product drugs of dissimilar structure and action. Multidrug resistance has recently been shown to be caused by overexpression of the membrane-associated p-glycoprotein, most often caused by amplification of the mdr gene. Several types of experiments were conducted to determine whether the observed drug resistance in our cell lines could be due to changes at the mdr locus. The following results were obtained: (a) the mdr locus was not amplified; (b) transcription of the mdr gene and p-glycoprotein synthesis were not increased; (c) multidrug resistance cell lines, which carry an amplified mdr locus, were not cross-resistant to methylglyoxal bis(guanylhydrazone); (d) verapamil did not reverse the resistance of G cells or mdr cells to methylglyoxal bis(guanylhydrazone), nor that of G cells to vincristine; and (e) methylglyoxal bis(guanylhydrazone) resistance was recessive and depended on a block to drug uptake, as opposed to mdr cells which are dominant and express increased drug efflux. The results obtained suggest that the drug resistance in the G2, G4, and G5 cells was atypical and may be due to a mechanism distinct from that mediated by the mdr locus.

  1. Novel Aminoglycoside Resistance Transposons and Transposon-Derived Circular Forms Detected in Carbapenem-Resistant Acinetobacter baumannii Clinical Isolates

    Science.gov (United States)

    Dwibedi, Chinmay Kumar; Sjöström, Karin; Edquist, Petra; Wai, Sun Nyunt; Uhlin, Bernt Eric

    2016-01-01

    Acinetobacter baumannii has emerged as an important opportunistic pathogen equipped with a growing number of antibiotic resistance genes. Our study investigated the molecular epidemiology and antibiotic resistance features of 28 consecutive carbapenem-resistant clinical isolates of A. baumannii collected throughout Sweden in 2012 and 2013. The isolates mainly belonged to clonal complexes (CCs) with an extensive international distribution, such as CC2 (n = 16) and CC25 (n = 7). Resistance to carbapenems was related to blaOXA-23 (20 isolates), blaOXA-24/40-like (6 isolates), blaOXA-467 (1 isolate), and ISAba1-blaOXA-69 (1 isolate). Ceftazidime resistance was associated with blaPER-7 in the CC25 isolates. Two classical point mutations were responsible for resistance to quinolones in all the isolates. Isolates with high levels of resistance to aminoglycosides carried the 16S rRNA methylase armA gene. The isolates also carried a variety of genes encoding aminoglycoside-modifying enzymes. Several novel structures involved in aminoglycoside resistance were identified, including Tn6279, ΔTn6279, Ab-ST3-aadB, and different assemblies of Tn6020 and TnaphA6. Importantly, a number of circular forms related to the IS26 or ISAba125 composite transposons were detected. The frequent occurrence of these circular forms in the populations of several isolates indicates a potential role of these circular forms in the dissemination of antibiotic resistance genes. PMID:26824943

  2. Retinal nerve fibre layer thinning is associated with drug resistance in epilepsy.

    Science.gov (United States)

    Balestrini, Simona; Clayton, Lisa M S; Bartmann, Ana P; Chinthapalli, Krishna; Novy, Jan; Coppola, Antonietta; Wandschneider, Britta; Stern, William M; Acheson, James; Bell, Gail S; Sander, Josemir W; Sisodiya, Sanjay M

    2016-04-01

    Retinal nerve fibre layer (RNFL) thickness is related to the axonal anterior visual pathway and is considered a marker of overall white matter 'integrity'. We hypothesised that RNFL changes would occur in people with epilepsy, independently of vigabatrin exposure, and be related to clinical characteristics of epilepsy. Three hundred people with epilepsy attending specialist clinics and 90 healthy controls were included in this cross-sectional cohort study. RNFL imaging was performed using spectral-domain optical coherence tomography (OCT). Drug resistance was defined as failure of adequate trials of two antiepileptic drugs to achieve sustained seizure freedom. The average RNFL thickness and the thickness of each of the 90° quadrants were significantly thinner in people with epilepsy than healthy controls (p<0.001, t test). In a multivariate logistic regression model, drug resistance was the only significant predictor of abnormal RNFL thinning (OR=2.09, 95% CI 1.09 to 4.01, p=0.03). Duration of epilepsy (coefficient -0.16, p=0.004) and presence of intellectual disability (coefficient -4.0, p=0.044) also showed a significant relationship with RNFL thinning in a multivariate linear regression model. Our results suggest that people with epilepsy with no previous exposure to vigabatrin have a significantly thinner RNFL than healthy participants. Drug resistance emerged as a significant independent predictor of RNFL borderline attenuation or abnormal thinning in a logistic regression model. As this is easily assessed by OCT, RNFL thickness might be used to better understand the mechanisms underlying drug resistance, and possibly severity. Longitudinal studies are needed to confirm our findings. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  3. Animation of Antimicrobial Resistance

    Medline Plus

    Full Text Available ... More in Antimicrobial Resistance National Antimicrobial Resistance Monitoring System About NARMS 2015 NARMS Integrated Report Data Meetings ... Deutsch | 日本語 | فارسی | English FDA Accessibility Careers FDA Basics FOIA No FEAR ...

  4. Adipokines and Hepatic Insulin Resistance

    Science.gov (United States)

    Hassan, Waseem

    2013-01-01

    Obesity is a major risk factor for insulin resistance and type 2 diabetes. Adipose tissue is now considered to be an active endocrine organ that secretes various adipokines such as adiponectin, leptin, resistin, tumour necrosis factor-α, and interleukin-6. Recent studies have shown that these factors might provide a molecular link between increased adiposity and impaired insulin sensitivity. Since hepatic insulin resistance plays the key role in the whole body insulin resistance, clarification of the regulatory processes about hepatic insulin resistance by adipokines in rodents and human would seem essential in order to understand the mechanism of type 2 diabetes and for developing novel therapeutic strategies to treat it. PMID:23762871

  5. The Effect of Tensile Hysteresis and Contact Resistance on the Performance of Strain-Resistant Elastic-Conductive Webbing

    Directory of Open Access Journals (Sweden)

    Tien-Wei Shyr

    2011-01-01

    Full Text Available To use e-textiles as a strain-resistance sensor they need to be both elastic and conductive. Three kinds of elastic-conductive webbings, including flat, tubular, and belt webbings, made of Lycra fiber and carbon coated polyamide fiber, were used in this study. The strain-resistance properties of the webbings were evaluated in stretch-recovery tests and measured within 30% strain. It was found that tensile hysteresis and contact resistance significantly influence the tensile elasticity and the resistance sensitivity of the webbings. The results showed that the webbing structure definitely contributes to the tensile hysteresis and contact resistance. The smaller the friction is among the yarns in the belt webbing, the smaller the tensile hysteresis loss. However the close proximity of the conductive yarns in flat and tubular webbings results in a lower contact resistance.

  6. Embodied resistance to persuasion in advertising

    DEFF Research Database (Denmark)

    Lewinski, Peter; Fransen, Marieke L.; Tan, Ed

    2016-01-01

    expression in resisting persuasion is so far underexplored. This is a surprising observation if one considers that at least 40% of advertisements use positive emotions (i.e., happiness) to persuade people to like the ad, brand, and product (Weinberger et al., 1995). In this article we present a framework......From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing, and selective attention (e.g., Fransen et al., 2015b). However, the role of emotion regulation and bodily...... in which we apply previous knowledge and theories on emotion regulation and embodiment to the process of resistance to persuasion. In doing so, we specifically address the role of facial expression in the course of resistance. The literature and findings from our own research lead us to propose that people...

  7. Limited fitness costs of herbicide-resistance traits in Amaranthus tuberculatus facilitate resistance evolution

    Science.gov (United States)

    The fitness cost of herbicide resistance (HR) in the absence of herbicide selection plays a key role in HR evolution. Quantifying the fitness cost of resistance, however, is challenging, and there exists a knowledge gap in this area. A synthetic Amaranthus tuberculatus population segregating for fiv...

  8. The determinants of the antibiotic resistance process

    Directory of Open Access Journals (Sweden)

    Beatriz Espinosa Franco

    2009-04-01

    Full Text Available Beatriz Espinosa Franco1, Marina Altagracia Martínez2, Martha A Sánchez Rodríguez1, Albert I Wertheimer31Facultad de Estudios Superiores Zaragoza (UNAM, Mexico; 2Universidad Autónoma Metropolitana Unidad Xochimilco, Mexico; 3Temple University, Philadelphia, Pennsylvania, USABackground: The use of antibiotic drugs triggers a complex interaction involving many biological, sociological, and psychological determinants. Resistance to antibiotics is a serious worldwide problem which is increasing and has implications for morbidity, mortality, and health care both in hospitals and in the community.Objectives: To analyze current research on the determinants of antibiotic resistance and comprehensively review the main factors in the process of resistance in order to aid our understanding and assessment of this problem.Methods: We conducted a MedLine search using the key words “determinants”, “antibiotic”, and “antibiotic resistance” to identify publications between 1995 and 2007 on the determinants of antibiotic resistance. Publications that did not address the determinants of antibiotic resistance were excluded.Results: The process and determinants of antibiotic resistance are described, beginning with the development of antibiotics, resistance and the mechanisms of resistance, sociocultural determinants of resistance, the consequences of antibiotic resistance, and alternative measures proposed to combat antibiotic resistance.Conclusions: Analysis of the published literature identified the main determinants of antibiotic resistance as irrational use of antibiotics in humans and animal species, insufficient patient education when antibiotics are prescribed, lack of guidelines for treatment and control of infections, lack of scientific information for physicians on the rational use of antibiotics, and lack of official government policy on the rational use of antibiotics in public and private hospitals.Keywords: antibiotic drug resistance

  9. Water-resistant alanine-EPR dosimeter alanpol

    International Nuclear Information System (INIS)

    Peimel-Stuglik, Zofia; Bryl-Sandelewska, Teresa; Mirkowski, Krzysztof; Sartowska, Bozena

    2009-01-01

    Alanpol-water-resistant alanine-electron paramagnetic resonance (EPR) dosimeter consisted of cheap DL-α-alanine (9.8-27%) suspended in polyethylene matrix was presented. The rods (O=2.8 mm) were extruded from a hot mixture of alanine and low-density polyethylene. No grinding or crushing was used for alanine preparation. An orientation of cylindrical crystals, up to 300 μm long in parallel to the rod axis was responsible for some differences in a shape of EPR signal. These differences had no negative consequences for dosimetric applications. Signal-to-dose dependence was linear up to 10 kGy. Standard deviation of dosimetric answer was up to ±1.8% and up to 2.4% for dosimeters with 9.8% and 27% of DL-α-alanine, respectively. Irradiation temperature coefficient for both dosimeters was equal 0.2%/ deg. C. Hydrophobic properties of polyethylene and small number of alanine crystals located on the surface of the rod led to high resistance of dosimeters to water and humidity. The 24 h soaking of irradiated dosimeters in liquid water-reduced EPR signals by 3-4% and by 2-3% for dosimeters with 27% and 9.8% of DL-α-alanine, respectively. Three month storage time of irradiated dosimeters in room conditions decreases EPR signal for ∼3%.

  10. Reducing Psychological Resistance to Digital Repositories

    Directory of Open Access Journals (Sweden)

    Brian Quinn

    2010-06-01

    Full Text Available The potential value of digital repositories is dependent on the cooperation of scholars to deposit their work. Although many researchers have been resistant to submitting their work, the literature on digital repositories contains very little research on the psychology of resistance. This article looks at the psychological literature on resistance and explores what its implications might be for reducing the resistance of scholars to submitting their work to digital repositories. Psychologists have devised many potentially useful strategies for reducing resistance that might be used to address the problem; this article examines these strategies and how they might be applied.

  11. Zinc and copper in animal feed – development of resistance and co-resistance to antimicrobial agents in bacteria of animal origin

    Directory of Open Access Journals (Sweden)

    Siamak Yazdankhah

    2014-09-01

    Full Text Available Farmed animals such as pig and poultry receive additional Zn and Cu in their diets due to supplementing elements in compound feed as well as medical remedies. Enteral bacteria in farmed animals are shown to develop resistance to trace elements such as Zn and Cu. Resistance to Zn is often linked with resistance to methicillin in staphylococci, and Zn supplementation to animal feed may increase the proportion of multiresistant E. coli in the gut. Resistance to Cu in bacteria, in particular enterococci, is often associated with resistance to antimicrobial drugs like macrolides and glycopeptides (e.g. vancomycin. Such resistant bacteria may be transferred from the food-producing animals to humans (farmers, veterinarians, and consumers. Data on dose-response relation for Zn/Cu exposure and resistance are lacking; however, it seems more likely that a resistance-driven effect occurs at high trace element exposure than at more basal exposure levels. There is also lack of data which could demonstrate whether Zn/Cu-resistant bacteria may acquire antibiotic resistance genes/become antibiotics resistant, or if antibiotics-resistant bacteria are more capable to become Zn/Cu resistant than antibiotics-susceptible bacteria. Further research is needed to elucidate the link between Zn/Cu and antibiotic resistance in bacteria.

  12. Electrodeposition of diamond-like carbon films on titanium alloy using organic liquids: Corrosion and wear resistance

    International Nuclear Information System (INIS)

    Falcade, Tiago; Shmitzhaus, Tobias Eduardo; Gomes dos Reis, Otávio; Vargas, André Luis Marin; Hübler, Roberto; Müller, Iduvirges Lourdes; Fraga Malfatti, Célia de

    2012-01-01

    Highlights: ► The electrodeposition may be conducted at room temperature. ► The DLC films have good resistance to corrosion in saline environments. ► The films have lower coefficient of friction than the uncoated substrate. ► The abrasive wear protection is evident in coated systems. - Abstract: Diamond-like carbon (DLC) films have been studied as coatings for corrosion protection and wear resistance because they have excellent chemical inertness in traditional corrosive environments, besides presenting a significant reduction in coefficient of friction. Diamond-like carbon (DLC) films obtained by electrochemical deposition techniques have attracted a lot of interest, regarding their potential in relation to the vapor phase deposition techniques. The electrochemical deposition techniques are carried out at room temperature and do not need vacuum system, making easier this way the technological transfer. At high electric fields, the organic molecules polarize and react on the electrode surface, forming carbon films. The aim of this work was to obtain DLC films onto Ti6Al4V substrate using as electrolyte: acetonitrile (ACN) and N,N-dimethylformamide (DMF). The films were characterized by atomic force microscopy (AFM), scanning electron microscopy (SEM), Raman spectroscopy, potentiodynamic polarization and wear tests. The results show that these films can improve, significantly, the corrosion resistance of titanium and its alloys and their wear resistance.

  13. Embodied resistance to persuasion in advertising

    NARCIS (Netherlands)

    Lewinski, P.; Fransen, M.L.; Tan, E.S.

    From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing, and selective attention (e.g., Fransen et al., 2015b). However, the role of emotion regulation and bodily

  14. Occurrence and Distribution of Antibiotic-resistant Bacteria and Transfer of Resistance Genes in Lake Taihu

    OpenAIRE

    Yin, Qian; Yue, Dongmei; Peng, Yuke; Liu, Ying; Xiao, Lin

    2013-01-01

    The overuse of antibiotics has accelerated antibiotic resistance in the natural environment, especially fresh water, generating a potential risk for public health around the world. In this study, antibiotic resistance in Lake Taihu was investigated and this was the first thorough data obtained through culture-dependent methods. High percentages of resistance to streptomycin and ampicillin among bacterial isolates were detected, followed by tetracycline and chloramphenicol. Especially high lev...

  15. Provision of wear resistance and fatigue strength of surfaces during electroerosive processing

    Science.gov (United States)

    Fedonin, O. N.; Syanov, S. Yu; Papikyan, A. M.

    2018-03-01

    This article is a generalization of the results of theoretical studies of the effect of erosion control regimes on the operational properties of mold-forming parts of molds. The main problem is the provision of wear resistance and fatigue strength in the electroerosion processing of these types of products. The analysis showed that the fatigue strength is affected by the processing regimes and the coefficient after the erosion treatment. The index of wear resistance is determined both by the treatment modes and by the physical-mechanical properties of the billet materials. To ensure the operational performance of products, it is necessary to establish the physical picture of the processing of complex profile parts by finding the optimum eroding regime.

  16. Sequence Exchange between Homologous NB-LRR Genes Converts Virus Resistance into Nematode Resistance, and Vice Versa.

    Science.gov (United States)

    Slootweg, Erik; Koropacka, Kamila; Roosien, Jan; Dees, Robert; Overmars, Hein; Lankhorst, Rene Klein; van Schaik, Casper; Pomp, Rikus; Bouwman, Liesbeth; Helder, Johannes; Schots, Arjen; Bakker, Jaap; Smant, Geert; Goverse, Aska

    2017-09-01

    Plants have evolved a limited repertoire of NB-LRR disease resistance ( R ) genes to protect themselves against myriad pathogens. This limitation is thought to be counterbalanced by the rapid evolution of NB-LRR proteins, as only a few sequence changes have been shown to be sufficient to alter resistance specificities toward novel strains of a pathogen. However, little is known about the flexibility of NB-LRR R genes to switch resistance specificities between phylogenetically unrelated pathogens. To investigate this, we created domain swaps between the close homologs Gpa2 and Rx1 , which confer resistance in potato ( Solanum tuberosum ) to the cyst nematode Globodera pallida and Potato virus X , respectively. The genetic fusion of the CC-NB-ARC of Gpa2 with the LRR of Rx1 (Gpa2 CN /Rx1 L ) results in autoactivity, but lowering the protein levels restored its specific activation response, including extreme resistance to Potato virus X in potato shoots. The reciprocal chimera (Rx1 CN /Gpa2 L ) shows a loss-of-function phenotype, but exchange of the first three LRRs of Gpa2 by the corresponding region of Rx1 was sufficient to regain a wild-type resistance response to G. pallida in the roots. These data demonstrate that exchanging the recognition moiety in the LRR is sufficient to convert extreme virus resistance in the leaves into mild nematode resistance in the roots, and vice versa. In addition, we show that the CC-NB-ARC can operate independently of the recognition specificities defined by the LRR domain, either aboveground or belowground. These data show the versatility of NB-LRR genes to generate resistance to unrelated pathogens with completely different lifestyles and routes of invasion. © 2017 American Society of Plant Biologists. All Rights Reserved.

  17. Identification and characterization of two novel bla(KLUC resistance genes through large-scale resistance plasmids sequencing.

    Directory of Open Access Journals (Sweden)

    Teng Xu

    Full Text Available Plasmids are important antibiotic resistance determinant carriers that can disseminate various drug resistance genes among species or genera. By using a high throughput sequencing approach, two groups of plasmids of Escherichia coli (named E1 and E2, each consisting of 160 clinical E. coli strains isolated from different periods of time were sequenced and analyzed. A total of 20 million reads were obtained and mapped onto the known resistance gene sequences. As a result, a total of 9 classes, including 36 types of antibiotic resistant genes, were identified. Among these genes, 25 and 27 single nucleotide polymorphisms (SNPs appeared, of which 9 and 12 SNPs are nonsynonymous substitutions in the E1 and E2 samples. It is interesting to find that a novel genotype of bla(KLUC, whose close relatives, bla(KLUC-1 and bla(KLUC-2, have been previously reported as carried on the Kluyvera cryocrescens chromosome and Enterobacter cloacae plasmid, was identified. It shares 99% and 98% amino acid identities with Kluc-1 and Kluc-2, respectively. Further PCR screening of 608 Enterobacteriaceae family isolates yielded a second variant (named bla(KLUC-4. It was interesting to find that Kluc-3 showed resistance to several cephalosporins including cefotaxime, whereas bla(KLUC-4 did not show any resistance to the antibiotics tested. This may be due to a positively charged residue, Arg, replaced by a neutral residue, Leu, at position 167, which is located within an omega-loop. This work represents large-scale studies on resistance gene distribution, diversification and genetic variation in pooled multi-drug resistance plasmids, and provides insight into the use of high throughput sequencing technology for microbial resistance gene detection.

  18. The Lr34 adult plant rust resistance gene provides seedling resistance in durum wheat without senescence.

    Science.gov (United States)

    Rinaldo, Amy; Gilbert, Brian; Boni, Rainer; Krattinger, Simon G; Singh, Davinder; Park, Robert F; Lagudah, Evans; Ayliffe, Michael

    2017-07-01

    The hexaploid wheat (Triticum aestivum) adult plant resistance gene, Lr34/Yr18/Sr57/Pm38/Ltn1, provides broad-spectrum resistance to wheat leaf rust (Lr34), stripe rust (Yr18), stem rust (Sr57) and powdery mildew (Pm38) pathogens, and has remained effective in wheat crops for many decades. The partial resistance provided by this gene is only apparent in adult plants and not effective in field-grown seedlings. Lr34 also causes leaf tip necrosis (Ltn1) in mature adult plant leaves when grown under field conditions. This D genome-encoded bread wheat gene was transferred to tetraploid durum wheat (T. turgidum) cultivar Stewart by transformation. Transgenic durum lines were produced with elevated gene expression levels when compared with the endogenous hexaploid gene. Unlike nontransgenic hexaploid and durum control lines, these transgenic plants showed robust seedling resistance to pathogens causing wheat leaf rust, stripe rust and powdery mildew disease. The effectiveness of seedling resistance against each pathogen correlated with the level of transgene expression. No evidence of accelerated leaf necrosis or up-regulation of senescence gene markers was apparent in these seedlings, suggesting senescence is not required for Lr34 resistance, although leaf tip necrosis occurred in mature plant flag leaves. Several abiotic stress-response genes were up-regulated in these seedlings in the absence of rust infection as previously observed in adult plant flag leaves of hexaploid wheat. Increasing day length significantly increased Lr34 seedling resistance. These data demonstrate that expression of a highly durable, broad-spectrum adult plant resistance gene can be modified to provide seedling resistance in durum wheat. © 2016 The Authors. Plant Biotechnology Journal published by Society for Experimental Biology and The Association of Applied Biologists and John Wiley & Sons Ltd.

  19. Exosomes from adriamycin-resistant breast cancer cells transmit drug resistance partly by delivering miR-222.

    Science.gov (United States)

    Yu, Dan-Dan; Wu, Ying; Zhang, Xiao-Hui; Lv, Meng-Meng; Chen, Wei-Xian; Chen, Xiu; Yang, Su-Jin; Shen, Hongyu; Zhong, Shan-Liang; Tang, Jin-Hai; Zhao, Jian-Hua

    2016-03-01

    Breast cancer (BCa) is one of the major deadly cancers in women. However, treatment of BCa is still hindered by the acquired-drug resistance. It is increasingly reported that exosomes take part in the development, metastasis, and drug resistance of BCa. However, the specific role of exosomes in drug resistance of BCa is poorly understood. In this study, we investigate whether exosomes transmit drug resistance through delivering miR-222. We established an adriamycin-resistant variant of Michigan Cancer Foundation-7 (MCF-7) breast cancer cell line (MCF-7/Adr) from a drug-sensitive variant (MCF-7/S). Exosomes were isolated from cell supernatant by ultracentrifugation. Cell viability was assessed by MTT assay and apoptosis assay. Individual miR-222 molecules in BCa cells were detected by fluorescence in situ hybridization (FISH). Then, FISH was combined with locked nucleic acid probes and enzyme-labeled fluorescence (LNA-ELF-FISH). Individual miR-222 could be detected as bright photostable fluorescent spots and then the quantity of miR-222 per cell could be counted. Stained exosomes were taken in by the receipt cells. MCF-7/S acquired drug resistance after co-culture with exosomes from MCF-7/Adr (A/exo) but did not after co-culture with exosomes from MCF-7/S (S/exo). The quantity of miR-222 in A/exo-treated MCF-7/S was significantly greater than in S/exo-treated MCF-7/S. MCF-7/S transfected with miR-222 mimics acquired adriamycin resistance while MCF-7/S transfected with miR-222 inhibitors lost resistance. In conclusion, exosomes are effective in transmitting drug resistance and the delivery of miR-222 via exosomes may be a mechanism.

  20. Pyramiding, alternating or mixing: comparative performances of deployment strategies of nematode resistance genes to promote plant resistance efficiency and durability.

    Science.gov (United States)

    Djian-Caporalino, Caroline; Palloix, Alain; Fazari, Ariane; Marteu, Nathalie; Barbary, Arnaud; Abad, Pierre; Sage-Palloix, Anne-Marie; Mateille, Thierry; Risso, Sabine; Lanza, Roger; Taussig, Catherine; Castagnone-Sereno, Philippe

    2014-02-22

    Resistant cultivars are key elements for pathogen control and pesticide reduction, but their repeated use may lead to the emergence of virulent pathogen populations, able to overcome the resistance. Increased research efforts, mainly based on theoretical studies, explore spatio-temporal deployment strategies of resistance genes in order to maximize their durability. We evaluated experimentally three of these strategies to control root-knot nematodes: cultivar mixtures, alternating and pyramiding resistance genes, under controlled and field conditions over a 3-years period, assessing the efficiency and the durability of resistance in a protected crop rotation system with pepper as summer crop and lettuce as winter crop. The choice of the resistance gene and the genetic background in which it is introgressed, affected the frequency of resistance breakdown. The pyramiding of two different resistance genes in one genotype suppressed the emergence of virulent isolates. Alternating different resistance genes in rotation was also efficient to decrease virulent populations in fields due to the specificity of the virulence and the trapping effect of resistant plants. Mixing resistant cultivars together appeared as a less efficient strategy to control nematodes. This work provides experimental evidence that, in a cropping system with seasonal sequences of vegetable species, pyramiding or alternating resistance genes benefit yields in the long-term by increasing the durability of resistant cultivars and improving the long-term control of a soil-borne pest. To our knowledge, this result is the first one obtained for a plant-nematode interaction, which helps demonstrate the general applicability of such strategies for breeding and sustainable management of resistant cultivars against pathogens.