WorldWideScience

Sample records for quality improvement process

  1. PROCESS VARIABILITY REDUCTION THROUGH STATISTICAL PROCESS CONTROL FOR QUALITY IMPROVEMENT

    Directory of Open Access Journals (Sweden)

    B.P. Mahesh

    2010-09-01

    Full Text Available Quality has become one of the most important customer decision factors in the selection among the competing product and services. Consequently, understanding and improving quality is a key factor leading to business success, growth and an enhanced competitive position. Hence quality improvement program should be an integral part of the overall business strategy. According to TQM, the effective way to improve the Quality of the product or service is to improve the process used to build the product. Hence, TQM focuses on process, rather than results as the results are driven by the processes. Many techniques are available for quality improvement. Statistical Process Control (SPC is one such TQM technique which is widely accepted for analyzing quality problems and improving the performance of the production process. This article illustrates the step by step procedure adopted at a soap manufacturing company to improve the Quality by reducing process variability using Statistical Process Control.

  2. Process safety improvement-Quality and target zero

    Energy Technology Data Exchange (ETDEWEB)

    Van Scyoc, Karl [Det Norske Veritas (U.S.A.) Inc., DNV Energy Solutions, 16340 Park Ten Place, Suite 100, Houston, TX 77084 (United States)], E-mail: karl.van.scyoc@dnv.com

    2008-11-15

    Process safety practitioners have adopted quality management principles in design of process safety management systems with positive effect, yet achieving safety objectives sometimes remain a distant target. Companies regularly apply tools and methods which have roots in quality and productivity improvement. The 'plan, do, check, act' improvement loop, statistical analysis of incidents (non-conformities), and performance trending popularized by Dr. Deming are now commonly used in the context of process safety. Significant advancements in HSE performance are reported after applying methods viewed as fundamental for quality management. In pursuit of continual process safety improvement, the paper examines various quality improvement methods, and explores how methods intended for product quality can be additionally applied to continual improvement of process safety. Methods such as Kaizen, Poke yoke, and TRIZ, while long established for quality improvement, are quite unfamiliar in the process safety arena. These methods are discussed for application in improving both process safety leadership and field work team performance. Practical ways to advance process safety, based on the methods, are given.

  3. Process safety improvement-Quality and target zero

    International Nuclear Information System (INIS)

    Van Scyoc, Karl

    2008-01-01

    Process safety practitioners have adopted quality management principles in design of process safety management systems with positive effect, yet achieving safety objectives sometimes remain a distant target. Companies regularly apply tools and methods which have roots in quality and productivity improvement. The 'plan, do, check, act' improvement loop, statistical analysis of incidents (non-conformities), and performance trending popularized by Dr. Deming are now commonly used in the context of process safety. Significant advancements in HSE performance are reported after applying methods viewed as fundamental for quality management. In pursuit of continual process safety improvement, the paper examines various quality improvement methods, and explores how methods intended for product quality can be additionally applied to continual improvement of process safety. Methods such as Kaizen, Poke yoke, and TRIZ, while long established for quality improvement, are quite unfamiliar in the process safety arena. These methods are discussed for application in improving both process safety leadership and field work team performance. Practical ways to advance process safety, based on the methods, are given

  4. Process safety improvement--quality and target zero.

    Science.gov (United States)

    Van Scyoc, Karl

    2008-11-15

    Process safety practitioners have adopted quality management principles in design of process safety management systems with positive effect, yet achieving safety objectives sometimes remain a distant target. Companies regularly apply tools and methods which have roots in quality and productivity improvement. The "plan, do, check, act" improvement loop, statistical analysis of incidents (non-conformities), and performance trending popularized by Dr. Deming are now commonly used in the context of process safety. Significant advancements in HSE performance are reported after applying methods viewed as fundamental for quality management. In pursuit of continual process safety improvement, the paper examines various quality improvement methods, and explores how methods intended for product quality can be additionally applied to continual improvement of process safety. Methods such as Kaizen, Poke yoke, and TRIZ, while long established for quality improvement, are quite unfamiliar in the process safety arena. These methods are discussed for application in improving both process safety leadership and field work team performance. Practical ways to advance process safety, based on the methods, are given.

  5. QUALITY IMPROVEMENT MODEL AT THE MANUFACTURING PROCESS PREPARATION LEVEL

    Directory of Open Access Journals (Sweden)

    Dusko Pavletic

    2009-12-01

    Full Text Available The paper expresses base for an operational quality improvement model at the manufacturing process preparation level. A numerous appropriate related quality assurance and improvement methods and tools are identified. Main manufacturing process principles are investigated in order to scrutinize one general model of manufacturing process and to define a manufacturing process preparation level. Development and introduction of the operational quality improvement model is based on a research conducted and results of methods and tools application possibilities in real manufacturing processes shipbuilding and automotive industry. Basic model structure is described and presented by appropriate general algorithm. Operational quality improvement model developed lays down main guidelines for practical and systematic application of quality improvements methods and tools.

  6. Quality improvement process pilot project at Gallagher station

    International Nuclear Information System (INIS)

    Vondielingen, D.E.

    1992-01-01

    A Quality Improvement Process was piloted at Gallagher station in 1990 to address issues of two Electric System Strategy Group (ESSG) goals. Darrell McElravy headed a group to address quality, productivity and prove and Judy Rushing headed a group to address innovation and recognition. These groups combined when a process was identified that could address both issues. The process was designed for PSI Energy by Business Incentives and is an incentive-based quality improvement process that seeks employee involvement to identify inhibitors t performance and to establish a method to eliminate the problem. Performance goals were also established that help focus efforts to improve performance and reward employees for their efforts

  7. Quality improvement tools and processes.

    Science.gov (United States)

    Lau, Catherine Y

    2015-04-01

    The Model for Improvement and the Plan-Do-Study-Act cycle is a popular quality improvement (QI) tool for health care providers to successfully lead QI projects and redesign care processes. This tool has several distinct components that must be addressed in sequence to organize and critically evaluate improvement activities. Unlike other health sciences clinical research, QI projects and research are based on dynamic hypotheses that develop into observable, serial tests of change with continuous collection and feedback of performance data to stakeholders. Copyright © 2015 Elsevier Inc. All rights reserved.

  8. The process of managerial control in quality improvement initiatives.

    Science.gov (United States)

    Slovensky, D J; Fottler, M D

    1994-11-01

    The fundamental intent of strategic management is to position an organization with in its market to exploit organizational competencies and strengths to gain competitive advantage. Competitive advantage may be achieved through such strategies as low cost, high quality, or unique services or products. For health care organizations accredited by the Joint Commission on Accreditation of Healthcare Organizations, continually improving both processes and outcomes of organizational performance--quality improvement--in all operational areas of the organization is a mandated strategy. Defining and measuring quality and controlling the quality improvement strategy remain problematic. The article discusses the nature and processes of managerial control, some potential measures of quality, and related information needs.

  9. Current concept review: quality and process improvement in orthopedics

    Directory of Open Access Journals (Sweden)

    Pinney SJ

    2015-12-01

    Full Text Available Stephen J Pinney,1 Alexandra E Page,2 David S Jevsevar,3 Kevin J Bozic4 1Department of Orthopaedic Surgery, St Mary's Medical Center, San Francisco, CA, USA; 2Orthopaedic Surgery, AAOS Health Care Systems Committee, San Diego, CA, USA; 3Department of Orthopaedics, Geisel School of Medicine, Dartmouth University, Hanover, NH, USA; 4Department of Surgery and Perioperative Care, Dell Medical School at the University of Texas, Austin, TX, USAAbstract: Multiple health care stakeholders are increasingly scrutinizing musculoskeletal care to optimize quality and cost efficiency. This has led to greater emphasis on quality and process improvement. There is a robust set of business strategies that are increasingly being applied to health care delivery. These quality and process improvement tools (QPITs have specific applications to segments of, or the entire episode of, patient care. In the rapidly changing health care world, it will behoove all orthopedic surgeons to have an understanding of the manner in which care delivery processes can be evaluated and improved. Many of the commonly used QPITs, including checklist initiatives, standardized clinical care pathways, lean methodology, six sigma strategies, and total quality management, embrace basic principles of quality improvement. These principles include focusing on outcomes, optimizing communication among health care team members, increasing process standardization, and decreasing process variation. This review summarizes the common QPITs, including how and when they might be employed to improve care delivery. Keywords: clinical care pathway, musculoskeletal care, outcomes, quality management, six sigma, lean thinking

  10. Software process improvement, quality assurance and measurement

    NARCIS (Netherlands)

    Trienekens, J.J.M.; Kusters, R.J.; Balla, K.; Kontogiannis, K.; Zou, Y.; Di Penta, M.

    2006-01-01

    The aim of this workshop was to present and discuss emergent software quality improvement approaches, with an emphasis on practical applications. Different views on the improvement of software processes, software products, and their interrelations, have been addressed during the workshop.

  11. IMPROVING THE QUALITY OF MAINTENANCE PROCESSES USING INFORMATION TECHNOLOGY

    Directory of Open Access Journals (Sweden)

    Zora Arsovski

    2008-06-01

    Full Text Available In essence, process of maintaining equipment is a support process, because it indirectly contributes to operational ability of the production process necessary for the supply chain of the new value. Taking into account increased levels of automatization and quality, this proces s becomes more and more significant and for some branches of industry, even crucial. Due to the fact that the quality of the entire process is more and more dependent on the maintenance process, these processes must be carefully designed and effectively im plemented. There are various techniques and approaches at our disposal, such as technical, logistical and intensive application of the information - communication technologies. This last approach is presented in this work. It begins with organizational goa ls, especially quality objectives. Then, maintenance processes and integrated information system structures are defined. Maintenance process quality and improvement processes are defined using a set of performances, with a special emphasis placed on effectiveness and quality economics. At the end of the work, information system for improving maintenance economics is structured. Besides theoretical analysis, work also presents results authors obtained analyzing food industry, metal processing industry an d building materials industry.

  12. The use of process mapping in healthcare quality improvement projects.

    Science.gov (United States)

    Antonacci, Grazia; Reed, Julie E; Lennox, Laura; Barlow, James

    2018-05-01

    Introduction Process mapping provides insight into systems and processes in which improvement interventions are introduced and is seen as useful in healthcare quality improvement projects. There is little empirical evidence on the use of process mapping in healthcare practice. This study advances understanding of the benefits and success factors of process mapping within quality improvement projects. Methods Eight quality improvement projects were purposively selected from different healthcare settings within the UK's National Health Service. Data were gathered from multiple data-sources, including interviews exploring participants' experience of using process mapping in their projects and perceptions of benefits and challenges related to its use. These were analysed using inductive analysis. Results Eight key benefits related to process mapping use were reported by participants (gathering a shared understanding of the reality; identifying improvement opportunities; engaging stakeholders in the project; defining project's objectives; monitoring project progress; learning; increased empathy; simplicity of the method) and five factors related to successful process mapping exercises (simple and appropriate visual representation, information gathered from multiple stakeholders, facilitator's experience and soft skills, basic training, iterative use of process mapping throughout the project). Conclusions Findings highlight benefits and versatility of process mapping and provide practical suggestions to improve its use in practice.

  13. Improvement of Selected Logistics Processes Using Quality Engineering Tools

    Science.gov (United States)

    Zasadzień, Michał; Žarnovský, Jozef

    2018-03-01

    Increase in the number of orders, the increasing quality requirements and the speed of order preparation require implementation of new solutions and improvement of logistics processes. Any disruption that occurs during execution of an order often leads to customer dissatisfaction, as well as loss of his/her confidence. The article presents a case study of the use of quality engineering methods and tools to improve the e-commerce logistic process. This made it possible to identify and prioritize key issues, identify their causes, and formulate improvement and prevention measures.

  14. Leadership, safety climate, and continuous quality improvement: impact on process quality and patient safety.

    Science.gov (United States)

    McFadden, Kathleen L; Stock, Gregory N; Gowen, Charles R

    2014-10-01

    Successful amelioration of medical errors represents a significant problem in the health care industry. There is a need for greater understanding of the factors that lead to improved process quality and patient safety outcomes in hospitals. We present a research model that shows how transformational leadership, safety climate, and continuous quality improvement (CQI) initiatives are related to objective quality and patient safety outcome measures. The proposed framework is tested using structural equation modeling, based on data collected for 204 hospitals, and supplemented with objective outcome data from the Centers for Medicare and Medicaid Services. The results provide empirical evidence that a safety climate, which is connected to the chief executive officer's transformational leadership style, is related to CQI initiatives, which are linked to improved process quality. A unique finding of this study is that, although CQI initiatives are positively associated with improved process quality, they are also associated with higher hospital-acquired condition rates, a measure of patient safety. Likewise, safety climate is directly related to improved patient safety outcomes. The notion that patient safety climate and CQI initiatives are not interchangeable or universally beneficial is an important contribution to the literature. The results confirm the importance of using CQI to effectively enhance process quality in hospitals, and patient safety climate to improve patient safety outcomes. The overall pattern of findings suggests that simultaneous implementation of CQI initiatives and patient safety climate produces greater combined benefits.

  15. Quality control process improvement of flexible printed circuit board by FMEA

    Science.gov (United States)

    Krasaephol, Siwaporn; Chutima, Parames

    2018-02-01

    This research focuses on the quality control process improvement of Flexible Printed Circuit Board (FPCB), centred around model 7-Flex, by using Failure Mode and Effect Analysis (FMEA) method to decrease proportion of defective finished goods that are found at the final inspection process. Due to a number of defective units that were found at the final inspection process, high scraps may be escaped to customers. The problem comes from poor quality control process which is not efficient enough to filter defective products from in-process because there is no In-Process Quality Control (IPQC) or sampling inspection in the process. Therefore, the quality control process has to be improved by setting inspection gates and IPCQs at critical processes in order to filter the defective products. The critical processes are analysed by the FMEA method. IPQC is used for detecting defective products and reducing chances of defective finished goods escaped to the customers. Reducing proportion of defective finished goods also decreases scrap cost because finished goods incur higher scrap cost than work in-process. Moreover, defective products that are found during process can reflect the abnormal processes; therefore, engineers and operators should timely solve the problems. Improved quality control was implemented for 7-Flex production lines from July 2017 to September 2017. The result shows decreasing of the average proportion of defective finished goods and the average of Customer Manufacturers Lot Reject Rate (%LRR of CMs) equal to 4.5% and 4.1% respectively. Furthermore, cost saving of this quality control process equals to 100K Baht.

  16. Quantitative research versus quality assurance, quality improvement, total quality management, and continuous quality improvement.

    Science.gov (United States)

    Vogelsang, J

    1999-04-01

    The purpose of this report is to provide a review of the scientific method used in the quantitative research studies for consumers, evaluators, and applied nurse researchers. The fundamental characteristics of the problem-solving/ performance-improvement processes of quality assurance, quality improvement, total quality management, and continuous quality improvement are described. Research is compared with these processes, and is followed by a discussion about the publication of quantitative research findings.

  17. Executing Quality: A Grounded Theory of Child Care Quality Improvement Engagement Process in Pennsylvania

    Science.gov (United States)

    Critchosin, Heather

    2014-01-01

    Executing Quality describes the perceived process experienced by participants while engaging in Keystone Standards, Training, Assistance, Resources, and Support (Keystone STARS) quality rating improvement system (QRIS). The purpose of this qualitative inquiry was to understand the process of Keystone STARS engagement in order to generate a…

  18. Quality Improvement Process in a Large Intensive Care Unit: Structure and Outcomes.

    Science.gov (United States)

    Reddy, Anita J; Guzman, Jorge A

    2016-11-01

    Quality improvement in the health care setting is a complex process, and even more so in the critical care environment. The development of intensive care unit process measures and quality improvement strategies are associated with improved outcomes, but should be individualized to each medical center as structure and culture can differ from institution to institution. The purpose of this report is to describe the structure of quality improvement processes within a large medical intensive care unit while using examples of the study institution's successes and challenges in the areas of stat antibiotic administration, reduction in blood product waste, central line-associated bloodstream infections, and medication errors. © The Author(s) 2015.

  19. Demystifying process mapping: a key step in neurosurgical quality improvement initiatives.

    Science.gov (United States)

    McLaughlin, Nancy; Rodstein, Jennifer; Burke, Michael A; Martin, Neil A

    2014-08-01

    Reliable delivery of optimal care can be challenging for care providers. Health care leaders have integrated various business tools to assist them and their teams in ensuring consistent delivery of safe and top-quality care. The cornerstone to all quality improvement strategies is the detailed understanding of the current state of a process, captured by process mapping. Process mapping empowers caregivers to audit how they are currently delivering care to subsequently strategically plan improvement initiatives. As a community, neurosurgery has clearly shown dedication to enhancing patient safety and delivering quality care. A care redesign strategy named NERVS (Neurosurgery Enhanced Recovery after surgery, Value, and Safety) is currently being developed and piloted within our department. Through this initiative, a multidisciplinary team led by a clinician neurosurgeon has process mapped the way care is currently being delivered throughout the entire episode of care. Neurosurgeons are becoming leaders in quality programs, and their education on the quality improvement strategies and tools is essential. The authors present a comprehensive review of process mapping, demystifying its planning, its building, and its analysis. The particularities of using process maps, initially a business tool, in the health care arena are discussed, and their specific use in an academic neurosurgical department is presented.

  20. Improving the Process of Education: Total Quality Management for the College Classroom.

    Science.gov (United States)

    Gilbert, James P.; And Others

    1993-01-01

    A systematic, institutional approach to continuous improvement in college instruction is outlined, based on the four main elements of Total Quality Management: quality defined by customer; top leadership responsibility; systematic analysis of work processes; and continuous quality improvement throughout the organization. Definitions and dimensions…

  1. Using Quality Function Deployment To Improve Academic Advising Processes.

    Science.gov (United States)

    Barrows, Richard; Murray, Bruce

    1997-01-01

    Quality Function Deployment, a set of concepts and tools used in manufacturing engineering to link consumer needs with product design, can also improve academic advising systems and processes. The technique promotes structured, logical examination of students' advising needs and their relationship to advising system design, processes, methods,…

  2. Interrupted Time Series Versus Statistical Process Control in Quality Improvement Projects.

    Science.gov (United States)

    Andersson Hagiwara, Magnus; Andersson Gäre, Boel; Elg, Mattias

    2016-01-01

    To measure the effect of quality improvement interventions, it is appropriate to use analysis methods that measure data over time. Examples of such methods include statistical process control analysis and interrupted time series with segmented regression analysis. This article compares the use of statistical process control analysis and interrupted time series with segmented regression analysis for evaluating the longitudinal effects of quality improvement interventions, using an example study on an evaluation of a computerized decision support system.

  3. Processes for Quality Improvements in Radiation Oncology Clinical Trials

    International Nuclear Information System (INIS)

    FitzGerald, T.J.; Urie, Marcia; Ulin, Kenneth; Laurie, Fran; Yorty, Jeffrey C.; Hanusik, Richard; Kessel, Sandy; Jodoin, Maryann Bishop; Osagie, Gani; Cicchetti, M. Giulia; Pieters, Richard; McCarten, Kathleen; Rosen, Nancy

    2008-01-01

    Quality assurance in radiotherapy (RT) has been an integral aspect of cooperative group clinical trials since 1970. In early clinical trials, data acquisition was nonuniform and inconsistent and computational models for radiation dose calculation varied significantly. Process improvements developed for data acquisition, credentialing, and data management have provided the necessary infrastructure for uniform data. With continued improvement in the technology and delivery of RT, evaluation processes for target definition, RT planning, and execution undergo constant review. As we move to multimodality image-based definitions of target volumes for protocols, future clinical trials will require near real-time image analysis and feedback to field investigators. The ability of quality assurance centers to meet these real-time challenges with robust electronic interaction platforms for imaging acquisition, review, archiving, and quantitative review of volumetric RT plans will be the primary challenge for future successful clinical trials

  4. Software quality and process improvement in scientific simulation codes

    Energy Technology Data Exchange (ETDEWEB)

    Ambrosiano, J.; Webster, R. [Los Alamos National Lab., NM (United States)

    1997-11-01

    This report contains viewgraphs on the quest to develope better simulation code quality through process modeling and improvement. This study is based on the experience of the authors and interviews with ten subjects chosen from simulation code development teams at LANL. This study is descriptive rather than scientific.

  5. A conceptual persistent healthcare quality improvement process for software development management.

    Science.gov (United States)

    Lin, Jen-Chiun; Su, Mei-Ju; Cheng, Po-Hsun; Weng, Yung-Chien; Chen, Sao-Jie; Lai, Jin-Shin; Lai, Feipei

    2007-01-01

    This paper illustrates a sustained conceptual service quality improvement process for the management of software development within a healthcare enterprise. Our proposed process is revised from Niland's healthcare quality information system (HQIS). This process includes functions to survey the satisfaction of system functions, describe the operation bylaws on-line, and provide on-demand training. To achieve these goals, we integrate five information systems in National Taiwan University Hospital, including healthcare information systems, health quality information system, requirement management system, executive information system, and digital learning system, to form a full Deming cycle. A preliminary user satisfaction survey showed that our outpatient information system scored an average of 71.31 in 2006.

  6. Use of osmotic dehydration to improve fruits and vegetables quality during processing.

    Science.gov (United States)

    Maftoonazad, Neda

    2010-11-01

    Osmotic treatment describes a preparation step to further processing of foods involving simultaneous transient moisture loss and solids gain when immersing in osmotic solutions, resulting in partial drying and improving the overall quality of food products. The different aspects of the osmotic dehydration (OD) technology namely the solutes employed, solutions characteristics used, process variables influence, as well as, the quality characteristics of the osmodehydrated products will be discussed in this review. As the process is carried out at mild temperatures and the moisture is removed by a liquid diffusion process, phase change that would be present in the other drying processes will be avoided, resulting in high quality products and may also lead to substantial energy savings. To optimize this process, modeling of the mass transfer phenomenon can improve high product quality. Several techniques such as microwave heating, vacuum, high pressure, pulsed electric field, etc. may be employed during or after osmotic treatment to enhance performance of the osmotic dehydration. Moreover new technologies used in osmotic dehydration will be discussed. Patents on osmotic dehydration of fruits and vegetables are also discussed in this article.

  7. Improving the hygienic quality of chicken through radiation processing

    International Nuclear Information System (INIS)

    Torgby-Tetteh, W.

    2010-06-01

    Irradiation is considered one of the most efficient technological processes for the reduction of microorganisms in food, It can be used to improve the safety of food products, and to extend their shelf lives. The aim of this study was to improve the hygienic quality of chicken through radiation processing. As part of the study a microbial assessment of broiler chicken thighs from three retail outlets (supermarket, local markets and farms) was conducted. The total viable count and total coliform counts were determined. Hygienic quality indicator organisms such as Escherichia coli, Salmonella and Staphylococcus aureus were isolated and microbial counts made. Radiation sensitivity test to determine the D 10 (decimal reduction does) of E. coli on chicken at refrigeration and frozen temperature were conducted. D 10 values were 0.22 ± 0.02 and 0.32 ± 0.03 kGy at refrigerated and frozen temperatures respectively. A storage test consisting of an uninoculated pack experiment and a challenge test to explore the effect of irradiation and frozen food storage on the total viable count and survival of E. coli was conducted. Chicken thigh samples were treated with 0 (non irradiated), 2.0, 4.0, 6.0 and 8.0 kGy of gamma irradiation and held frozen for 56 days. The control and irradiated samples were stored at -18 o C and underwent microbial analysis and sensory evaluation at 7 days intervals. It was observed that irradiation and frozen storage reduced microbial loads. There were significant differences in sensory quality characteristics during freezing storage in chicken meat. The combination of irradiation and frozen storage resulted in greater overall reductions on microbial loads thus improving hygienic quality. (au)

  8. QUALITY IMPROVEMENT USING STATISTICAL PROCESS CONTROL TOOLS IN GLASS BOTTLES MANUFACTURING COMPANY

    Directory of Open Access Journals (Sweden)

    Yonatan Mengesha Awaj

    2013-03-01

    Full Text Available In order to survive in a competitive market, improving quality and productivity of product or process is a must for any company. This study is about to apply the statistical process control (SPC tools in the production processing line and on final product in order to reduce defects by identifying where the highest waste is occur at and to give suggestion for improvement. The approach used in this study is direct observation, thorough examination of production process lines, brain storming session, fishbone diagram, and information has been collected from potential customers and company's workers through interview and questionnaire, Pareto chart/analysis and control chart (p-chart was constructed. It has been found that the company has many problems; specifically there is high rejection or waste in the production processing line. The highest waste occurs in melting process line which causes loss due to trickle and in the forming process line which causes loss due to defective product rejection. The vital few problems were identified, it was found that the blisters, double seam, stone, pressure failure and overweight are the vital few problems. The principal aim of the study is to create awareness to quality team how to use SPC tools in the problem analysis, especially to train quality team on how to held an effective brainstorming session, and exploit these data in cause-and-effect diagram construction, Pareto analysis and control chart construction. The major causes of non-conformities and root causes of the quality problems were specified, and possible remedies were proposed. Although the company has many constraints to implement all suggestion for improvement within short period of time, the company recognized that the suggestion will provide significant productivity improvement in the long run.

  9. Developing a Quality Improvement Process to Optimize Faculty Success

    Science.gov (United States)

    Merillat, Linda; Scheibmeir, Monica

    2016-01-01

    As part of a major shift to embed quality improvement processes within a School of Nursing at a medium-sized Midwestern university, a faculty enrichment program using a Plan-Do-Act-Study design was implemented. A central focus for the program was the development and maintenance of an online faculty resource center identified as "My Faculty…

  10. On the Role of Software Quality Management in Software Process Improvement

    DEFF Research Database (Denmark)

    Wiedemann Jacobsen, Jan; Kuhrmann, Marco; Münch, Jürgen

    2016-01-01

    Software Process Improvement (SPI) programs have been implemented, inter alia, to improve quality and speed of software development. SPI addresses many aspects ranging from individual developer skills to entire organizations. It comprises, for instance, the optimization of specific activities...... and a strong focus on custom review, testing, and documentation techniques, whereas a set of five selected improvement measures is almost equally addressed....

  11. THE QUALITY IMPROVEMENT OF PRIMER PACKAGING PROCESS USING SIX SIGMA METHODOLOGY

    Directory of Open Access Journals (Sweden)

    Prima Ditahardiyani

    2008-01-01

    Full Text Available The implementation of Six Sigma has become a common theme in many organizations. This paper presents the Six Sigma methodology and its implementation in a primer packaging process of Cranberry drink. DMAIC (Define, Measure, Analyze, Improve and Control approach is used to analyze and to improve the primer packaging process, which have high variability and defects output. After the improvement, the results showed that there was an increasing sigma level. However, it is not significantly and has not achieved the world standard quality, yet. Therefore, the implementation of Six Sigma in primer packaging process of Cranberry drink still has a room for doing a further research.

  12. Teaching quality improvement.

    Science.gov (United States)

    Murray, Marry Ellen; Douglas, Stephen; Girdley, Diana; Jarzemsky, Paula

    2010-08-01

    Practicing nurses are required to engage in quality improvement work as a part of their clinical practice, but few undergraduate nursing education programs offer course work and applied experience in this area. This article presents a description of class content and teaching strategies, assignments, and evaluation strategies designed to achieve the Quality and Safety Education in Nursing competencies related to quality improvement and interdisciplinary teams. Students demonstrate their application of the quality improvement process by designing and implementing a small-scale quality improvement project that they report in storyboard format on a virtual conference Web site.

  13. Quality improvement - the future begins

    International Nuclear Information System (INIS)

    Boissy, G.J.

    1987-01-01

    The comprehensive quality improvement program of the Florida Power and Light Co. is described: management commitment, quality improvement process, quality improvement teams, policy development, and quality in daily work are discussed

  14. Using IT to improve quality at NewYork-Presybterian Hospital: a requirements-driven strategic planning process.

    Science.gov (United States)

    Kuperman, Gilad J; Boyer, Aurelia; Cole, Curt; Forman, Bruce; Stetson, Peter D; Cooper, Mary

    2006-01-01

    At NewYork-Presbyterian Hospital, we are committed to the delivery of high quality care. We have implemented a strategic planning process to determine the information technology initiatives that will best help us improve quality. The process began with the creation of a Clinical Quality and IT Committee. The Committee identified 2 high priority goals that would enable demonstrably high quality care: 1) excellence at data warehousing, and 2) optimal use of automated clinical documentation to capture encounter-related quality and safety data. For each high priority goal, a working group was created to develop specific recommendations. The Data Warehousing subgroup has recommended the implementation of an architecture management process and an improved ability for users to get access to aggregate data. The Structured Documentation subgroup is establishing recommendations for a documentation template creation process. The strategic planning process at times is slow, but assures that the organization is focusing on the information technology activities most likely to lead to improved quality.

  15. Using Group Projects to Teach Process Improvement in a Quality Class

    Science.gov (United States)

    Neidigh, Robert O.

    2016-01-01

    This paper provides a description of a teaching approach that uses experiential learning to teach process improvement. The teaching approach uses student groups to perform and gather process data in a senior-level quality management class that focuses on Lean Six Sigma. A strategy to link the experiential learning in the group projects to the…

  16. [Improvement of medical processes with Six Sigma - practicable zero-defect quality in preparation for surgery].

    Science.gov (United States)

    Sobottka, Stephan B; Töpfer, Armin; Eberlein-Gonska, Maria; Schackert, Gabriele; Albrecht, D Michael

    2010-01-01

    Six Sigma is an innovative management- approach to reach practicable zero- defect quality in medical service processes. The Six Sigma principle utilizes strategies, which are based on quantitative measurements and which seek to optimize processes, limit deviations or dispersion from the target process. Hence, Six Sigma aims to eliminate errors or quality problems of all kinds. A pilot project to optimize the preparation for neurosurgery could now show that the Six Sigma method enhanced patient safety in medical care, while at the same time disturbances in the hospital processes and failure costs could be avoided. All six defined safety relevant quality indicators were significantly improved by changes in the workflow by using a standardized process- and patient- oriented approach. Certain defined quality standards such as a 100% complete surgical preparation at start of surgery and the required initial contact of the surgeon with the patient/ surgical record on the eve of surgery could be fulfilled within the range of practical zero- defect quality. Likewise, the degree of completion of the surgical record by 4 p.m. on the eve of surgery and their quality could be improved by a factor of 170 and 16, respectively, at sigma values of 4.43 and 4.38. The other two safety quality indicators "non-communicated changes in the OR- schedule" and the "completeness of the OR- schedule by 12:30 a.m. on the day before surgery" also show an impressive improvement by a factor of 2.8 and 7.7, respectively, corresponding with sigma values of 3.34 and 3.51. The results of this pilot project demonstrate that the Six Sigma method is eminently suitable for improving quality of medical processes. In our experience this methodology is suitable, even for complex clinical processes with a variety of stakeholders. In particular, in processes in which patient safety plays a key role, the objective of achieving a zero- defect quality is reasonable and should definitely be aspirated. Copyright

  17. Continuous quality improvement

    International Nuclear Information System (INIS)

    Bourne, P.B.

    1985-01-01

    This paper describes the various statistical tools used at the Hanford Engineering Development Laboratory to achieve continuous quality improvement in the development of Breeder Reactor Technology and in reactor operations. The role of the quality assurance professionals in this process, including quantifiable measurements using actual examples, is provided. The commitment to quality improvement through top management involvement is dramatically illustrated

  18. SQIMSO: Quality Improvement for Small Software Organizations

    OpenAIRE

    Rabih Zeineddine; Nashat Mansour

    2005-01-01

    Software quality improvement process remains incomplete if it is not initiated and conducted through a wide improvement program that considers process quality improvement, product quality improvement and evolution of human resources. But, small software organizations are not capable of bearing the cost of establishing software process improvement programs. In this work, we propose a new software quality improvement model for small organizations, SQIMSO, based on three ...

  19. Quality improvement: the nurse's role.

    Science.gov (United States)

    Moran, M J; Johnson, J E

    1992-06-01

    Continuous quality improvement is a concept which includes: Quality assurance--the provision of services that meet an appropriate standard. Problem resolution--including all departments involved in the issue at hand. Quality improvement--a continuous process involving all levels of the organization working together across departmental lines to produce better services for health care clients. Deming (1982b) and others have espoused total system reform to achieve quality improvement--not merely altering the current system, but radically changing it. It must be assumed that those who provide services at the staff level are acting in good faith and are not willfully failing to do what is correct (Berwick, 1991). Those who perform direct services are in an excellent position to identify the need for change in service delivery processes. Based on this premise, the staff nurse--who is at the heart of the system--is the best person to assess the status of health care services and to work toward improving the processes by which these services are provided to clients in the health care setting. The nurse manager must structure the work setting to facilitate the staff nurse's ability to undertake constructive action for improving care. The use of quality circles, quality councils, or quality improvement forums to facilitate the coordination of quality improvement efforts is an effective way to achieve success. The QA coordinator assists departments in documenting that the quality improvement efforts are effective across all departments of the organization, and aggregates data to demonstrate that they meet the requirements of external regulatory agencies, insurers, and professional standards. The nurse executive provides the vision and secures the necessary resources to ensure that the organization's quality improvement efforts are successful. By inspiring and empowering the staff in their efforts to improve the process by which health care is provided, nurse managers

  20. Collaborative quality improvement.

    Science.gov (United States)

    Luckenbaugh, Amy N; Miller, David C; Ghani, Khurshid R

    2017-07-01

    Quality improvement collaboratives were developed in many medical and surgical disciplines with the goal of measuring and improving the quality of care provided to patients. The aim of this review is to provide an overview of surgical quality improvement collaboratives, and in particular those aimed at improving urological care. Quality improvement collaboratives collect high-quality data using standardized methodologies, and use the data to provide feedback to physicians and practices, and then implement processes to improve patient outcomes. The largest regional collaborative in urology is the Michigan Urological Surgery Improvement Collaborative (MUSIC). Recent efforts by this group have been focused at understanding variation in care, improving patient selection for treatment, reducing treatment morbidity and measuring and optimizing technical skill. The American Urological Association has also recently launched a national quality registry (AQUA), with an initial focus on prostate cancer care. By understanding factors that result in exemplary performance, quality improvement collaboratives are able to develop best practices around areas of care with high variation that have the potential to improve outcomes and reduce costs. These developments have been made possible by the unique model offered by the collaborative structure with the goal of improving patient care at a population level.

  1. [Quality assurance and quality improvement. Personal experiences and intentions].

    Science.gov (United States)

    Roche, B G; Sommer, C

    1995-01-01

    In may 1994 we were selected by the surgical Swiss association to make a study about quality in USA. During our travel we visited 3 types of institutions: Hospitals, National Institute of standard and Technology, Industry, Johnson & Johnson. We appreciate to compare 2 types of quality programs: Quality Assurance (QA) and Continuous Quality Improvement (CQI). In traditional healthcare circles, QA is the process established to meet external regulatory requirements and to assure that patient care is consistent with established standards. In a modern quality terms, QA outside of healthcare means designing a product or service, as well as controlling its production, so well that quality is inevitable. The ideas of W. Edward Deming is that there is never improvement just by inspection. He developed a theory based on 14 principles. A productive work is accomplished through processes. Understanding the variability of processes is a key to improve quality. Quality management sees each person in an organisation as part of one or more processes. The job of every worker is to receive the work of others, add value to that work, and supply it to the next person in the process. This is called the triple role the workers as customer, processor, and supplier. The main source of quality defects is problems in the process. The old assumption is that quality fails when people do the right thing wrong; the new assumption is that, more often, quality failures arise when people do the wrong think right. Exhortation, incentives and discipline of workers are unlikely to improve quality. If quality is failing when people do their jobs as designed, then exhorting them to do better is managerial nonsense. Modern quality theory is customer focused. Customers are identified internally and externally. The modern approach to quality is thoroughly grounded in scientific and statistical thinking. Like in medicine, the symptom is a defect in quality. The therapist of process must perform diagnostic

  2. Improving quality of care in substance abuse treatment using five key process improvement principles

    Science.gov (United States)

    Hoffman, Kim A.; Green, Carla A.; Ford, James H.; Wisdom, Jennifer P.; Gustafson, David H.; McCarty, Dennis

    2012-01-01

    Process and quality improvement techniques have been successfully applied in health care arenas, but efforts to institute these strategies in alcohol and drug treatment are underdeveloped. The Network for the Improvement of Addiction Treatment (NIATx) teaches participating substance abuse treatment agencies to use process improvement strategies to increase client access to, and retention in, treatment. NIATx recommends five principles to promote organizational change: 1) Understand and involve the customer; 2) Fix key problems; 3) Pick a powerful change leader; 4) Get ideas from outside the organization; and 5) Use rapid-cycle testing. Using case studies, supplemented with cross-agency analyses of interview data, this paper profiles participating NIATx treatment agencies that illustrate application of each principle. Results suggest that the most successful organizations integrate and apply most, if not all, of the five principles as they develop and test change strategies. PMID:22282129

  3. Quality improvement education to improve performance on ulcerative colitis quality measures and care processes aligned with National Quality Strategy priorities.

    Science.gov (United States)

    Greene, Laurence; Moreo, Kathleen

    2015-01-01

    Studies on inflammatory bowel disease (IBD) have reported suboptimal approaches to patient care. In the United States, the findings have motivated leading gastroenterology organizations to call for initiatives that support clinicians in aligning their practices with quality measures for IBD and priorities of the National Quality Strategy (NQS). We designed and implemented a quality improvement (QI) education program on ulcerative colitis in which patient charts were audited for 30 gastroenterologists before (n = 300 charts) and after (n = 290 charts) they participated in QI-focused educational activities. Charts were audited for nine measures, selected for their alignment with four NQS priorities: making care safer, ensuring patient engagement, promoting communication, and promoting effective treatment practices. Four of the measures, including guideline-directed vaccinations and assessments of disease type and activity, were part of the CMS Physician Quality Reporting System (PQRS). The other five measures involved counseling patients on various topics in ulcerative colitis management, documentation of side effects, assessment of adherence status, and simplification of dosing. The gastroenterologists also completed baseline and post-education surveys designed to assess qualitative outcomes. One of the educational interventions was a private audit feedback session conducted for each gastroenterologist. The sessions were designed to support participants in identifying measures reflecting suboptimal care quality and developing action plans for improvement. In continuous improvement cycles, follow-up interventions included QI tools and educational monographs. Across the nine chart variables, post-education improvements ranged from 0% to 48%, with a mean improvement of 15.9%. Survey findings revealed improvements in self-reported understanding of quality measures and intentions to apply them to practice, and lower rates of perceived significant barriers to high-quality

  4. X-rays taken by radiologists. Influence on a continuous quality improvement process?

    International Nuclear Information System (INIS)

    Kurtz, C.; Freiburg Univ.; Czapp, W.; Trampe, I.; Leppek, R.; Klose, K.J.

    2000-01-01

    Purpose: To evaluate how the training of radiology residents in taking radiographs influences the work of radiographers and the established quality standards. Methods: A first year radiology resident was trained for 4 weeks in focusing and exposure techniques by radiographers. In a second period the resident took 582 radiograms, which were compared with those taken by technicians for error estimation on a daily basis. During a third period the radiographs were produced in a contest between the resident and a skilled radiographer. Errors were analysed by two independent experts according to established guidelines of the German Medical Association. Results: At the beginning of the second period the average error rate of the resident was 11.9% as compared to 8.9% in the technicians team, in the following month 9.2% versus 15.9%. In the third period no relevant difference in errors could be observed. Finally, unexpected quality improvements were implemented like an improved standardization of focusing and exposure techniques as well as dose reduction. Conclusions: Radiology residents easily learn focusing and exposure techniques and achieve comparable results as radiographers within a short period of time. The additionally achieved knowledge improves the technical process of taking radiographs. We recommend to include a two plane radiography training period in the curriculum of radiology residents. It reinforces the radiologist's role in continuous quality improvements of the diagnostic process. (orig.) [de

  5. Measuring and improving the quality of postoperative epidural analgesia for major abdominal surgery using statistical process control charts.

    Science.gov (United States)

    Duncan, Fiona; Haigh, Carol

    2013-10-01

    To explore and improve the quality of continuous epidural analgesia for pain relief using Statistical Process Control tools. Measuring the quality of pain management interventions is complex. Intermittent audits do not accurately capture the results of quality improvement initiatives. The failure rate for one intervention, epidural analgesia, is approximately 30% in everyday practice, so it is an important area for improvement. Continuous measurement and analysis are required to understand the multiple factors involved in providing effective pain relief. Process control and quality improvement Routine prospectively acquired data collection started in 2006. Patients were asked about their pain and side effects of treatment. Statistical Process Control methods were applied for continuous data analysis. A multidisciplinary group worked together to identify reasons for variation in the data and instigated ideas for improvement. The key measure for improvement was a reduction in the percentage of patients with an epidural in severe pain. The baseline control charts illustrated the recorded variation in the rate of several processes and outcomes for 293 surgical patients. The mean visual analogue pain score (VNRS) was four. There was no special cause variation when data were stratified by surgeons, clinical area or patients who had experienced pain before surgery. Fifty-seven per cent of patients were hypotensive on the first day after surgery. We were able to demonstrate a significant improvement in the failure rate of epidurals as the project continued with quality improvement interventions. Statistical Process Control is a useful tool for measuring and improving the quality of pain management. The applications of Statistical Process Control methods offer the potential to learn more about the process of change and outcomes in an Acute Pain Service both locally and nationally. We have been able to develop measures for improvement and benchmarking in routine care that

  6. Using Lean to Advance Quality Improvement Research.

    Science.gov (United States)

    Blackmore, Christopher Craig; Williams, Barbara L; Ching, Joan M; Chafetz, Lynne A; Kaplan, Gary S

    2016-01-01

    Quality improvement research skills are not commonplace among quality improvement practitioners, and research on the effectiveness of quality improvement has not always kept pace with improvement innovation. However, the Lean tools applied to quality improvement should be equally relevant to the advancement of quality improvement research. We applied the Lean methods to develop a simplified quality improvement publication pathway enabling a small research methodology group to increase quality improvement research throughout the institution. The key innovations of the pathway are horizontal integration of the quality improvement research methods group across the institution, implementation of a Lean quality improvement research pathway, and application of a just-in-time quality improvement research toolkit. This work provides a road map and tools for the acceleration of quality improvement research. At our institution, the Lean quality improvement research approach was associated with statistically significant increases in the number (annual mean increase from 3.0 to 8.5, p = .03) and breadth of published quality improvement research articles, and in the number of quality improvement research projects currently in process. Application of Lean methods to the quality improvement research process can aid in increasing publication of quality improvement articles from across the institution.

  7. Process Reengineering for Quality Improvement in ICU Based on Taylor's Management Theory.

    Science.gov (United States)

    Tao, Ziqi

    2015-06-01

    Using methods including questionnaire-based surveys and control analysis, we analyzed the improvements in the efficiency of ICU rescue, service quality, and patients' satisfaction, in Xuzhou Central Hospital after the implementation of fine management, with an attempt to further introduce the concept of fine management and implement the brand construction. Originating in Taylor's "Theory of Scientific Management" (1982), fine management uses programmed, standardized, digitalized, and informational approaches to ensure each unit of an organization is running with great accuracy, high efficiency, strong coordination, and at sustained duration (Wang et al., Fine Management, 2007). The nature of fine management is a process that breaks up the strategy and goal, and executes it. Strategic planning takes place at every part of the process. Fine management demonstrates that everybody has a role to play in the management process, every area must be examined through the management process, and everything has to be managed (Zhang et al., The Experience of Hospital Nursing Precise Management, 2006). In other words, this kind of management theory demands all people to be involved in the entire process (Liu and Chen, Med Inf, 2007). As public hospital reform is becoming more widespread, it becomes imperative to "build a unified and efficient public hospital management system" and "improve the quality of medical services" (Guidelines on the Pilot Reform of Public Hospitals, 2010). The execution of fine management is of importance in optimizing the medical process, improving medical services and building a prestigious hospital brand.

  8. Process Improvement to Enhance Quality in a Large Volume Labor and Birth Unit.

    Science.gov (United States)

    Bell, Ashley M; Bohannon, Jessica; Porthouse, Lisa; Thompson, Heather; Vago, Tony

    The goal of the perinatal team at Mercy Hospital St. Louis is to provide a quality patient experience during labor and birth. After the move to a new labor and birth unit in 2013, the team recognized many of the routines and practices needed to be modified based on different demands. The Lean process was used to plan and implement required changes. This technique was chosen because it is based on feedback from clinicians, teamwork, strategizing, and immediate evaluation and implementation of common sense solutions. Through rapid improvement events, presence of leaders in the work environment, and daily huddles, team member engagement and communication were enhanced. The process allowed for team members to offer ideas, test these ideas, and evaluate results, all within a rapid time frame. For 9 months, frontline clinicians met monthly for a weeklong rapid improvement event to create better experiences for childbearing women and those who provide their care, using Lean concepts. At the end of each week, an implementation plan and metrics were developed to help ensure sustainment. The issues that were the focus of these process improvements included on-time initiation of scheduled cases such as induction of labor and cesarean birth, timely and efficient assessment and triage disposition, postanesthesia care and immediate newborn care completed within approximately 2 hours, transfer from the labor unit to the mother baby unit, and emergency transfers to the main operating room and intensive care unit. On-time case initiation for labor induction and cesarean birth improved, length of stay in obstetric triage decreased, postanesthesia recovery care was reorganized to be completed within the expected 2-hour standard time frame, and emergency transfers to the main hospital operating room and intensive care units were standardized and enhanced for efficiency and safety. Participants were pleased with the process improvements and quality outcomes. Working together as a team

  9. APPLICATION OF FTA AND FMEA METHOD TO IMPROVE SUGAR PRODUCTION PROCESS QUALITY

    Directory of Open Access Journals (Sweden)

    JojoAndriana

    2016-10-01

    Full Text Available Defective product is a product that has poor quality and do not meet the standart. This defective products can give a bad impact to company, such as high production costs and decreased image company. Several methods that can be used to improve the quality is Six Sigma DMAIC methodology, FTA, and FMEA method. This study is conducted for several purpose, they are to determine the value of sigma level on the process of sugar production in PT.PG. Krebet Baru, to determine the factors that cause defective products in the process of sugar production by the FTA method, and to make a suitable solution based on the FMEA defective causes. The process sigma level in PT.PG.Krebet Baru is 3.58. That value sigma level indicates PT. PG. Krebet Baru is a company that are still growing and need improvement. The primary cause of the defects in the production process is a factor of operator and machine. Mode of failure with the highest RPN at 210 is time for steam process is too long, so they need to install the equipment that can detect the water level on sugar. When this equipment is installed, the exact time for drying will be known and the amount of defective product will be decreased.

  10. Launching a Laboratory Testing Process Quality Improvement Toolkit: From the Shared Networks of Colorado Ambulatory Practices and Partners (SNOCAP).

    Science.gov (United States)

    Fernald, Douglas; Hamer, Mika; James, Kathy; Tutt, Brandon; West, David

    2015-01-01

    Family medicine and internal medicine physicians order diagnostic laboratory tests for nearly one-third of patient encounters in an average week, yet among medical errors in primary care, an estimated 15% to 54% are attributed to laboratory testing processes. From a practice improvement perspective, we (1) describe the need for laboratory testing process quality improvements from the perspective of primary care practices, and (2) describe the approaches and resources needed to implement laboratory testing process quality improvements in practice. We applied practice observations, process mapping, and interviews with primary care practices in the Shared Networks of Colorado Ambulatory Practices and Partners (SNOCAP)-affiliated practice-based research networks that field-tested in 2013 a laboratory testing process improvement toolkit. From the data collected in each of the 22 participating practices, common testing quality issues included, but were not limited to, 3 main testing process steps: laboratory test preparation, test tracking, and patient notification. Three overarching qualitative themes emerged: practices readily acknowledge multiple laboratory testing process problems; practices know that they need help addressing the issues; and practices face challenges with finding patient-centered solutions compatible with practice priorities and available resources. While practices were able to get started with guidance and a toolkit to improve laboratory testing processes, most did not seem able to achieve their quality improvement aims unassisted. Providing specific guidance tools with practice facilitation or other rapid-cycle quality improvement support may be an effective approach to improve common laboratory testing issues in primary care. © Copyright 2015 by the American Board of Family Medicine.

  11. "Rheum to Improve": Quality Improvement in Outpatient Rheumatology.

    Science.gov (United States)

    Chow, Shirley L; Shojania, Kaveh G

    2017-09-01

    The commitment to improve care processes and patient outcomes is a professional mandate for clinicians and is also seen as an operational priority for institutions. Quality improvement now figures in the accreditation of training programs, specialty examinations, and hospital scorecards. Rheumatologists have traditionally focused primarily on quality problems such as guideline adherence; however, improvement goals should also include other aspects of care that are helpful to patients and are professionally rewarding for practitioners. This review makes use of improvement projects in outlining tangible tools rheumatologists can use to resolve quality concerns in their practices.

  12. Does quality improvement work in neonatology improve clinical outcomes?

    Science.gov (United States)

    Ellsbury, Dan L; Clark, Reese H

    2017-04-01

    Quality improvement initiatives in neonatology have been promoted as an important way of improving outcomes of newborns. The purpose of this review is to examine the effectiveness of recent quality improvement work in improving the outcomes of infants requiring neonatal intensive care. Quality improvement collaboratives and single-center projects demonstrate improvement of clinical processes and outcomes in neonatology that impact both preterm and term infants. Declines in morbidities, resource use, and length of stay have been associated with reductions in healthcare costs. Recent quality improvement work has shown evidence of improvement in clinical outcomes in neonatal intensive care patients. These improvements have important implications for the reduction of healthcare costs in this population.

  13. Workers' Objectives in Quality Improvement.

    Science.gov (United States)

    Brossard, Michel

    1990-01-01

    A case study of quality circles in an appliance factory found that circle members and nonmembers obtained better working conditions by improving quality through the direct impact of their work on the company's market position. The study of the quality improvement process shows that workers seek more than psychological rewards for their…

  14. Prevention and management of "do not return" notices: a quality improvement process for supplemental staffing nursing agencies.

    Science.gov (United States)

    Ade-Oshifogun, Jochebed Bosede; Dufelmeier, Thaddeus

    2012-01-01

    This article describes a quality improvement process for "do not return" (DNR) notices for healthcare supplemental staffing agencies and healthcare facilities that use them. It is imperative that supplemental staffing agencies partner with healthcare facilities in assuring the quality of supplemental staff. Although supplemental staffing agencies attempt to ensure quality staffing, supplemental staff are sometimes subjectively evaluated by healthcare facilities as "DNR." The objective of this article is to describe a quality improvement process to prevent and manage "DNR" within healthcare organizations. We developed a curriculum and accompanying evaluation tool by adapting Rampersad's problem-solving discipline approach: (a) definition of area(s) for improvement; (b) identification of all possible causes; (c) development of an action plan; (d) implementation of the action plan; (e) evaluation for program improvement; and (f) standardization of the process. Face and content validity of the evaluation tool was ascertained by input from a panel of experienced supplemental staff and nursing faculty. This curriculum and its evaluation tool will have practical implications for supplemental staffing agencies and healthcare facilities in reducing "DNR" rates and in meeting certification/accreditation requirements. Further work is needed to translate this process into future research. © 2012 Wiley Periodicals, Inc.

  15. Instituting organizational learning for quality improvement through strategic planning nominal group processes.

    Science.gov (United States)

    White, D B

    2000-01-01

    Healthcare managers are faced with unprecedented challenges as characterized by managed care constraints, downsizing, increased client needs, and a society demanding more responsive services. Managers must initiate change for quality, efficiency, and survival. This article provides information and strategies for (a) assessing the change readiness of an organization, (b) conducting an organizational diagnosis, (c) instituting a team culture, (d) developing a change strategy, (e) integrating the strategy with a quality improvement process, and (f) identifying the leadership skills to implement organization renewal. Nominal group processes, namely, SWOT and the Search Conference, are described, and case examples are provided. The implementation strategies have been used successfully in a variety of milieus; practical advice for success is described in detail.

  16. Development and Implementation of a Quality Improvement Process for Echocardiographic Laboratory Accreditation.

    Science.gov (United States)

    Gilliland, Yvonne E; Lavie, Carl J; Ahmad, Homaa; Bernal, Jose A; Cash, Michael E; Dinshaw, Homeyar; Milani, Richard V; Shah, Sangeeta; Bienvenu, Lisa; White, Christopher J

    2016-03-01

    We describe our process for quality improvement (QI) for a 3-year accreditation cycle in echocardiography by the Intersocietal Accreditation Commission (IAC) for a large group practice. Echocardiographic laboratory accreditation by the IAC was introduced in 1996, which is not required but could impact reimbursement. To ensure high-quality patient care and community recognition as a facility committed to providing high-quality echocardiographic services, we applied for IAC accreditation in 2010. Currently, there is little published data regarding the IAC process to meet echocardiography standards. We describe our approach for developing a multicampus QI process for echocardiographic laboratory accreditation during the 3-year cycle of accreditation by the IAC. We developed a quarterly review assessing (1) the variability of the interpretations, (2) the quality of the examinations, (3) a correlation of echocardiographic studies with other imaging modalities, (4) the timely completion of reports, (5) procedure volume, (6) maintenance of Continuing Medical Education credits by faculty, and (7) meeting Appropriate Use Criteria. We developed and implemented a multicampus process for QI during the 3-year accreditation cycle by the IAC for Echocardiography. We documented both the process and the achievement of those metrics by the Echocardiography Laboratories at the Ochsner Medical Institutions. We found the QI process using IAC standards to be a continuous educational experience for our Echocardiography Laboratory physicians and staff. We offer our process as an example and guide for other echocardiography laboratories who wish to apply for such accreditation or reaccreditation. © 2016, Wiley Periodicals, Inc.

  17. Process Evaluation of a Quality Improvement Project to Decrease Hospital Readmissions From Skilled Nursing Facilities.

    Science.gov (United States)

    Meehan, Thomas P; Qazi, Daniel J; Van Hoof, Thomas J; Ho, Shih-Yieh; Eckenrode, Sheila; Spenard, Ann; Pandolfi, Michelle; Johnson, Florence; Quetti, Deborah

    2015-08-01

    To describe and evaluate the impact of quality improvement (QI) support provided to skilled nursing facilities (SNFs) by a Quality Improvement Organization (QIO). Retrospective, mixed-method, process evaluation of a QI project intended to decrease preventable hospital readmissions from SNFs. Five SNFs in Connecticut. SNF Administrators, Directors of Nursing, Assistant Directors of Nursing, Admissions Coordinators, Registered Nurses, Certified Nursing Assistants, Receptionists, QIO Quality Improvement Consultant. QIO staff provided training and technical assistance to SNF administrative and clinical staff to establish or enhance QI infrastructure and implement an established set of QI tools [Interventions to Reduce Acute Care Transfers (INTERACT) tools]. Baseline SNF demographic, staffing, and hospital readmission data; baseline and follow-up SNF QI structure (QI Committee), processes (general and use of INTERACT tools), and outcome (30-day all-cause hospital readmission rates); details of QIO-provided training and technical assistance; QIO-perceived barriers to quality improvement; SNF leadership-perceived barriers, accomplishments, and suggestions for improvement of QIO support. Success occurred in establishing QI Committees and targeting preventable hospital readmissions, as well as implementing INTERACT tools in all SNFs; however, hospital readmission rates decreased in only 2 facilities. QIO staff and SNF leaders noted the ongoing challenge of engaging already busy SNF staff and leadership in QI activities. SNF leaders reported that they appreciated the training and technical assistance that their institutions received, although most noted that additional support was needed to bring about improvement in readmission rates. This process evaluation documented mixed clinical results but successfully identified opportunities to improve recruitment of and provision of technical support to participating SNFs. Recommendations are offered for others who wish to conduct

  18. Improvement in Patient Transfer Process From the Operating Room to the PICU Using a Lean and Six Sigma-Based Quality Improvement Project.

    Science.gov (United States)

    Gleich, Stephen J; Nemergut, Michael E; Stans, Anthony A; Haile, Dawit T; Feigal, Scott A; Heinrich, Angela L; Bosley, Christopher L; Tripathi, Sandeep

    2016-08-01

    Ineffective and inefficient patient transfer processes can increase the chance of medical errors. Improvements in such processes are high-priority local institutional and national patient safety goals. At our institution, nonintubated postoperative pediatric patients are first admitted to the postanesthesia care unit before transfer to the PICU. This quality improvement project was designed to improve the patient transfer process from the operating room (OR) to the PICU. After direct observation of the baseline process, we introduced a structured, direct OR-PICU transfer process for orthopedic spinal fusion patients. We performed value stream mapping of the process to determine error-prone and inefficient areas. We evaluated primary outcome measures of handoff error reduction and the overall efficiency of patient transfer process time. Staff satisfaction was evaluated as a counterbalance measure. With the introduction of the new direct OR-PICU patient transfer process, the handoff communication error rate improved from 1.9 to 0.3 errors per patient handoff (P = .002). Inefficiency (patient wait time and non-value-creating activity) was reduced from 90 to 32 minutes. Handoff content was improved with fewer information omissions (P improved among nearly all PICU providers. By using quality improvement methodology to design and implement a new direct OR-PICU transfer process with a structured multidisciplinary verbal handoff, we achieved sustained improvements in patient safety and efficiency. Handoff communication was enhanced, with fewer errors and content omissions. The new process improved efficiency, with high staff satisfaction. Copyright © 2016 by the American Academy of Pediatrics.

  19. The Poka-Yoke method as an improving quality tool of operations in the process

    OpenAIRE

    M. Dudek-Burlikowska; D. Szewieczek

    2009-01-01

    Purpose: A new approach for the implementation of quality philosophy Zero Quality Defects with usage of the Poka-Yoke method in the polish organization has been presented.Design/methodology/approach: The possibility of usage of mistake proofing device is connected with monitoring and improvement of operations in the process.Findings: At the present time the organizations should implement quality tools, techniques, methods which support the prevention strategy and should pay attention to impro...

  20. Quality Improvement Processes in Obesity Surgery Lead to Higher Quality and Value, Lower Costs.

    Science.gov (United States)

    Brousseau, Holli; Pohl, Dieter

    2017-03-01

    In the era of changes in the evaluation of medical services and performance, the Centers for Medicare and Medicaid Services (CMS) has determined that the key components are quality, value, and clinical practice improvement (MACRA). Weight Loss Surgery, also called Bariatric or Obesity Surgery, has been at the forefront of quality improvement and quality reporting through the Center of Excellence Program since 2005. As a result, weight loss surgery is now as safe as gallbladder surgery.1 Even within this culture of quality and safety, improvements are still possible, as described in this article. [Full article available at http://rimed.org/rimedicaljournal-2017-03.asp].

  1. Improving care at cystic fibrosis centers through quality improvement.

    Science.gov (United States)

    Kraynack, Nathan C; McBride, John T

    2009-10-01

    Quality improvement (QI) using a clinical microsystems approach provides cystic fibrosis (CF) centers the opportunity to make a significant positive impact on the health of their patients. The availability of center-specific outcomes data and the support of the Cystic Fibrosis Foundation are important advantages for these quality improvement efforts. This article illustrates how the clinical microsystems methodology can improve care delivery and outcomes by describing the gradual application of quality improvement principles over the past 5 years by the CF team at the Lewis Walker Cystic Fibrosis Center at Akron Children's Hospital in Akron, Ohio. Using the example of a project to improve the pulmonary function of the pediatric patients at our center as a framework, we describe the QI process from the initial team-building phase, through the assessment of care processes, standardization of care, and developing a culture of continuous improvement. We outline how enthusiastic commitment from physician leadership, clinical managers and central administration, the availability of coaches, and an appreciation of the importance of measurement, patient involvement, communication, and standardization are critical components for successful process improvement. Copyright Thieme Medical Publishers.

  2. Continuous quality improvement

    NARCIS (Netherlands)

    Rohlin, Madeleine; Schaub, Rob M.H.; Holbrook, Peter; Leibur, Edvitar; Lévy, Gérard; Roubalikova, Lenka; Nilner, Maria; Roger-Leroi, Valerie; Danner, Gunter; Iseri, Haluk; Feldman, Cecile

    2002-01-01

    Versch. in: Eur J Dent Educ; 6 (Suppl. 3): 67–77 Continuous quality improvement (CQI) can be envisaged as a circular process of goal-setting, followed by external and internal evaluations resulting in improvements that can serve as goals for a next cycle. The need for CQI is apparent, because of

  3. Weaknesses of the Quality Evaluation Process in the Spanish University: Causes, Consequences and Proposals for Improvement

    Directory of Open Access Journals (Sweden)

    Clemente Rodríguez Sabiote

    2003-05-01

    Full Text Available The process of quality assessment going on in the Spanish university since the early nineties has generated undeniable advances. However, there have been detected a series of weaknesses which have affected the process with consequences unpredictable a priori. This article reviews some of the weaknesses, together with their possible causes and consequences. Suggestions are provided for improving the process of evaluating the quality of university institutions.

  4. Implementation of quality improvement techniques for management and technical processes in the ACRV project

    Science.gov (United States)

    Raiman, Laura B.

    1992-12-01

    Total Quality Management (TQM) is a cooperative form of doing business that relies on the talents of everyone in an organization to continually improve quality and productivity, using teams and an assortment of statistical and measurement tools. The objective of the activities described in this paper was to implement effective improvement tools and techniques in order to build work processes which support good management and technical decisions and actions which are crucial to the success of the ACRV project. The objectives were met by applications in both the technical and management areas. The management applications involved initiating focused continuous improvement projects with widespread team membership. The technical applications involved applying proven statistical tools and techniques to the technical issues associated with the ACRV Project. Specific activities related to the objective included working with a support contractor team to improve support processes, examining processes involved in international activities, a series of tutorials presented to the New Initiatives Office and support contractors, a briefing to NIO managers, and work with the NIO Q+ Team. On the technical side, work included analyzing data from the large-scale W.A.T.E.R. test, landing mode trade analyses, and targeting probability calculations. The results of these efforts will help to develop a disciplined, ongoing process for producing fundamental decisions and actions that shape and guide the ACRV organization .

  5. Implementation of quality improvement techniques for management and technical processes in the ACRV project

    Science.gov (United States)

    Raiman, Laura B.

    1992-01-01

    Total Quality Management (TQM) is a cooperative form of doing business that relies on the talents of everyone in an organization to continually improve quality and productivity, using teams and an assortment of statistical and measurement tools. The objective of the activities described in this paper was to implement effective improvement tools and techniques in order to build work processes which support good management and technical decisions and actions which are crucial to the success of the ACRV project. The objectives were met by applications in both the technical and management areas. The management applications involved initiating focused continuous improvement projects with widespread team membership. The technical applications involved applying proven statistical tools and techniques to the technical issues associated with the ACRV Project. Specific activities related to the objective included working with a support contractor team to improve support processes, examining processes involved in international activities, a series of tutorials presented to the New Initiatives Office and support contractors, a briefing to NIO managers, and work with the NIO Q+ Team. On the technical side, work included analyzing data from the large-scale W.A.T.E.R. test, landing mode trade analyses, and targeting probability calculations. The results of these efforts will help to develop a disciplined, ongoing process for producing fundamental decisions and actions that shape and guide the ACRV organization .

  6. THE LEAN SIX SIGMAAPPROACH FOR PROCESS IMPROVEMENT: A CASE STUDY IN A HIGH QUALITY TUSCANY WINERY

    Directory of Open Access Journals (Sweden)

    Riccardo Bettini

    2012-06-01

    Full Text Available This paper describes the application of a Lean Six Sigma (LSS project to a winemaking process in a high-quality, Italian winery. LSS is used to focus on the problem through a quantitative analysis of waste and quality performances. The LSS basic algorithm (called “DMAIC” helps to detect and quantify critical aspects of the process for transferring liquid used in the cellar. The improvement solution is developed and applied through the modification of the cellar system and the process procedure. The results obtained with this solution are shown and discussed in this paper, so too the long term reliability of the improved process analyzed. The results obtained by this case study can help to understand the importance of the LSS method to drive the improvement of agricultural and agrofood productions also in terms of environmental impact which is strongly connected to waste reduction.

  7. Effects of a proposed quality improvement process in the proportion of the reported ultrasound findings unsupported by stored images.

    Science.gov (United States)

    Schenone, Mauro; Ziebarth, Sarah; Duncan, Jose; Stokes, Lea; Hernandez, Angela

    2018-02-05

    To investigate the proportion of documented ultrasound findings that were unsupported by stored ultrasound images in the obstetric ultrasound unit, before and after the implementation of a quality improvement process consisting of a checklist and feedback. A quality improvement process was created involving utilization of a checklist and feedback from physician to sonographer. The feedback was based on findings of the physician's review of the report and images using a check list. To assess the impact of this process, two groups were compared. Group 1 consisted of 58 ultrasound reports created prior to initiation of the process. Group 2 included 65 ultrasound reports created after process implementation. Each chart was reviewed by a physician and a sonographer. Findings considered unsupported by stored images by both reviewers were used for analysis, and the proportion of unsupported findings was compared between the two groups. Results are expressed as mean ± standard error. A p value of improvement process implementation. Thus, we present a simple yet effective quality improvement process to reduce unsupported ultrasound findings.

  8. IMPROVING QUALITY OF STATISTICAL PROCESS CONTROL BY DEALING WITH NON‐NORMAL DATA IN AUTOMOTIVE INDUSTRY

    Directory of Open Access Journals (Sweden)

    Zuzana ANDRÁSSYOVÁ

    2012-07-01

    Full Text Available Study deals with an analysis of data to the effect that it improves the quality of statistical tools in processes of assembly of automobile seats. Normal distribution of variables is one of inevitable conditions for the analysis, examination, and improvement of the manufacturing processes (f. e.: manufacturing process capability although, there are constantly more approaches to non‐normal data handling. An appropriate probability distribution of measured data is firstly tested by the goodness of fit of empirical distribution with theoretical normal distribution on the basis of hypothesis testing using programme StatGraphics Centurion XV.II. Data are collected from the assembly process of 1st row automobile seats for each characteristic of quality (Safety Regulation ‐S/R individually. Study closely processes the measured data of an airbag´s assembly and it aims to accomplish the normal distributed data and apply it the statistical process control. Results of the contribution conclude in a statement of rejection of the null hypothesis (measured variables do not follow the normal distribution therefore it is necessary to begin to work on data transformation supported by Minitab15. Even this approach does not reach a normal distributed data and so should be proposed a procedure that leads to the quality output of whole statistical control of manufacturing processes.

  9. Performance improvement CME for quality: challenges inherent to the process.

    Science.gov (United States)

    Vakani, Farhan Saeed; O'Beirne, Ronan

    2015-01-01

    The purpose of this paper is to discuss the perspective debates upon the real-time challenges for a three-staged Performance Improvement Continuing Medical Education (PI-CME) model, an innovative and potential approach for future CME, to inform providers to think, prepare and to act proactively. In this discussion, the challenges associated for adopting the American Medical Association's three-staged PI-CME model are reported. Not many institutions in USA are using a three-staged performance improvement model and then customizing it to their own healthcare context for the specific targeted audience. They integrate traditional CME methods with performance and quality initiatives, and linking with CME credits. Overall the US health system is interested in a structured PI-CME model with the potential to improve physicians practicing behaviors. Knowing the dearth of evidence for applying this structured performance improvement methodology into the design of CME activities, and the lack of clarity on challenges inherent to the process that learners and providers encounter. This paper establishes all-important first step to render the set of challenges for a three-staged PI-CME model.

  10. Evaluating the implementation of a quality improvement process in General Practice using a realist evaluation framework.

    Science.gov (United States)

    Moule, Pam; Clompus, Susan; Fieldhouse, Jon; Ellis-Jones, Julie; Barker, Jacqueline

    2018-05-25

    Underuse of anticoagulants in atrial fibrillation is known to increase the risk of stroke and is an international problem. The National Institute for Health Care and Excellence guidance CG180 seeks to reduce atrial fibrillation related strokes through prescriptions of Non-vitamin K antagonist Oral Anticoagulants. A quality improvement programme was established by the West of England Academic Health Science Network (West of England AHSN) to implement this guidance into General Practice. A realist evaluation identified whether the quality improvement programme worked, determining how and in what circumstances. Six General Practices in 1 region, became the case study sites. Quality improvement team, doctor, and pharmacist meetings within each of the General Practices were recorded at 3 stages: initial planning, review, and final. Additionally, 15 interviews conducted with the practice leads explored experiences of the quality improvement process. Observation and interview data were analysed and compared against the initial programme theory. The quality improvement resources available were used variably, with the training being valued by all. The initial programme theories were refined. In particular, local workload pressures and individual General Practitioner experiences and pre-conceived ideas were acknowledged. Where key motivators were in place, such as prior experience, the programme achieved optimal outcomes and secured a lasting quality improvement legacy. The employment of a quality improvement programme can deliver practice change and improvement legacy outcomes when particular mechanisms are employed and in contexts where there is a commitment to improve service. © 2018 John Wiley & Sons, Ltd.

  11. Development and implementation of the Caribbean Laboratory Quality Management Systems Stepwise Improvement Process (LQMS-SIP) Towards Accreditation.

    Science.gov (United States)

    Alemnji, George; Edghill, Lisa; Guevara, Giselle; Wallace-Sankarsingh, Sacha; Albalak, Rachel; Cognat, Sebastien; Nkengasong, John; Gabastou, Jean-Marc

    2017-01-01

    Implementing quality management systems and accrediting laboratories in the Caribbean has been a challenge. We report the development of a stepwise process for quality systems improvement in the Caribbean Region. The Caribbean Laboratory Stakeholders met under a joint Pan American Health Organization/US Centers for Disease Control and Prevention initiative and developed a user-friendly framework called 'Laboratory Quality Management System - Stepwise Improvement Process (LQMS-SIP) Towards Accreditation' to support countries in strengthening laboratory services through a stepwise approach toward fulfilling the ISO 15189: 2012 requirements. This approach consists of a three-tiered framework. Tier 1 represents the minimum requirements corresponding to the mandatory criteria for obtaining a licence from the Ministry of Health of the participating country. The next two tiers are quality improvement milestones that are achieved through the implementation of specific quality management system requirements. Laboratories that meet the requirements of the three tiers will be encouraged to apply for accreditation. The Caribbean Regional Organisation for Standards and Quality hosts the LQMS-SIP Secretariat and will work with countries, including the Ministry of Health and stakeholders, including laboratory staff, to coordinate and implement LQMS-SIP activities. The Caribbean Public Health Agency will coordinate and advocate for the LQMS-SIP implementation. This article presents the Caribbean LQMS-SIP framework and describes how it will be implemented among various countries in the region to achieve quality improvement.

  12. Using performance tasks employing IOM patient safety competencies to introduce quality improvement processes in medical laboratory science education.

    Science.gov (United States)

    Golemboski, Karen; Otto, Catherine N; Morris, Susan

    2013-01-01

    In order to contribute to improved healthcare quality through patient-centered care, laboratory professionals at all levels of practice must be able to recognize the connection between non-analytical factors and laboratory analysis, in the context of patient outcomes and quality improvement. These practices require qualities such as critical thinking (CT), teamwork skills, and familiarity with the quality improvement process, which will be essential for the development of evidence-based laboratory science practice. Performance tasks (PT) are an educational strategy which can be used to teach and assess CT and teamwork, while introducing Medical Laboratory Science (MLS) students at both baccalaureate and advanced-practice levels to the concepts of quality improvement processes and patient outcomes research. PT presents students with complex, realistic scenarios which require the incorporation of subject-specific knowledge with competencies such as effective team communication, patient-centered care, and successful use of information technology. A PT with assessment rubric was designed for use in a baccalaureate-level MLS program to teach and assess CT and teamwork competency. The results indicated that, even when students were able to integrate subject-specific knowledge in creative ways, their understanding of teamwork and quality improvement was limited. This indicates the need to intentionally teach skills such as collaboration and quality system design. PT represent one of many strategies that may be used in MLS education to develop essential professional competencies, encourage expert practice, and facilitate quality improvement.

  13. Inpatient preanalytic process improvements.

    Science.gov (United States)

    Wagar, Elizabeth A; Phipps, Ron; Del Guidice, Robert; Middleton, Lavinia P; Bingham, John; Prejean, Cheryl; Johnson-Hamilton, Martha; Philip, Pheba; Le, Ngoc Han; Muses, Waheed

    2013-12-01

    Phlebotomy services are a common target for preanalytic improvements. Many new, quality engineering tools have recently been applied in clinical laboratories. However, data on relatively few projects have been published. This example describes a complete application of current, quality engineering tools to improve preanalytic phlebotomy services. To decrease the response time in the preanalytic inpatient laboratory by 25%, to reduce the number of incident reports related to preanalytic phlebotomy, and to make systematic process changes that satisfied the stakeholders. The Department of Laboratory Medicine, General Services Section, at the University of Texas MD Anderson Cancer Center (Houston) is responsible for inpatient phlebotomy in a 24-hour operation, which serves 689 inpatient beds. The study director was project director of the Division of Pathology and Laboratory Medicine's Quality Improvement Section and was assisted by 2 quality technologists and an industrial engineer from MD Anderson Office of Performance Improvement. After implementing each solution, using well-recognized, quality tools and metrics, the response time for blood collection decreased by 23%, which was close to meeting the original responsiveness goal of 25%. The response time between collection and arrival in the laboratory decreased by 8%. Applicable laboratory-related incident reports were reduced by 43%. Comprehensive application of quality tools, such as statistical control charts, Pareto diagrams, value-stream maps, process failure modes and effects analyses, fishbone diagrams, solution prioritization matrices, and customer satisfaction surveys can significantly improve preset goals for inpatient phlebotomy.

  14. [QUIPS: quality improvement in postoperative pain management].

    Science.gov (United States)

    Meissner, Winfried

    2011-01-01

    Despite the availability of high-quality guidelines and advanced pain management techniques acute postoperative pain management is still far from being satisfactory. The QUIPS (Quality Improvement in Postoperative Pain Management) project aims to improve treatment quality by means of standardised data acquisition, analysis of quality and process indicators, and feedback and benchmarking. During a pilot phase funded by the German Ministry of Health (BMG), a total of 12,389 data sets were collected from six participating hospitals. Outcome improved in four of the six hospitals. Process indicators, such as routine pain documentation, were only poorly correlated with outcomes. To date, more than 130 German hospitals use QUIPS as a routine quality management tool. An EC-funded parallel project disseminates the concept internationally. QUIPS demonstrates that patient-reported outcomes in postoperative pain management can be benchmarked in routine clinical practice. Quality improvement initiatives should use outcome instead of structural and process parameters. The concept is transferable to other fields of medicine. Copyright © 2011. Published by Elsevier GmbH.

  15. Ninety to Nothing: a PDSA quality improvement project.

    Science.gov (United States)

    Prybutok, Gayle Linda

    2018-05-14

    Purpose The purpose of this paper is to present a case study of a successful quality improvement project in an acute care hospital focused on reducing the time of the total patient visit in the emergency department. Design/methodology/approach A multidisciplinary quality improvement team, using the PDSA (Plan, Do, Study, Act) Cycle, analyzed the emergency department care delivery process and sequentially made process improvements that contributed to project success. Findings The average turnaround time goal of 90 minutes or less per visit was achieved in four months, and the organization enjoyed significant collateral benefits both internal to the organization and for its customers. Practical implications This successful PDSA process can be duplicated by healthcare organizations of all sizes seeking to improve a process related to timely, high-quality patient care delivery. Originality/value Extended wait time in hospital emergency departments is a universal problem in the USA that reduces the quality of the customer experience and that delays necessary patient care. This case study demonstrates that a structured quality improvement process implemented by a multidisciplinary team with the authority to make necessary process changes can successfully redefine the norm.

  16. Process improvement : the creation and evaluation of process alternatives

    NARCIS (Netherlands)

    Netjes, M.

    2010-01-01

    Companies continuously strive to improve their processes to increase productivity and delivered quality against lower costs. With Business Process Redesign (BPR) projects such improvement goals can be achieved. BPR involves the restructuring of business processes, stimulated by the application of

  17. Improving the quality of care for patients with hypertension in Moshupa District, Botswana: Quality improvement cycle

    Directory of Open Access Journals (Sweden)

    Cathy Kande

    2014-01-01

    Full Text Available Background: Although there are no prevalence studies on hypertension in Botswana, this condition is thought to be common and the quality of care to be poor.Aim: The aim of this project was to assess and improve the quality of primary care forhypertension.Setting: Moshupa clinic and catchment area, Botswana.Methods: Quality improvement cycle.Results: Two hundred participants were included in the audit. Sixty-eight per cent were women with a mean age of 55 years. In the baseline audit none of the target standards were met. During the re-audit six months later, six out of nine structural target standards, five out of 11 process target standards and one out of two outcome target standards were achieved. Statistically-significant improvement in performance (p < 0.05 was shown in 10 criteria although the target standard was not always met. In the re-audit, the target of achieving blood pressure control (< 140/90 in 70% of patients was achieved.Conclusion: The quality of care for hypertension was suboptimal in our setting. Simple interventions were designed and implemented to improve the quality of care. These interventions led to significant improvement in structural and process criteria. A corresponding significant improvement in the control of blood pressure was also seen.

  18. Association between product quality control and process quality control of bulk milk

    NARCIS (Netherlands)

    Velthuis, A.; Asseldonk, van M.A.P.M.

    2010-01-01

    Assessment of dairy-milk quality is based on product quality control (testing bulk-milk samples) and process quality control (auditing dairy farms). It is unknown whether process control improves product quality. To quantify possible association between product control and process control a

  19. The software improvement process - tools and rules to encourage quality

    International Nuclear Information System (INIS)

    Sigerud, K.; Baggiolini, V.

    2012-01-01

    The Applications section of the CERN accelerator controls group has decided to apply a systematic approach to quality assurance (QA), the 'Software Improvement Process' - SIP. This process focuses on three areas: the development process itself, suitable QA tools, and how to practically encourage developers to do QA. For each stage of the development process we have agreed on the recommended activities and deliverables, and identified tools to automate and support the task. For example we do more code reviews. As peer reviews are resource intensive, we only do them for complex parts of a product. As a complement, we are using static code checking tools, like FindBugs and Checkstyle. We also encourage unit testing and have agreed on a minimum level of test coverage recommended for all products, measured using Clover. Each of these tools is well integrated with our IDE (Eclipse) and give instant feedback to the developer about the quality of their code. The major challenges of SIP have been to 1) agree on common standards and configurations, for example common code formatting and Javadoc documentation guidelines, and 2) how to encourage the developers to do QA. To address the second point, we have successfully implemented 'SIP days', i.e. one day dedicated to QA work to which the whole group of developers participates, and 'Top/Flop' lists, clearly indicating the best and worst products with regards to SIP guidelines and standards, for example test coverage. This paper presents the SIP initiative in more detail, summarizing our experience since two years and our future plans. (authors)

  20. How to Begin a Quality Improvement Project.

    Science.gov (United States)

    Silver, Samuel A; Harel, Ziv; McQuillan, Rory; Weizman, Adam V; Thomas, Alison; Chertow, Glenn M; Nesrallah, Gihad; Bell, Chaim M; Chan, Christopher T

    2016-05-06

    Quality improvement involves a combined effort among health care staff and stakeholders to diagnose and treat problems in the health care system. However, health care professionals often lack training in quality improvement methods, which makes it challenging to participate in improvement efforts. This article familiarizes health care professionals with how to begin a quality improvement project. The initial steps involve forming an improvement team that possesses expertise in the quality of care problem, leadership, and change management. Stakeholder mapping and analysis are useful tools at this stage, and these are reviewed to help identify individuals who might have a vested interest in the project. Physician engagement is a particularly important component of project success, and the knowledge that patients/caregivers can offer as members of a quality improvement team should not be overlooked. After a team is formed, an improvement framework helps to organize the scientific process of system change. Common quality improvement frameworks include Six Sigma, Lean, and the Model for Improvement. These models are contrasted, with a focus on the Model for Improvement, because it is widely used and applicable to a variety of quality of care problems without advanced training. It involves three steps: setting aims to focus improvement, choosing a balanced set of measures to determine if improvement occurs, and testing new ideas to change the current process. These new ideas are evaluated using Plan-Do-Study-Act cycles, where knowledge is gained by testing changes and reflecting on their effect. To show the real world utility of the quality improvement methods discussed, they are applied to a hypothetical quality improvement initiative that aims to promote home dialysis (home hemodialysis and peritoneal dialysis). This provides an example that kidney health care professionals can use to begin their own quality improvement projects. Copyright © 2016 by the American

  1. Using Quality Tools and Methodologies to Improve a Hospital's Quality Position.

    Science.gov (United States)

    Branco, Daniel; Wicks, Angela M; Visich, John K

    2017-01-01

    The authors identify the quality tools and methodologies most frequently used by quality-positioned hospitals versus nonquality hospitals. Northeastern U.S. hospitals in both groups received a brief, 12-question survey. The authors found that 93.75% of the quality hospitals and 81.25% of the nonquality hospitals used some form of process improvement methodologies. However, there were significant differences between the groups regarding the impact of quality improvement initiatives on patients. The findings indicate that in quality hospitals the use of quality improvement initiatives had a significantly greater positive impact on patient satisfaction and patient outcomes when compared to nonquality hospitals.

  2. What drives quality improvement in chronic kidney disease (CKD) in primary care: process evaluation of the Quality Improvement in Chronic Kidney Disease (QICKD) trial.

    Science.gov (United States)

    Nihat, Akin; de Lusignan, Simon; Thomas, Nicola; Tahir, Mohammad Aumran; Gallagher, Hugh

    2016-04-06

    This study is a process evaluation of the Quality Improvement in Chronic Kidney Disease (QICKD) study, comparing audit-based education (ABE) and sending clinical guidelines and prompts (G&P) with usual practice, in improving systolic blood pressure control in primary care. This evaluation aimed to explore how far clinical staff in participating practices were aware of the intervention, and why change in practice might have taken place. 4 primary care practices in England: 2 received ABE, and 2 G&P. We purposively selected 1 northern/southern/city and rural practice from each study arm (from a larger pool of 132 practices as part of the QICKD trial). The 4 study practices were purposively sampled, and focus groups conducted with staff from each. All staff members were invited to attend. Focus groups in each of 4 practices, at the mid-study point and at the end. 4 additional trial practices not originally selected for in-depth process evaluation took part in end of trial focus groups, to a total of 12 focus groups. These were recorded, transcribed and analysed using the framework approach. 5 themes emerged: (1) involvement in the study made participants more positive about the CKD register; (2) clinicians did not always explain to patients that they had CKD; (3) while practitioners improved their monitoring of CKD, many were sceptical that it improved care and were more motivated by pay-for-performance measures; (4) the impact of study interventions on practice was generally positive, particularly the interaction with specialists, included in ABE; (5) the study stimulated ideas for future clinical practice. Improving quality in CKD is complex. Lack of awareness of clinical guidelines and scepticism about their validity are barriers to change. While pay-for-performance incentives are the main driver for change, quality improvement interventions can have a complementary influence. Published by the BMJ Publishing Group Limited. For permission to use (where not already

  3. Application of the suggestion system in the improvement of the production process and product quality control

    Science.gov (United States)

    Gołaś, H.; Mazur, A.; Gruszka, J.; Szafer, P.

    2016-08-01

    The elaboration is a case study and the research was carried out in the company Alco-Mot Ltd., which employs 120 people. The company specializes in the production of lead poles for industrial and traction batteries using gravity casting. The elements embedded in the cast are manufactured on a machining centre, which provides the stability of the process and of the dimensions of the product as well as a very short production time. As a result of observation and analysis the authors have developed a concept for the implementation of a dynamic suggestion system in ALCO-MOT, including, among others, a standard for actions in the implementation of the suggestion system, as well as clear guidelines for the processing and presentation of the activities undertaken in the time between the establishment of the concept (suggestions) and the benefits analysis after the proposed solutions have been implemented. The authors also present how suggestions proposed by ALCO-MOT staff contributed to the improvement of the processes of production and quality control. Employees offered more than 30 suggestions, of which more than a half are being implemented now and further actions are being prepared for implementation. The authors will present the results of improvements in, for example, tool replacement time, scrap reduction. The authors will present how kaizen can improve the production and quality control processes. They will present how the production and quality control processes looked before and after the implementation of employee suggestions.

  4. Business Process Quality Computation : Computing Non-Functional Requirements to Improve Business Processes

    NARCIS (Netherlands)

    Heidari, F.

    2015-01-01

    Business process modelling is an important part of system design. When designing or redesigning a business process, stakeholders specify, negotiate, and agree on business requirements to be satisfied, including non-functional requirements that concern the quality of the business process. This thesis

  5. Formal quality improvement curriculum and DMAIC method results in interdisciplinary collaboration and process improvement in renal transplant patients.

    Science.gov (United States)

    Leaphart, Cynthia L; Gonwa, Thomas A; Mai, Martin L; Prendergast, Mary B; Wadei, Hani M; Tepas, Joseph J; Taner, C Burcin

    2012-09-01

    Broad-based formal quality improvement curriculum emphasizing Six Sigma and the DMAIC approach developed by our institution is required for physicians in training. DMAIC methods evaluated the common outcome of postoperative hyponatremia, thus resulting in collaboration to prevent hyponatremia in the renal transplant population. To define postoperative hyponatremia in renal transplant recipients, a project charter outlined project aims. To measure postoperative hyponatremia, serum sodium at admission and immediately postoperative were recorded by retrospective review of renal transplant recipient charts from June 29, 2010 to December 31, 2011. An Ishikawa diagram was generated to analyze potential causative factors. Interdisciplinary collaboration and hospital policy assessment determined necessary improvements to prevent hyponatremia. Continuous monitoring in control phase was performed by establishing the goal of DMAIC approach and formal quality curriculum for trainees addresses core competencies by providing a framework for problem solving, interdisciplinary collaboration, and process improvement. Copyright © 2012 Elsevier Inc. All rights reserved.

  6. Improving lactate metabolism in an intensified CHO culture process: productivity and product quality considerations.

    Science.gov (United States)

    Xu, Sen; Hoshan, Linda; Chen, Hao

    2016-11-01

    In this study, we discussed the development and optimization of an intensified CHO culture process, highlighting medium and control strategies to improve lactate metabolism. A few strategies, including supplementing glucose with other sugars (fructose, maltose, and galactose), controlling glucose level at Productivity and product quality attributes differences between batch, fed-batch, and concentrated fed-batch cultures were discussed. The importance of process and cell metabolism understanding when adapting the existing process to a new operational mode was demonstrated in the study.

  7. IMPROVING (SOFTWARE) PATENT QUALITY THROUGH THE ADMINISTRATIVE PROCESS.

    Science.gov (United States)

    Rai, Arti K

    2013-11-24

    The available evidence indicates that patent quality, particularly in the area of software, needs improvement. This Article argues that even an agency as institutionally constrained as the U.S. Patent and Trademark Office ("PTO") could implement a portfolio of pragmatic, cost-effective quality improvement strategies. The argument in favor of these strategies draws upon not only legal theory and doctrine but also new data from a PTO software examination unit with relatively strict practices. Strategies that resolve around Section 112 of the patent statute could usefully be deployed at the initial examination stage. Other strategies could be deployed within the new post-issuance procedures available to the agency under the America Invents Act. Notably, although the strategies the Article discusses have the virtue of being neutral as to technology, they are likely to have a very significant practical impact in the area of software.

  8. [Study of continuous quality improvement for clinical laboratory processes via the platform of Hospital Group].

    Science.gov (United States)

    Song, Wenqi; Shen, Ying; Peng, Xiaoxia; Tian, Jian; Wang, Hui; Xu, Lili; Nie, Xiaolu; Ni, Xin

    2015-05-26

    The program of continuous quality improvement in clinical laboratory processes for complete blood count (CBC) was launched via the platform of Beijing Children's Hospital Group in order to improve the quality of pediatric clinical laboratories. Fifteen children's hospitals of Beijing Children's Hospital group were investigated using the method of Chinese adapted continuous quality improvement with PDCA (Plan-Do-Check-Action). The questionnaire survey and inter-laboratory comparison was conducted to find the existing problems, to analyze reasons, to set forth quality targets and to put them into practice. Then, targeted training was conducted to 15 children's hospitals and the second questionnaire survey, self examinations by the clinical laboratories was performed. At the same time, the Group's online internal quality control platform was established. Overall effects of the program were evaluated so that lay a foundation for the next stage of PDCA. Both quality of control system documents and CBC internal quality control scheme for all of clinical laboratories were improved through this program. In addition, standardization of performance verification was also improved, especially with the comparable verification rate of precision and internal laboratory results up to 100%. In terms of instrument calibration and mandatory diagnostic rates, only three out of the 15 hospitals (20%) failed to pass muster in 2014 from 46.67% (seven out of the 15 hospitals) in 2013. The abnormal data of intraday precision variance coefficients of the five CBC indicator parameters (WBC, RBC, Hb, Plt and Hct) of all the 15 laboratories accounted for 1.2% (2/165) in 2014, a marked decrease from 9.6% (14/145) in 2013. While the number of the hospitals using only one horizontal quality control object for daily quality control has dropped to three from five. The 15 hospitals organized a total of 263 times of training in 2014 from 101 times in 2013, up 160%. The quality improvement program for

  9. IMPROVING CONCEPTUAL DESIGN QUALITY

    DEFF Research Database (Denmark)

    Bush, Stuart; Robotham, Antony John

    1999-01-01

    designer to identify clear targets for design improvement and to measure the effectiveness of any new solution, whilst attention to QFD ensures customer requirements are still being satisfied.Often, SME's are not aware of many of the best design practices and so are not able to meet the demand......This paper will consider how Quality Function Deployment (QFD) and Design for Manufacture and Assembly (DFMA) processes can be used to improve the design quality of products at the concept stage. We appreciate that both QFD and DFMA are techniques that have been used for some time by mature product...... quality is maintained in design project work. The projects described have been carried out with products manufactured by small to medium sized enterprises (SME's), where we have found significant opportunities for product improvement. The quantitative nature of DFMA analysis results allows the novice...

  10. Factors Influencing Team Performance in a Continuous Quality Improvement Process in the Wisconsin Technical College System

    Science.gov (United States)

    Pietz, Victoria Lynn

    2014-01-01

    Continuous Quality Improvement (CQI) programs are growing in popularity in higher education settings and a key component is the use of work groups, which require active employee involvement. The problem addressed in this research was the lack of employee engagement in the Quality Review Process (QRP), which is a statewide CQI model developed by…

  11. Initiating statistical process control to improve quality outcomes in colorectal surgery.

    Science.gov (United States)

    Keller, Deborah S; Stulberg, Jonah J; Lawrence, Justin K; Samia, Hoda; Delaney, Conor P

    2015-12-01

    Unexpected variations in postoperative length of stay (LOS) negatively impact resources and patient outcomes. Statistical process control (SPC) measures performance, evaluates productivity, and modifies processes for optimal performance. The goal of this study was to initiate SPC to identify LOS outliers and evaluate its feasibility to improve outcomes in colorectal surgery. Review of a prospective database identified colorectal procedures performed by a single surgeon. Patients were grouped into elective and emergent categories and then stratified by laparoscopic and open approaches. All followed a standardized enhanced recovery protocol. SPC was applied to identify outliers and evaluate causes within each group. A total of 1294 cases were analyzed--83% elective (n = 1074) and 17% emergent (n = 220). Emergent cases were 70.5% open and 29.5% laparoscopic; elective cases were 36.8% open and 63.2% laparoscopic. All groups had a wide range in LOS. LOS outliers ranged from 8.6% (elective laparoscopic) to 10.8% (emergent laparoscopic). Evaluation of outliers demonstrated patient characteristics of higher ASA scores, longer operating times, ICU requirement, and temporary nursing at discharge. Outliers had higher postoperative complication rates in elective open (57.1 vs. 20.0%) and elective lap groups (77.6 vs. 26.1%). Outliers also had higher readmission rates for emergent open (11.4 vs. 5.4%), emergent lap (14.3 vs. 9.2%), and elective lap (32.8 vs. 6.9%). Elective open outliers did not follow trends of longer LOS or higher reoperation rates. SPC is feasible and promising for improving colorectal surgery outcomes. SPC identified patient and process characteristics associated with increased LOS. SPC may allow real-time outlier identification, during quality improvement efforts, and reevaluation of outcomes after introducing process change. SPC has clinical implications for improving patient outcomes and resource utilization.

  12. Using quality measures for quality improvement: the perspective of hospital staff.

    Directory of Open Access Journals (Sweden)

    Asgar Aghaei Hashjin

    Full Text Available RESEARCH OBJECTIVE: This study examines the perspectives of a range of key hospital staff on the use, importance, scientific background, availability of data, feasibility of data collection, cost benefit aspects and availability of professional personnel for measurement of quality indicators among Iranian hospitals. The study aims to facilitate the use of quality indicators to improve quality of care in hospitals. STUDY DESIGN: A cross-sectional study was conducted over the period 2009 to 2010. Staff at Iranian hospitals completed a self-administered questionnaire eliciting their views on organizational, clinical process, and outcome (clinical effectiveness, patient safety and patient centeredness indicators. POPULATION STUDIED: 93 hospital frontline staff including hospital/nursing managers, medical doctors, nurses, and quality improvement/medical records officers in 48 general and specialized hospitals in Iran. PRINCIPAL FINDINGS: On average, only 69% of respondents reported using quality indicators in practice at their affiliated hospitals. Respondents varied significantly in their reported use of organizational, clinical process and outcome quality indicators. Overall, clinical process and effectiveness indicators were reported to be least used. The reported use of indicators corresponded with their perceived level of importance. Quality indicators were reported to be used among clinical staff significantly more than among managerial staff. In total, 74% of the respondents reported to use obligatory indicators, while this was 68% for voluntary indicators (p<0.05. CONCLUSIONS: There is a general awareness of the importance and usability of quality indicators among hospital staff in Iran, but their use is currently mostly directed towards external accountability purposes. To increase the formative use of quality indicators, creation of a common culture and feeling of shared ownership, alongside an increased uptake of clinical process and

  13. TO IMPROVE QUALITY MANAGEMENT PROCESS : Case: Aiya Restaurant Chain

    OpenAIRE

    Nguyen Thi, Tram Anh

    2012-01-01

    The commissioner of this thesis was Aiya Restaurant Chain, a newly opened yet well known restaurant chain in Vietnam. The core idea of this restaurant is to provide its customers with high quality and hygienic street-side foods. However, the current food quality control process in Aiya is incomplete and leaves space for many defects. Food quality has always been the crucial issue to all restaurants. Yet to Aiya, it is considered even more important, because the quality of food relates directl...

  14. Next generation software process improvement

    OpenAIRE

    Turnas, Daniel

    2003-01-01

    Approved for public release; distribution is unlimited Software is often developed under a process that can at best be described as ad hoc. While it is possible to develop quality software under an ad hoc process, formal processes can be developed to help increase the overall quality of the software under development. The application of these processes allows for an organization to mature. The software maturity level, and process improvement, of an organization can be measured with the Cap...

  15. Preanalytical quality improvement : in quality we trust

    OpenAIRE

    Lippi, Giuseppe; Becan-McBride, Kathleen; Behulova, Darina; Bowen, Raffick A.; Church, Stephen; Delanghe, Joris; Grankvist, Kjell; Kitchen, Steve; Nybo, Mads; Nauck, Matthias; Nikolac, Nora; Palicka, Vladimir; Plebani, Mario; Sandberg, Sverre; Simundic, Ana-Maria

    2013-01-01

    Total quality in laboratory medicine should be defined as the guarantee that each activity throughout the total testing process is correctly performed, providing valuable medical decision-making and effective patient care. In the past decades, a 10-fold reduction in the analytical error rate has been achieved thanks to improvements in both reliability and standardization of analytical techniques, reagents, and instrumentation. Notable advances in information technology, quality control and qu...

  16. Quality control of CANDU6 fuel element in fabrication process

    International Nuclear Information System (INIS)

    Li Yinxie; Zhang Jie

    2012-01-01

    To enhance the fine control over all aspects of the production process, improve product quality, fuel element fabrication process for CANDU6 quality process control activities carried out by professional technical and management technology combined mode, the quality of the fuel elements formed around CANDU6 weak links - - end plug , and brazing processes and procedures associated with this aspect of strict control, in improving staff quality consciousness, strengthening equipment maintenance, improved tooling, fixtures, optimization process test, strengthen supervision, fine inspection operations, timely delivery carry out aspects of the quality of information and concerns the production environment, etc., to find the problem from the improvement of product quality and factors affecting the source, and resolved to form the active control, comprehensive and systematic analysis of the problem of the quality management concepts, effectively reducing the end plug weld microstructure after the failure times and number of defects zirconium alloys brazed, improved product quality, and created economic benefits expressly provided, while staff quality consciousness and attention to detail, collaboration department, communication has been greatly improved and achieved very good management effectiveness. (authors)

  17. Quality improvement through multiple response optimization

    International Nuclear Information System (INIS)

    Noorossana, R.; Alemzad, H.

    2003-01-01

    The performance of a product is often evaluated by several quality characteristics. Optimizing the manufacturing process with respect to only one quality characteristic will not always lead to the optimum values for other characteristics. Hence, it would be desirable to improve the overall quality of a product by improving quality characteristics, which are considered to be important. The problem consists of optimizing several responses using multiple objective decision making approach and design of experiments. A case study will be discussed to show the application of the proposal method

  18. USE OF ARTIFICIAL INTELLIGENCE TECHNIQUES IN QUALITY IMPROVING PROCESS

    OpenAIRE

    KALİTE İYİLEŞTİRME SÜRECİNDE YAPAY ZEKÃ KAYA; Orhan ENGİN

    2005-01-01

    Today, changing of competition conditions and customer preferences caused to happen many differences in the viewpoint of firms' quality studies. At the same time, improvements in computer technologies accelerated use of artificial intelligence. Artificial intelligence technologies are being used to solve many industry problems. In this paper, we investigated the use of artificial intelligence techniques to solve quality problems. The artificial intelligence techniques, which are used in quali...

  19. Creating Quality Improvement Culture in Public Health Agencies

    Science.gov (United States)

    Mahanna, Elizabeth; Joly, Brenda; Zelek, Michael; Riley, William; Verma, Pooja; Fisher, Jessica Solomon

    2014-01-01

    Objectives. We conducted case studies of 10 agencies that participated in early quality improvement efforts. Methods. The agencies participated in a project conducted by the National Association of County and City Health Officials (2007–2008). Case study participants included health directors and quality improvement team leaders and members. We implemented multiple qualitative analysis processes, including cross-case analysis and logic modeling. We categorized agencies according to the extent to which they had developed a quality improvement culture. Results. Agencies were conducting informal quality improvement projects (n = 4), conducting formal quality improvement projects (n = 3), or creating a quality improvement culture (n = 4). Agencies conducting formal quality improvement and creating a quality improvement culture had leadership support for quality improvement, participated in national quality improvement initiatives, had a greater number of staff trained in quality improvement and quality improvement teams that met regularly with decision-making authority. Agencies conducting informal quality improvement were likely to report that accreditation is the major driver for quality improvement work. Agencies creating a quality improvement culture were more likely to have a history of evidence-based decision-making and use quality improvement to address emerging issues. Conclusions. Our findings support previous research and add the roles of national public health accreditation and emerging issues as factors in agencies’ ability to create and sustain a quality improvement culture. PMID:24228680

  20. Creating quality improvement culture in public health agencies.

    Science.gov (United States)

    Davis, Mary V; Mahanna, Elizabeth; Joly, Brenda; Zelek, Michael; Riley, William; Verma, Pooja; Fisher, Jessica Solomon

    2014-01-01

    We conducted case studies of 10 agencies that participated in early quality improvement efforts. The agencies participated in a project conducted by the National Association of County and City Health Officials (2007-2008). Case study participants included health directors and quality improvement team leaders and members. We implemented multiple qualitative analysis processes, including cross-case analysis and logic modeling. We categorized agencies according to the extent to which they had developed a quality improvement culture. Agencies were conducting informal quality improvement projects (n = 4), conducting formal quality improvement projects (n = 3), or creating a quality improvement culture (n = 4). Agencies conducting formal quality improvement and creating a quality improvement culture had leadership support for quality improvement, participated in national quality improvement initiatives, had a greater number of staff trained in quality improvement and quality improvement teams that met regularly with decision-making authority. Agencies conducting informal quality improvement were likely to report that accreditation is the major driver for quality improvement work. Agencies creating a quality improvement culture were more likely to have a history of evidence-based decision-making and use quality improvement to address emerging issues. Our findings support previous research and add the roles of national public health accreditation and emerging issues as factors in agencies' ability to create and sustain a quality improvement culture.

  1. THE ROLE OF QUALITY METHODS IN IMPROVING EDUCATION PROCESS: CASE STUDY

    Directory of Open Access Journals (Sweden)

    Dragan Pavlović

    2014-10-01

    Full Text Available This paper presents a methodology for applying the Lean Six Sigma method on the educational process. After defining defects that have negative influence on the final quality evaluation of higher education and how these defects can be remedied, the Pareto analysis is done, and that is used for establishing a vital minority of the exams that are critical for examination of faculty. The next step is the Statistical Process Control (SPC analysis that is performed on the exams that are classified as vital minority in Pareto analysis. Ishikawa diagram shows a relation between considered consequence (small number of passed exams and all factors that influence this consequence. Based on the results of implementation of the Lean Six Sigma method in the educational process and implementation of all suggested improvements, the comparative overview of Pareto analysis is given for 2009/2010 and 2012/2013 academic year at the Faculty of Mechanical Engineering, University of Niš.

  2. REMOTE SENSING IMAGE QUALITY ASSESSMENT EXPERIMENT WITH POST-PROCESSING

    Directory of Open Access Journals (Sweden)

    W. Jiang

    2018-04-01

    Full Text Available This paper briefly describes the post-processing influence assessment experiment, the experiment includes three steps: the physical simulation, image processing, and image quality assessment. The physical simulation models sampled imaging system in laboratory, the imaging system parameters are tested, the digital image serving as image processing input are produced by this imaging system with the same imaging system parameters. The gathered optical sampled images with the tested imaging parameters are processed by 3 digital image processes, including calibration pre-processing, lossy compression with different compression ratio and image post-processing with different core. Image quality assessment method used is just noticeable difference (JND subject assessment based on ISO20462, through subject assessment of the gathered and processing images, the influence of different imaging parameters and post-processing to image quality can be found. The six JND subject assessment experimental data can be validated each other. Main conclusions include: image post-processing can improve image quality; image post-processing can improve image quality even with lossy compression, image quality with higher compression ratio improves less than lower ratio; with our image post-processing method, image quality is better, when camera MTF being within a small range.

  3. Towards an Evaluation Framework for Software Process Improvement

    OpenAIRE

    Cheng, Chow Kian; Permadi, Rahadian Bayu

    2009-01-01

    Software has gained an essential role in our daily life in the last decades. This condition demands high quality software. To produce high quality software many practitioners and researchers put more attention on the software development process. Large investments are poured to improve the software development process. Software Process Improvement (SPI) is a research area which is aimed to address the assessment and improvement issues in the software development process. One of the most impor...

  4. Re-engineering quality related processes and activities

    International Nuclear Information System (INIS)

    Preisser, T.E.

    1995-01-01

    Given both desire and opportunity, improvements to program quality hinge upon a thorough understanding of what processes are currently performed, which are necessary to support the product or service, and what ideal processes should look like. Thorough understanding derives from process analysis, process mapping, and the use of other quality tools. Despite the level of knowledge any process team claims, there is likely to be at least one area that was hidden before the process was deeply analyzed. Finding that hidden element may mean the difference between evolving an improvement versus a breakthrough

  5. Improving PSA quality of KSNP PSA model

    International Nuclear Information System (INIS)

    Yang, Joon Eon; Ha, Jae Joo

    2004-01-01

    In the RIR (Risk-informed Regulation), PSA (Probabilistic Safety Assessment) plays a major role because it provides overall risk insights for the regulatory body and utility. Therefore, the scope, the level of details and the technical adequacy of PSA, i.e. the quality of PSA is to be ensured for the successful RIR. To improve the quality of Korean PSA, we evaluate the quality of the KSNP (Korean Standard Nuclear Power Plant) internal full-power PSA model based on the 'ASME PRA Standard' and the 'NEI PRA Peer Review Process Guidance.' As a working group, PSA experts of the regulatory body and industry also participated in the evaluation process. It is finally judged that the overall quality of the KSNP PSA is between the ASME Standard Capability Category I and II. We also derive some items to be improved for upgrading the quality of the PSA up to the ASME Standard Capability Category II. In this paper, we show the result of quality evaluation, and the activities to improve the quality of the KSNP PSA model

  6. The use of data for process and quality improvement in long term care and home care: a systematic review of the literature.

    Science.gov (United States)

    Sales, Anne E; Bostrom, Anne-Marie; Bucknall, Tracey; Draper, Kellie; Fraser, Kimberly; Schalm, Corinne; Warren, Sharon

    2012-02-01

    Standardized resident or client assessments, including the Resident Assessment Instrument (RAI), have been available in long term care and home care settings (continuing care sector) in many jurisdictions for a number of years. Although using these data can make quality improvement activities more efficient and less costly, there has not been a review of the literature reporting quality improvement interventions using standardized data. To address 2 questions: (1) How have RAI and other standardized data been used in process or quality improvement activities in the continuing care sector? and (2) Has the use of RAI and similar data resulted in improvements to resident or other outcomes? Searches using a combination of keyword and controlled vocabulary term searches were conducted in MEDLINE, Cumulative Index to Nursing and Allied Health Literature (CINAHL), EMBASE, the Cochrane Library, and PsychINFO. ELIGIBILITY CRITERIA, PARTICIPANTS, AND INTERVENTIONS: English language publications from database inception to October 2008 were included. Eligibility criteria included the following: (1) set in continuing care (long-term care facility or home care), (2) involved some form of intervention designed to improve quality or process of care, and (3) used standardized data in the quality or process improvement intervention. After reviewing the articles, we grouped the studies according to the type of intervention used to initiate process improvement. Four different intervention types were identified. We organized the results and discussion by these 4 intervention types. Key word searches identified 713 articles, of which we excluded 639 on abstract review because they did not meet inclusion criteria. A further 50 articles were excluded on full-text review, leaving a total of 24 articles. Of the 24 studies, 10 used a defined process improvement model, 8 used a combination of interventions (multimodal), 5 implemented new guidelines or protocols, and 1 used an education

  7. Discharge documentation of patients discharged to subacute facilities: a three-year quality improvement process across an integrated health care system.

    Science.gov (United States)

    Gandara, Esteban; Ungar, Jonathan; Lee, Jason; Chan-Macrae, Myrna; O'Malley, Terrence; Schnipper, Jeffrey L

    2010-06-01

    Effective communication among physicians during hospital discharge is critical to patient care. Partners Healthcare (Boston) has been engaged in a multi-year process to measure and improve the quality of documentation of all patients discharged from its five acute care hospitals to subacute facilities. Partners first engaged stakeholders to develop a consensus set of 12 required data elements for all discharges to subacute facilities. A measurement process was established and later refined. Quality improvement interventions were then initiated to address measured deficiencies and included education of physicians and nurses, improvements in information technology, creation of or improvements in discharge documentation templates, training of hospitalists to serve as role models, feedback to physicians and their service chiefs regarding reviewed cases, and case manager review of documentation before discharge. To measure improvement in quality as a result of these efforts, rates of simultaneous inclusion of all 12 applicable data elements ("defect-free rate") were analyzed over time. Some 3,101 discharge documentation packets of patients discharged to subacute facilities from January 1, 2006, through September 2008 were retrospectively studied. During the 11 monitored quarters, the defect-free rate increased from 65% to 96% (p improvements were seen in documentation of preadmission medication lists, allergies, follow-up, and warfarin information. Institution of rigorous measurement, feedback, and multidisciplinary, multimodal quality improvement processes improved the inclusion of data elements in discharge documentation required for safe hospital discharge across a large integrated health care system.

  8. Implementation of the World Health Organization Regional Office for Africa Stepwise Laboratory Quality Improvement Process Towards Accreditation.

    Science.gov (United States)

    Ndihokubwayo, Jean-Bosco; Maruta, Talkmore; Ndlovu, Nqobile; Moyo, Sikhulile; Yahaya, Ali Ahmed; Coulibaly, Sheick Oumar; Kasolo, Francis; Turgeon, David; Abrol, Angelii P

    2016-01-01

    The increase in disease burden has continued to weigh upon health systems in Africa. The role of the laboratory has become increasingly critical in the improvement of health for diagnosis, management and treatment of diseases. In response, the World Health Organization Regional Office for Africa (WHO AFRO) and its partners created the WHO AFRO Stepwise Laboratory (Quality) Improvement Process Towards Accreditation (SLIPTA) program. WHO AFRO defined a governance structure with roles and responsibilities for six main stakeholders. Laboratories were evaluated by auditors trained and certified by the African Society for Laboratory Medicine. Laboratory performance was measured using the WHO AFRO SLIPTA scoring checklist and recognition certificates rated with 1-5 stars were issued. By March 2015, 27 of the 47 (57%) WHO AFRO member states had appointed a SLIPTA focal point and 14 Ministers of Health had endorsed SLIPTA as the desired programme for continuous quality improvement. Ninety-eight auditors from 17 African countries, competent in the Portuguese (3), French (12) and English (83) languages, were trained and certified. The mean score for the 159 laboratories audited between May 2013 and March 2015 was 69% (median 70%; SD 11.5; interquartile range 62-77). Of these audited laboratories, 70% achieved 55% compliance or higher (2 or more stars) and 1% scored at least 95% (5 stars). The lowest scoring sections of the WHO AFRO SLIPTA checklist were sections 6 (Internal Audit) and 10 (Corrective Action), which both had mean scores below 50%. The WHO AFRO SLIPTA is a process that countries with limited resources can adopt for effective implementation of quality management systems. Political commitment, ownership and investment in continuous quality improvement are integral components of the process.

  9. Quality Improvement Initiatives in Inflammatory Bowel Disease.

    Science.gov (United States)

    Berry, Sameer K; Siegel, Corey A; Melmed, Gil Y

    2017-08-01

    This article serves as an overview of several quality improvement initiatives in inflammatory bowel disease (IBD). IBD is associated with significant variation in care, suggesting poor quality of care. There have been several efforts to improve the quality of care for patients with IBD. Quality improvement (QI) initiatives in IBD are intended to be patient-centric, improve outcomes for individuals and populations, and reduce costs-all consistent with "the triple aim" put forth by the Institute for Healthcare Improvement (IHI). Current QI initiatives include the development of quality measure sets to standardize processes and outcomes, learning health systems to foster collaborative improvement, and patient-centered medical homes specific to patients with IBD in shared risk models of care. Some of these programs have demonstrated early success in improving patient outcomes, reducing costs, improving patient satisfaction, and facilitating patient engagement. However, further studies are needed to evaluate and compare the effects of these programs over time on clinical outcomes in order to demonstrate long-term value and sustainability.

  10. Quality improvement in neurology: AAN Parkinson disease quality measures

    Science.gov (United States)

    Cheng, E.M.; Tonn, S.; Swain-Eng, R.; Factor, S.A.; Weiner, W.J.; Bever, C.T.

    2010-01-01

    Background: Measuring the quality of health care is a fundamental step toward improving health care and is increasingly used in pay-for-performance initiatives and maintenance of certification requirements. Measure development to date has focused on primary care and common conditions such as diabetes; thus, the number of measures that apply to neurologic care is limited. The American Academy of Neurology (AAN) identified the need for neurologists to develop measures of neurologic care and to establish a process to accomplish this. Objective: To adapt and test the feasibility of a process for independent development by the AAN of measures for neurologic conditions for national measurement programs. Methods: A process that has been used nationally for measure development was adapted for use by the AAN. Topics for measure development are chosen based upon national priorities, available evidence base from a systematic literature search, gaps in care, and the potential impact for quality improvement. A panel composed of subject matter and measure development methodology experts oversees the development of the measures. Recommendation statements and their corresponding level of evidence are reviewed and considered for development into draft candidate measures. The candidate measures are refined by the expert panel during a 30-day public comment period and by review by the American Medical Association for Current Procedural Terminology (CPT) II codes. All final AAN measures are approved by the AAN Board of Directors. Results: Parkinson disease (PD) was chosen for measure development. A review of the medical literature identified 258 relevant recommendation statements. A 28-member panel approved 10 quality measures for PD that included full specifications and CPT II codes. Conclusion: The AAN has adapted a measure development process that is suitable for national measurement programs and has demonstrated its capability to independently develop quality measures. GLOSSARY

  11. Severe postpartum haemorrhage after vaginal delivery: a statistical process control chart to report seven years of continuous quality improvement.

    Science.gov (United States)

    Dupont, Corinne; Occelli, Pauline; Deneux-Tharaux, Catherine; Touzet, Sandrine; Duclos, Antoine; Bouvier-Colle, Marie-Hélène; Rudigoz, René-Charles; Huissoud, Cyril

    2014-07-01

    Severe postpartum haemorrhage after vaginal delivery: a statistical process control chart to report seven years of continuous quality improvement To use statistical process control charts to describe trends in the prevalence of severe postpartum haemorrhage after vaginal delivery. This assessment was performed 7 years after we initiated a continuous quality improvement programme that began with regular criteria-based audits Observational descriptive study, in a French maternity unit in the Rhône-Alpes region. Quarterly clinical audit meetings to analyse all cases of severe postpartum haemorrhage after vaginal delivery and provide feedback on quality of care with statistical process control tools. The primary outcomes were the prevalence of severe PPH after vaginal delivery and its quarterly monitoring with a control chart. The secondary outcomes included the global quality of care for women with severe postpartum haemorrhage, including the performance rate of each recommended procedure. Differences in these variables between 2005 and 2012 were tested. From 2005 to 2012, the prevalence of severe postpartum haemorrhage declined significantly, from 1.2% to 0.6% of vaginal deliveries (pcontrol limits, that is, been out of statistical control. The proportion of cases that were managed consistently with the guidelines increased for all of their main components. Implementation of continuous quality improvement efforts began seven years ago and used, among other tools, statistical process control charts. During this period, the prevalence of severe postpartum haemorrhage after vaginal delivery has been reduced by 50%. Copyright © 2014 Elsevier Ireland Ltd. All rights reserved.

  12. Accelerating Best Care in Pennsylvania: adapting a large academic system's quality improvement process to rural community hospitals.

    Science.gov (United States)

    Haydar, Ziad; Gunderson, Julie; Ballard, David J; Skoufalos, Alexis; Berman, Bettina; Nash, David B

    2008-01-01

    Industrial quality improvement (QI) methods such as continuous quality improvement (CQI) may help bridge the gap between evidence-based "best care" and the quality of care provided. In 2006, Baylor Health Care System collaborated with Jefferson Medical College of Thomas Jefferson University to conduct a QI demonstration project in select Pennsylvania hospitals using CQI techniques developed by Baylor. The training was provided over a 6-month period and focused on methods for rapid-cycle improvement; data system design; data management; tools to improve patient outcomes, processes of care, and cost-effectiveness; use of clinical guidelines and protocols; leadership skills; and customer service skills. Participants successfully implemented a variety of QI projects. QI education programs developed and pioneered within large health care systems can be adapted and applied successfully to other settings, providing needed tools to smaller rural and community hospitals that lack the necessary resources to establish such programs independently.

  13. A quality-refinement process for medical imaging applications.

    Science.gov (United States)

    Neuhaus, J; Maleike, D; Nolden, M; Kenngott, H-G; Meinzer, H-P; Wolf, I

    2009-01-01

    To introduce and evaluate a process for refinement of software quality that is suitable to research groups. In order to avoid constraining researchers too much, the quality improvement process has to be designed carefully. The scope of this paper is to present and evaluate a process to advance quality aspects of existing research prototypes in order to make them ready for initial clinical studies. The proposed process is tailored for research environments and therefore more lightweight than traditional quality management processes. Focus on quality criteria that are important at the given stage of the software life cycle. Usage of tools that automate aspects of the process is emphasized. To evaluate the additional effort that comes along with the process, it was exemplarily applied for eight prototypical software modules for medical image processing. The introduced process has been applied to improve the quality of all prototypes so that they could be successfully used in clinical studies. The quality refinement yielded an average of 13 person days of additional effort per project. Overall, 107 bugs were found and resolved by applying the process. Careful selection of quality criteria and the usage of automated process tools lead to a lightweight quality refinement process suitable for scientific research groups that can be applied to ensure a successful transfer of technical software prototypes into clinical research workflows.

  14. Combining metabolic and process engineering strategies to improve recombinant glycoprotein production and quality.

    Science.gov (United States)

    Karengera, Eric; Durocher, Yves; De Crescenzo, Gregory; Henry, Olivier

    2017-11-01

    Increasing recombinant protein production while ensuring a high and consistent protein quality remains a challenge in mammalian cell culture process development. In this work, we combined a nutrient substitution approach with a metabolic engineering strategy that improves glucose utilization efficiency. This combination allowed us to tackle both lactate and ammonia accumulation and investigate on potential synergistic effects on protein production and quality. To this end, HEK293 cells overexpressing the pyruvate yeast carboxylase (PYC2) and their parental cells, both stably producing the therapeutic glycoprotein interferon α2b (IFNα2b), were cultured in media deprived of glutamine but containing chosen substitutes. Among the tested substitutes, pyruvate led to the best improvement in growth (integral of viable cell density) for both cell lines in batch cultures, whereas the culture of PYC2 cells without neither glutamine nor any substitute displayed surprisingly enhanced IFNα2b production. The drastic reduction in both lactate and ammonia in the cultures translated into extended high viability conditions and an increase in recombinant protein titer by up to 47% for the parental cells and the PYC2 cells. Product characterization performed by surface plasmon resonance biosensing using Sambucus nigra (SNA) lectin revealed that the increase in yield was however accompanied by a reduction in the degree of sialylation of the product. Supplementing cultures with glycosylation precursors and a cofactor were effective at counterbalancing the lack of glutamine and allowed improvement in IFNα2b quality as evaluated by lectin affinity. Our study provides a strategy to reconcile protein productivity and quality and highlights the advantages of PYC2-overexpressing cells in glutamine-free conditions.

  15. Implementing a customer focused continual business improvement program to improve the maintenance process

    International Nuclear Information System (INIS)

    Kharshafdjian, G.; Fisher, C.; Beres, T.; Brooks, S.; Forbes, S.; Krause, M.; McAuley, K.; Wendorf, M.

    2006-01-01

    Global market pressures and increasing competition demands that successful companies establish a continual business improvement program as part of implementing its business strategy. Such programs must be driven by the definition of quality from the customer's perspective. This customer quality focus often requires a change in all aspects of the business including products, services, processes and culture. This paper will describe how Atomic Energy of Canada Limited implemented a Continual Business Improvement Program in their Nuclear Laboratories Business Unit. In particular, to review how the techniques were applied to improve the maintenance process and the status of the project. Customer (internal users of the processes at CRL) feedback has shown repeatedly there is dissatisfaction of the maintenance process. Customers complain about jobs not getting done to schedule or being deferred. A project has been launched with the following goals: to improve the maintenance process customer satisfaction and increase trades wrench time by 30 minutes / trade / day. DMAIC (Define-Measure-Analyze-Improve-Control) methodology was applied to find out the Root Cause(s) of the problem, provide solutions, and implement improvements. The expected Operational Benefits include: Executing work efficiently to quality standards and business performance of the site, improve maintenance efficiencies, reduce cycle time for maintenance process and improve process yield, and improve customer and employee satisfaction. (author)

  16. Implementing a customer focused continual business improvement program to improve the maintenance process

    Energy Technology Data Exchange (ETDEWEB)

    Kharshafdjian, G.; Fisher, C.; Beres, T.; Brooks, S.; Forbes, S.; Krause, M.; McAuley, K.; Wendorf, M. [Atomic Energy of Canada Limited, Chalk River, Ontario (Canada)

    2006-07-01

    Global market pressures and increasing competition demands that successful companies establish a continual business improvement program as part of implementing its business strategy. Such programs must be driven by the definition of quality from the customer's perspective. This customer quality focus often requires a change in all aspects of the business including products, services, processes and culture. This paper will describe how Atomic Energy of Canada Limited implemented a Continual Business Improvement Program in their Nuclear Laboratories Business Unit. In particular, to review how the techniques were applied to improve the maintenance process and the status of the project. Customer (internal users of the processes at CRL) feedback has shown repeatedly there is dissatisfaction of the maintenance process. Customers complain about jobs not getting done to schedule or being deferred. A project has been launched with the following goals: to improve the maintenance process customer satisfaction and increase trades wrench time by 30 minutes / trade / day. DMAIC (Define-Measure-Analyze-Improve-Control) methodology was applied to find out the Root Cause(s) of the problem, provide solutions, and implement improvements. The expected Operational Benefits include: Executing work efficiently to quality standards and business performance of the site, improve maintenance efficiencies, reduce cycle time for maintenance process and improve process yield, and improve customer and employee satisfaction. (author)

  17. The evolution of a doctor of nursing practice capstone process: programmatic revisions to improve the quality of student projects.

    Science.gov (United States)

    Nelson, Joan M; Cook, Paul F; Raterink, Ginger

    2013-01-01

    The past several years have seen explosive growth in the number of doctor of nursing practice (DNP) degree programs offered by colleges of nursing in the United States. Through a process of trial and error since 2005, the faculty at the University of Colorado, College of Nursing, have revised the course structure and procedures related to the DNP capstone project to improve the quality and usefulness of these student projects. Efforts have focused on educating and involving all nursing faculty in the DNP capstone process, distinguishing between competencies for our PhD and DNP projects, clearly aligning the DNP capstone project with quality improvement methods rather than with research, working with our campus institutional review board to clarify regulatory review requirements for quality improvement studies, developing a review committee to oversee DNP students' projects, and structuring our sequential course requirements to encourage students' professional presentations and publications. Our current capstone process reflects 7 years of iterative work, which we summarize in this article in hopes that it will help institutions currently in the process of developing a DNP program. Copyright © 2013 Elsevier Inc. All rights reserved.

  18. Engaging Clinical Nurses in Quality Improvement Projects.

    Science.gov (United States)

    Moore, Susan; Stichler, Jaynelle F

    2015-10-01

    Clinical nurses have the knowledge and expertise required to provide efficient and proficient patient care. Time and knowledge deficits can prevent nurses from developing and implementing quality improvement or evidence-based practice projects. This article reviews a process for professional development of clinical nurses that helped them to define, implement, and analyze quality improvement or evidence-based practice projects. The purpose of this project was to educate advanced clinical nurses to manage a change project from inception to completion, using the Six Sigma DMAIC (Define, Measure, Analyze, Improve, Control) Change Acceleration Process as a framework. One-to-one mentoring and didactic in-services advanced the knowledge, appreciation, and practice of advanced practice clinicians who completed multiple change projects. The projects facilitated clinical practice changes, with improved patient outcomes; a unit cultural shift, with appreciation of quality improvement and evidence-based projects; and engagement with colleagues. Project outcomes were displayed in poster presentations at a hospital exposition for knowledge dissemination. Copyright 2015, SLACK Incorporated.

  19. Improving Science Pedagogic Quality in Elementary School Using Process Skill Approach Can Motivate Student to Be Active in Learning

    Science.gov (United States)

    Sukiniarti

    2016-01-01

    On global era todays, as the professional teacher should be improving their pedagogic competency, including to improve their science pedagogy quality. This study is aimed to identify: (1) Process skill approach which has been used by Elementary School Teacher in science learning; (2) Teacher's opinion that process skill can motivate the student to…

  20. Quality in the fabrication process

    International Nuclear Information System (INIS)

    Romano, A.; Aguirre, F.

    2010-01-01

    Enusa commitment to quality in the manufacture process materializes in the application of the most advanced product quality control technologies such as not-destructive inspection techniques, like artificial vision, X-ray or UT inspection, or process parameter statistical control systems. Quality inspectors are trained and certified by the main National Quality Organizations and receive periodic training under a formal company training program that constantly updates their qualification. Fabrication quality control reliability is based on a strategy that prioritizes redundancy of critical inspection equipment's and inspection personnel knowledge polyvalence. Furthermore, improvement in fabrication quality is obtained by a systematic application of the six sigma methodology where added value is created in projects integrating crosscutting company knowledge, reinforcing the global company vision that the fuel business is based on quality. (Author)

  1. An integrated approach for prioritized process improvement.

    Science.gov (United States)

    Vanteddu, Gangaraju; McAllister, Charles D

    2014-01-01

    The purpose of this paper is to propose an integrated framework to simultaneously identify and improve healthcare processes that are important from the healthcare provider's and patient's perspectives. A modified quality function deployment (QFD) chart is introduced to the field of healthcare quality assurance. A healthcare service example is used to demonstrate the utility of the proposed chart. The proposed framework is versatile and can be used in a wide variety of healthcare quality improvement contexts, wherein, two different perspectives are needed to be considered for identifying and improving critical healthcare processes. The modified QFD chart used in conjunction with the stacked Pareto chart will facilitate the identification of key performance metrics from the patient's and the hospital's perspectives. Subsequently, the chief contributory factors at different levels are identified in a very efficient manner. Healthcare quality improvement professionals will be able to use the proposed modified QFD chart in association with stacked Pareto chart for effective quality assurance.

  2. MODERN CONCEPTS OF THE SIX SIGMA METHODOLOGY FOR IMPROVING THE QUALITY

    Directory of Open Access Journals (Sweden)

    MARGARITA JANESKA

    2018-02-01

    Full Text Available Product quality is generally accepted as being crucial in today’s industrial business. The traditional aspects of product quality are connected to product design (translating customer demands into attractive features and technical specifications and to the design and specification of high performance production processes with low defect rates. Quality management is the general expression for all actions leading to quality. Quality management is focused on improving customer satisfaction through continuous improvement of processes including the removal of uncertain activities, and continuous improvement of the quality of processes, products and services. The quality management includes four key processes, such as quality planning, quality assurance, quality control and quality costs. The main accent in this paper will be on quality control and the application of one of the quality control tools in order to improve it. Six Sigma is different from other quality improvement concepts in that its framework is comprised of many principles, tools and techniques, which, together with experience, are all integrated and translated into best practices. Bearing in mind that the goal of every company is to work effectively and effectively in the long run, this paper focuses on Six Sigma as a way to continuously improve quality. Namely, this paper emphasizes the key features of the quality of products / services, the Need for the application of Six Sigma for quality assurance, and also a detailed list of tools and techniques that can be used during the implementation of Six Sigma.

  3. A quality improvement management model for renal care.

    Science.gov (United States)

    Vlchek, D L; Day, L M

    1991-04-01

    The purpose of this article is to explore the potential for applying the theory and tools of quality improvement (total quality management) in the renal care setting. We believe that the coupling of the statistical techniques used in the Deming method of quality improvement, with modern approaches to outcome and process analysis, will provide the renal care community with powerful tools, not only for improved quality (i.e., reduced morbidity and mortality), but also for technology evaluation and resource allocation.

  4. Practical Approaches to Quality Improvement for Radiologists.

    Science.gov (United States)

    Kelly, Aine Marie; Cronin, Paul

    2015-10-01

    Continuous quality improvement is a fundamental attribute of high-performing health care systems. Quality improvement is an essential component of health care, with the current emphasis on adding value. It is also a regulatory requirement, with reimbursements increasingly being linked to practice performance metrics. Practice quality improvement efforts must be demonstrated for credentialing purposes and for certification of radiologists in practice. Continuous quality improvement must occur for radiologists to remain competitive in an increasingly diverse health care market. This review provides an introduction to the main approaches available to undertake practice quality improvement, which will be useful for busy radiologists. Quality improvement plays multiple roles in radiology services, including ensuring and improving patient safety, providing a framework for implementing and improving processes to increase efficiency and reduce waste, analyzing and depicting performance data, monitoring performance and implementing change, enabling personnel assessment and development through continued education, and optimizing customer service and patient outcomes. The quality improvement approaches and underlying principles overlap, which is not surprising given that they all align with good patient care. The application of these principles to radiology practices not only benefits patients but also enhances practice performance through promotion of teamwork and achievement of goals. © RSNA, 2015.

  5. Technological measures to improve automotive product quality

    OpenAIRE

    Gladkov, V.; Kruglov, S.

    2010-01-01

    The paper examines the basic technological measures aimed at improving product quality in automotive industry. While paying due attention to solving organizational and technological problems, including the development of certification systems for production processes, it is also necessary to improve the technical standards of specific technologies, equipment and materials as they largely determine product quality. Special emphasis is given to the importance of improving the production of auto...

  6. Fostering evidence-based quality improvement for patient-centered medical homes: Initiating local quality councils to transform primary care.

    Science.gov (United States)

    Stockdale, Susan E; Zuchowski, Jessica; Rubenstein, Lisa V; Sapir, Negar; Yano, Elizabeth M; Altman, Lisa; Fickel, Jacqueline J; McDougall, Skye; Dresselhaus, Timothy; Hamilton, Alison B

    Although the patient-centered medical home endorses quality improvement principles, methods for supporting ongoing, systematic primary care quality improvement have not been evaluated. We introduced primary care quality councils at six Veterans Health Administration sites as an organizational intervention with three key design elements: (a) fostering interdisciplinary quality improvement leadership, (b) establishing a structured quality improvement process, and (c) facilitating organizationally aligned frontline quality improvement innovation. Our evaluation objectives were to (a) assess design element implementation, (b) describe implementation barriers and facilitators, and (c) assess successful quality improvement project completion and spread. We analyzed administrative records and conducted interviews with 85 organizational leaders. We developed and applied criteria for assessing design element implementation using hybrid deductive/inductive analytic techniques. All quality councils implemented interdisciplinary leadership and a structured quality improvement process, and all but one completed at least one quality improvement project and a toolkit for spreading improvements. Quality councils were perceived as most effective when service line leaders had well-functioning interdisciplinary communication. Matching positions within leadership hierarchies with appropriate supportive roles facilitated frontline quality improvement efforts. Two key resources were (a) a dedicated internal facilitator with project management, data collection, and presentation skills and (b) support for preparing customized data reports for identifying and addressing practice level quality issues. Overall, quality councils successfully cultivated interdisciplinary, multilevel primary care quality improvement leadership with accountability mechanisms and generated frontline innovations suitable for spread. Practice level performance data and quality improvement project management support

  7. Improving patient safety through quality assurance.

    Science.gov (United States)

    Raab, Stephen S

    2006-05-01

    Anatomic pathology laboratories use several quality assurance tools to detect errors and to improve patient safety. To review some of the anatomic pathology laboratory patient safety quality assurance practices. Different standards and measures in anatomic pathology quality assurance and patient safety were reviewed. Frequency of anatomic pathology laboratory error, variability in the use of specific quality assurance practices, and use of data for error reduction initiatives. Anatomic pathology error frequencies vary according to the detection method used. Based on secondary review, a College of American Pathologists Q-Probes study showed that the mean laboratory error frequency was 6.7%. A College of American Pathologists Q-Tracks study measuring frozen section discrepancy found that laboratories improved the longer they monitored and shared data. There is a lack of standardization across laboratories even for governmentally mandated quality assurance practices, such as cytologic-histologic correlation. The National Institutes of Health funded a consortium of laboratories to benchmark laboratory error frequencies, perform root cause analysis, and design error reduction initiatives, using quality assurance data. Based on the cytologic-histologic correlation process, these laboratories found an aggregate nongynecologic error frequency of 10.8%. Based on gynecologic error data, the laboratory at my institution used Toyota production system processes to lower gynecologic error frequencies and to improve Papanicolaou test metrics. Laboratory quality assurance practices have been used to track error rates, and laboratories are starting to use these data for error reduction initiatives.

  8. Applications of process improvement techniques to improve workflow in abdominal imaging.

    Science.gov (United States)

    Tamm, Eric Peter

    2016-03-01

    Major changes in the management and funding of healthcare are underway that will markedly change the way radiology studies will be reimbursed. The result will be the need to deliver radiology services in a highly efficient manner while maintaining quality. The science of process improvement provides a practical approach to improve the processes utilized in radiology. This article will address in a step-by-step manner how to implement process improvement techniques to improve workflow in abdominal imaging.

  9. Collaborative process improvement with examples from the software world

    CERN Document Server

    Yeakley, C

    2007-01-01

    Collaborative Process Improvement specifically addresses software companies that are interested in addressing quality in human terms. Using Collaborative Process Improvement techniques builds effective processes to deliver quality products; it helps readers relate to what quality means to the end-user and provides the essential tools and methods to integrate the face of the customer into the organization's day-to-day processes. It comes complete with real-world examples that are practical and unders andable to professionals in every role of a company.

  10. Implementing a Course Review Process for a Continuous Quality Improvement Model for a Medical School Curriculum.

    Science.gov (United States)

    Ward, Cassandra S; Andrade, Amy; Walker-Winfree, Lena

    2018-01-01

    In 1901, Abraham Flexner, a research scholar at the Carnegie Foundation for the Advancement of Teaching, visited 155 medical schools in the United States and Canada to assess medical education. Flexner's recommendations became the foundation for the Liaison Committee on Medical Education accreditation, a voluntary, peer-reviewed quality assurance process to determine whether a medical education program meets established standards. The Meharry Medical College School of Medicine, a historically Black college/university (HBCU) established the Office of Curriculum Evaluation and Effectiveness in 2013 to ensure the consistent monitoring of the medical education program's compliance with accreditation standards. The motto and logo, LCME 24/7, highlight the school's emphasis on meeting accreditation standards. The school uses the 1994 Plan-Do-Study-Act Cycle for Learning and Improvement for continuous review of course content, outcomes, and evaluations. This process identifies strengths, challenges, and opportunities for innovative steps for continuous quality improvements to the curriculum.

  11. A Controlled Agitation Process for Improving Quality of Canned Green Beans during Agitation Thermal Processing.

    Science.gov (United States)

    Singh, Anika; Pratap Singh, Anubhav; Ramaswamy, Hosahalli S

    2016-06-01

    This work introduces the concept of a controlled agitation thermal process to reduce quality damage in liquid-particulate products during agitation thermal processing. Reciprocating agitation thermal processing (RA-TP) was used as the agitation thermal process. In order to reduce the impact of agitation, a new concept of "stopping agitations after sufficient development of cold-spot temperature" was proposed. Green beans were processed in No. 2 (307×409) cans filled with liquids of various consistency (0% to 2% CMC) at various frequencies (1 to 3 Hz) of RA-TP using a full-factorial design and heat penetration results were collected. Corresponding operator's process time to impart a 10-min process lethality (Fo ) and agitation time (AT) were calculated using heat penetration results. Accordingly, products were processed again by stopping agitations as per 3 agitation regimes, namely; full time agitation, equilibration time agitation, and partial time agitation. Processed products were photographed and tested for visual quality, color, texture, breakage of green beans, turbidity, and percentage of insoluble solids in can liquid. Results showed that stopping agitations after sufficient development of cold-spot temperatures is an effective way of reducing product damages caused by agitation (for example, breakage of beans and its leaching into liquid). Agitations till one-log temperature difference gave best color, texture and visual product quality for low-viscosity liquid-particulate mixture and extended agitations till equilibration time was best for high-viscosity products. Thus, it was shown that a controlled agitation thermal process is more effective in obtaining high product quality as compared to a regular agitation thermal process. © 2016 Institute of Food Technologists®

  12. Using Quality Improvement to Improve Internal and External Coordination and Referrals.

    Science.gov (United States)

    Cain, Katherine L; Collins, Ragan P

    As part of accreditation, Public Health Accreditation Board site visitors recommended that the New Orleans Health Department strengthen its quality improvement program. With support from the Public Health Accreditation Board, the New Orleans Health Department subsequently embarked on a data-driven planning process through which it prioritized quality improvement projects for 2016. One of these projects aimed to improve referrals to New Orleans Health Department's direct services programs from local clinics and hospitals to better provide our most vulnerable residents with a continuum of care. After completing a cause-and-effect analysis, we implemented a solution involving increased outreach to health care institutions and saw annual participation increase in 3 out of 4 of our programs. We leveraged this work to successfully apply for funding to create a centralized referral system, which will facilitate partnerships among local health and human service agencies and improve access to services. This is one example of how accreditation has benefited our health department and our community. We have found that the accreditation process promotes a culture of quality and helps health departments identify and address areas for improvement.

  13. Research on construction quality and improvement of assembly construction

    Science.gov (United States)

    Cheng, Fei

    2017-11-01

    Based on the acceleration of the urbanization process and the improvement of the quality of life of our residents, the demand for building construction has been increasing. In this context, the construction industry in order to promote the construction efficiency, quality improvement, to meet the needs of the development of the times to strengthen the new technology, the use of new technologies. At present, China’s engineering construction units in the process of carrying out the project to strengthen the use of assembly-type construction technology, which thus achieved for the traditional construction work low-level, high time-consuming issues, and promote the steady improvement of production efficiency. Based on this, this paper focuses on the analysis of the connotation of the assembly structure and analyzes the quality problems in the construction process of the construction projects and puts forward the improvement measures to promote the improvement of the building quality and the construction of the building Construction speed. Based on this, this paper analyzes the structural system and design of prefabricated building.

  14. The improving processes in the human resources management

    OpenAIRE

    Darja Holátová

    2002-01-01

    The quality management of the human resources management, the quality of the products, services and prosperities of the firms is among others dependent on the quality management. Managers convey a leadership and commitment necessary for creating the environment for quality improvement. The managers are responsible for their own actions, development and improvement of their own work processes.

  15. Nationwide quality improvement in lung cancer care

    DEFF Research Database (Denmark)

    Jakobsen, Erik Winther; Green, Anders; Oesterlind, Kell

    2013-01-01

    To improve prognosis and quality of lung cancer care the Danish Lung Cancer Group has developed a strategy consisting of national clinical guidelines and a clinical quality and research database. The first edition of our guidelines was published in 1998 and our national lung cancer registry...... was opened for registrations in 2000. This article describes methods and results obtained by multidisciplinary collaboration and illustrates how quality of lung cancer care can be improved by establishing and monitoring result and process indicators....

  16. Improving and monitoring air quality.

    Science.gov (United States)

    DuPont, André

    2018-05-01

    Since the authorization of the Clean Air Act Amendments of 1990, the air quality in the USA has significantly improved because of strong public support. The lessons learned over the last 25 years are being shared with the policy analysts, technical professionals, and scientist who endeavor to improve air quality in their communities. This paper will review how the USA has achieved the "high" standard of air quality that was envisioned in the early 1990s. This document will describe SO 2 gas emission reduction technology and highlight operation of emission monitoring technology. This paper describes the basic process operation of an air pollution control scrubber. A technical review of measures required to operate and maintain a large-scale pollution control system will be described. Also, the author explains how quality assurance procedures in performance of continuous emission monitoring plays a significant role in reducing air pollution.

  17. An anticipatory quality improvement process for curricular reform.

    Science.gov (United States)

    Hollander, Harry; Loeser, Helen; Irby, David

    2002-09-01

    standardized set of questions; and examined course schedules, cases, and detailed learning objectives for particular sessions. In July 2001, the committee reported back to the deans with specific recommendations for coordinating the block courses, and about the success in creating integration and the overall balance of topics students would learn. Specific recommendations included increasing the use of pediatric and geriatric cases across courses, creating a case database, developing explicit plans to relocate uncovered material in the four-year curriculum, and bolstering participation of clinical faculty during the first-year blocks. These recommendations were then presented to and endorsed by the Essential Core Steering Committee, which implemented an action plan prior to the September 2001 start date. This proactive approach to quality improvement added an evaluation point before the new curriculum was actually unveiled. The anticipatory planning process substantially aided the interdisciplinary developmental process, increased input into the first-year curriculum by clerkship directors, and identified problems that would have otherwise become apparent after implementation. We believe this model adds value to the curriculum planning process.

  18. A Case of Engineering Quality for Mobile Healthcare Applications Using Augmented Personal Software Process Improvement

    Directory of Open Access Journals (Sweden)

    Shahbaz Ahmed Khan Ghayyur

    2016-01-01

    Full Text Available Mobile healthcare systems are currently considered as key research areas in the domain of software engineering. The adoption of modern technologies, for mobile healthcare systems, is a quick option for industry professionals. Software architecture is a key feature that contributes towards a software product, solution, or services. Software architecture helps in better communication, documentation of design decisions, risks identification, basis for reusability, scalability, scheduling, and reduced maintenance cost and lastly it helps to avoid software failures. Hence, in order to solve the abovementioned issues in mobile healthcare, the software architecture is integrated with personal software process. Personal software process has been applied successfully but it is unable to address the issues related to architectural design and evaluation capabilities. Hence, a new technique architecture augmented personal process is presented in order to enhance the quality of the mobile healthcare systems through the use of architectural design with integration of personal software process. The proposed process was validated by case studies. It was found that the proposed process helped in reducing the overall costs and effort. Moreover, an improved architectural design helped in development of high quality mobile healthcare system.

  19. Software Design Improvements. Part 2; Software Quality and the Design and Inspection Process

    Science.gov (United States)

    Lalli, Vincent R.; Packard, Michael H.; Ziemianski, Tom

    1997-01-01

    The application of assurance engineering techniques improves the duration of failure-free performance of software. The totality of features and characteristics of a software product are what determine its ability to satisfy customer needs. Software in safety-critical systems is very important to NASA. We follow the System Safety Working Groups definition for system safety software as: 'The optimization of system safety in the design, development, use and maintenance of software and its integration with safety-critical systems in an operational environment. 'If it is not safe, say so' has become our motto. This paper goes over methods that have been used by NASA to make software design improvements by focusing on software quality and the design and inspection process.

  20. Developing an Interdisciplinary, Team-Based Quality Improvement Leadership Training Program for Clinicians: The Partners Clinical Process Improvement Leadership Program.

    Science.gov (United States)

    Rao, Sandhya K; Carballo, Victoria; Cummings, Brian M; Millham, Frederick; Jacobson, Joseph O

    Although there has been tremendous progress in quality improvement (QI) education for students and trainees in recent years, much less has been published regarding the training of active clinicians in QI. The Partners Clinical Process Improvement Leadership Program (CPIP) is a 6-day experiential program. Interdisciplinary teams complete a QI project framed by didactic sessions, interactive exercises, case-based problem sessions, and a final presentation. A total of 239 teams composed of 516 individuals have graduated CPIP. On completion, participant satisfaction scores average 4.52 (scale 1-5) and self-reported understanding of QI concepts improved. At 6 months after graduation, 66% of survey respondents reported sustained QI activity. Three opportunities to improve the program have been identified: (1) increasing faculty participation through online and tiered course offerings, (2) integrating the faculty-focused program with the trainee curriculum, and (3) developing a postgraduate curriculum to address the challenges of sustained improvement.

  1. Trinity of Quality Improvement : Studies on structure, process and outcome related to quality improvement in long-term care in the Netherlands between 2007 and 2011

    NARCIS (Netherlands)

    A.C.M. Winters-van der Meer (Sjenny)

    2014-01-01

    markdownabstract__Abstract__ This thesis discusses quality improvement in long-term care organisations in the Netherlands. It explores the influencing factors on quality improvement and aims at identifying opportunities for long-term care organisations to ‘improve their improvement’. This

  2. Quality improvement teaching at medical school: a student perspective

    OpenAIRE

    Nair, Pooja; Barai, Ishani; Prasad, Sunila; Gadhvi, Karishma

    2016-01-01

    Pooja Nair, Ishani Barai, Sunila Prasad, Karishma Gadhvi Department of Medicine, Imperial College School of Medicine, Imperial College London, London, UK Abstract: Guidelines in the UK require all doctors to actively take part in quality improvement. To ease future doctors into the process, formal quality improvement teaching can be delivered during medical school. Keywords: quality improvement, medical school, patient safety, patient satisfaction, medical student, clinical audit

  3. IMPROVING QUALITY MANAGEMENT IN PANIFICATION

    Directory of Open Access Journals (Sweden)

    Cornelia Petroman

    2010-12-01

    Full Text Available Consumers of panification products (as well as consumers of any other type of product or service are concerned about the quality of the products they purchase. Implementing the quality management system in the food industry is not compulsory, but it can bring about numerous, palpable benefits, particularly in reducing the amount of acryl amide. It is a modern system allowing the management analysis aiming at checking and reaching the goals to define new objectives, and the continuous improvement of the quality of processes and products.

  4. NCCDS configuration management process improvement

    Science.gov (United States)

    Shay, Kathy

    1993-01-01

    By concentrating on defining and improving specific Configuration Management (CM) functions, processes, procedures, personnel selection/development, and tools, internal and external customers received improved CM services. Job performance within the section increased in both satisfaction and output. Participation in achieving major improvements has led to the delivery of consistent quality CM products as well as significant decreases in every measured CM metrics category.

  5. Improving engineering performance by utilizing process indicators

    International Nuclear Information System (INIS)

    Roberts, T.E.

    1992-01-01

    The purpose of the work discussed in this paper was to develop engineering performance indicators used to facilitate improvement to the technical quality, cost-effectiveness, and delivery of engineering products and service. This work was specifically tailored for engineering support products and service associated with operating Florida Power and Light Company (FP and L) nuclear plants. The engineering process for the development of plant change packages was reviewed to identify critical in-process activities. Because each engineering project usually deals with a specific component or plant system, the different tasks are usually technically unique and of varying magnitudes. Although each engineering product may employ different analytical techniques or industry code requirements, several activities in documenting the engineering design process are generic. The quality of performance in these activities can be monitored analogously to the steps in a manufacturing process. This concept builds quality concepts into the package in lieu of inspecting package quality at the end of the process. The work has resulted in a valuable self-assessment tool that serves as a basis for engineering process improvements. The indicators are published in a semi-yearly performance report for FP and L contractors as well as FP and L in-house engineering work. Contracts have been set up to base fees on meeting targets established for the performance report. The ability to meet performance targets continues to improve

  6. Quality improvement in pediatrics: past, present, and future.

    Science.gov (United States)

    Schwartz, Stephanie P; Rehder, Kyle J

    2017-01-01

    Almost two decades ago, the landmark report "To Err is Human" compelled healthcare to address the large numbers of hospitalized patients experiencing preventable harm. Concurrently, it became clear that the rapidly rising cost of healthcare would be unsustainable in the long-term. As a result, quality improvement methodologies initially rooted in other high-reliability industries have become a primary focus of healthcare. Multiple pediatric studies demonstrate remarkable quality and safety improvements in several domains including handoffs, catheter-associated blood stream infections, and other serious safety events. While both quality improvement and research are data-driven processes, significant differences exist between the two. Research utilizes a hypothesis driven approach to obtain new knowledge while quality improvement often incorporates a cyclic approach to translate existing knowledge into clinical practice. Recent publications have provided guidelines and methods for effectively reporting quality and safety work and improvement implementations. This review examines not only how quality improvement in pediatrics has led to improved outcomes, but also looks to the future of quality improvement in healthcare with focus on education and collaboration to ensure best practice approaches to caring for children.

  7. Continuous quality improvement process pin-points delays, speeds STEMI patients to life-saving treatment.

    Science.gov (United States)

    2011-11-01

    Using a multidisciplinary team approach, the University of California, San Diego, Health System has been able to significantly reduce average door-to-balloon angioplasty times for patients with the most severe form of heart attacks, beating national recommendations by more than a third. The multidisciplinary team meets monthly to review all cases involving patients with ST-segment-elevation myocardial infarctions (STEMI) to see where process improvements can be made. Using this continuous quality improvement (CQI) process, the health system has reduced average door-to-balloon times from 120 minutes to less than 60 minutes, and administrators are now aiming for further progress. Among the improvements instituted by the multidisciplinary team are the implementation of a "greeter" with enough clinical expertise to quickly pick up on potential STEMI heart attacks as soon as patients walk into the ED, and the purchase of an electrocardiogram (EKG) machine so that evaluations can be done in the triage area. ED staff have prepared "STEMI" packets, including items such as special IV tubing and disposable leads, so that patients headed for the catheterization laboratory are prepared to undergo the procedure soon after arrival. All the clocks and devices used in the ED are synchronized so that analysts can later review how long it took to complete each step of the care process. Points of delay can then be targeted for improvement.

  8. Teacher Research as Continuous Process Improvement

    Science.gov (United States)

    Ellis, Charles; Castle, Kathryn

    2010-01-01

    Purpose: Teacher research (inquiry) has been characterized as practice improvement, professional development and action research, among numerous names and descriptions. The purpose of this paper is to support the case that teacher research is also a form of quality improvement known as continuous process improvement (CPI).…

  9. MANAGING THE BUILDING DESIGN PROCESS FOR SUSTAINABILTY AND IMPROVED QUALITY

    Directory of Open Access Journals (Sweden)

    Sunday Bobadoye

    2006-01-01

    Full Text Available The essence of building design process and management for building sustainability in the creation and maintenance of a qualitative architectural product is investigated in this paper. The design process, concept of building sustainability and particularly the quality of the built environment are discussed. Akure, a state capital in Nigeria was used as a case study. The principles and indicators for sustainability of buildings and its implications on the quality of the environment are examined in details. Survey findings include the views of the professionals on the clients, perception on the design process as well as management of projects, and the implications on the quality of the ensuring products and the city’s environment. The data were factor analyzed using varimax rotation criterion (with Kaiser Normalization. The results revealed that five factors were effective, with one of them exhibiting the greatest variability and individual differences. The variables that loaded on this factor were really the aspects of the process and management relating to the clients. The findings also revealed the professionals’ wrong attitude towards design process as shown with a very high degree of variability in the study. The paper concludes by recommending the enactment and enforcement of relevant policies with adequate education of the people and the involvement of all the stakeholders in the management of building projects and environmental programmes for the realization of a qualitative architectural product.

  10. QUALITY IMPROVEMENT MODEL OF NURSING EDUCATION IN MUHAMMADIYAH UNIVERSITIES TOWARD COMPETITIVE ADVANTAGE

    Directory of Open Access Journals (Sweden)

    Abdul Aziz Alimul Hidayat

    2017-06-01

    Full Text Available Introduction: Most of (90,6% nursing education quality in East Java was still low (BAN-PT, 2012. It was because the quality improvement process in nursing education generally was conducted partially (random performance improvement. The solution which might be done was through identifying proper quality improvement model in Nursing Education toward competitive advantage. Method: This research used survey to gain the data. The research sample was 16 Muhammadiyah Universities chosen using simple random sampling. The data were collected with questionnaires of 174 questions and documentation study. Data analysis used was Partial Least Square (PLS analysis technique. Result: Nursing education department profile in Muhammadiyah Universities in Indonesia showed of 10 years establishment, accredited B and the competition level in one city/regency was averagely more than three Universities becoming the competitors. Based on the quality improvement model analysis of nursing education toward competitive advantage on Muhammadiyah Universities, it was directly affected by the focus of learning and operasional process through human resources management improvement, on the other hand information system also directly affected on quality improvement, also affected quality process components; leadership, human resources, focus of learning and operational process. In improving human resources would be directly influenced with proper strategic planning. Strategic planning was directly influenced with leadership. Thus, in improving quality of nursing education, the leadership role of department, proper information system, and thehuman resources management improvement must be implemented.  Conclusion: Quality improvement model in nursing education was directly determined with learning and operational process through human resources management along with information system, strategic planning factors, and leadership. The research finding could be developed in quality

  11. [Sustainable process improvement with application of 'lean philosophy'].

    Science.gov (United States)

    Rouppe van der Voort, Marc B V; van Merode, G G Frits; Veraart, Henricus G N

    2013-01-01

    Process improvement is increasingly being implemented, particularly with the aid of 'lean philosophy'. This management philosophy aims to improve quality by reducing 'wastage'. Local improvements can produce negative effects elsewhere due to interdependence of processes. An 'integrated system approach' is required to prevent this. Some hospitals claim that this has been successful. Research into process improvement with the application of lean philosophy has reported many positive effects, defined as improved safety, quality and efficiency. Due to methodological shortcomings and lack of rigorous evaluations it is, however, not yet possible to determine the impact of this approach. It is, however, obvious that the investigated applications are fragmentary, with a dominant focus on the instrumental aspect of the philosophy and a lack of integration in a total system, and with insufficient attention to human aspects. Process improvement is required to achieve better and more goal-oriented healthcare. To achieve this, hospitals must develop integrated system approaches that combine methods for process design with continuous improvement of processes and with personnel management. It is crucial that doctors take the initiative to guide and improve processes in an integral manner.

  12. Internal Resources to Improve the Quality of Higher Education

    Directory of Open Access Journals (Sweden)

    Tatyana V. Zak

    2016-03-01

    Full Text Available The article deals with the situation in the Russian higher education system. The factors affecting the improvement of the quality of higher education are analyzed. The emphasis is on mass universities. The main obstacles to improving the quality of education in these institutions are the Institute of collective reputation and the high costs of the struggle for improving the quality of education.The necessity of focusing on the actuation of the internal resources to improve the quality associated with the change in the educational process: giving students the right to choose the timing exams and training period at university. The implementation of the proposed measures will reduce the opportunity costs associated with quality improvement activities. The proposed change in the organization of the learning process opens the possibility to estimate the activity of universities in terms of medium-term implementation of educational programs. The use of this indicator will not only combine the two different targets of universities, but also to minimize the costs of opportunistic behavior of teachers and management.

  13. Quality improvement teaching at medical school: a student perspective

    Directory of Open Access Journals (Sweden)

    Nair P

    2016-03-01

    Full Text Available Pooja Nair, Ishani Barai, Sunila Prasad, Karishma Gadhvi Department of Medicine, Imperial College School of Medicine, Imperial College London, London, UK Abstract: Guidelines in the UK require all doctors to actively take part in quality improvement. To ease future doctors into the process, formal quality improvement teaching can be delivered during medical school. Keywords: quality improvement, medical school, patient safety, patient satisfaction, medical student, clinical audit

  14. Improving performance on core processes of care.

    Science.gov (United States)

    Austin, John Matthew; Pronovost, Peter J

    2016-06-01

    This article describes the recent literature on using extrinsic and intrinsic motivators to improve performance on core processes of care, highlighting literature that describes general frameworks for quality improvement work. The literature supporting the effectiveness of extrinsic motivators to improve quality is generally positive for public reporting of performance, with mixed results for pay-for-performance. A four-element quality improvement framework developed by The Armstrong Institute at Johns Hopkins Medicine was developed with intrinsic motivation in mind. The clear definition and communication of goals are important for quality improvement work. Training clinicians in improvement science, such as lean sigma, teamwork, or culture change provides clinicians with the skills they need to drive the improvement work. Peer learning communities offer the opportunity for clinicians to engage with each other and offer support in their work. The transparent reporting of performance helps ensure accountability of performance ranging from individual clinicians to governance. Quality improvement work that is led by and engages clinicians offers the opportunity for the work to be both meaningful and sustainable. The literature supports approaching quality improvement work in a systematic way, including the key elements of communication, infrastructure building, training, transparency, and accountability.

  15. Preanalytical quality improvement: in quality we trust.

    Science.gov (United States)

    Lippi, Giuseppe; Becan-McBride, Kathleen; Behúlová, Darina; Bowen, Raffick A; Church, Stephen; Delanghe, Joris; Grankvist, Kjell; Kitchen, Steve; Nybo, Mads; Nauck, Matthias; Nikolac, Nora; Palicka, Vladimir; Plebani, Mario; Sandberg, Sverre; Simundic, Ana-Maria

    2013-01-01

    Total quality in laboratory medicine should be defined as the guarantee that each activity throughout the total testing process is correctly performed, providing valuable medical decision-making and effective patient care. In the past decades, a 10-fold reduction in the analytical error rate has been achieved thanks to improvements in both reliability and standardization of analytical techniques, reagents, and instrumentation. Notable advances in information technology, quality control and quality assurance methods have also assured a valuable contribution for reducing diagnostic errors. Nevertheless, several lines of evidence still suggest that most errors in laboratory diagnostics fall outside the analytical phase, and the pre- and postanalytical steps have been found to be much more vulnerable. This collective paper, which is the logical continuum of the former already published in this journal 2 years ago, provides additional contribution to risk management in the preanalytical phase and is a synopsis of the lectures of the 2nd European Federation of Clinical Chemistry and Laboratory Medicine (EFLM)-Becton Dickinson (BD) European Conference on Preanalytical Phase meeting entitled "Preanalytical quality improvement: in quality we trust" (Zagreb, Croatia, 1-2 March 2013). The leading topics that will be discussed include quality indicators for preanalytical phase, phlebotomy practices for collection of blood gas analysis and pediatric samples, lipemia and blood collection tube interferences, preanalytical requirements of urinalysis, molecular biology hemostasis and platelet testing, as well as indications on best practices for safe blood collection. Auditing of the preanalytical phase by ISO assessors and external quality assessment for preanalytical phase are also discussed.

  16. Quality Improvement with Trustee Commitment.

    Science.gov (United States)

    Chaffee, Ellen Earle; Seymour, Daniel

    1991-01-01

    Total Quality Management is a comprehensive system for developing organizationwide participation in planning for and implementing continuous improvement in critical processes. In colleges, trustees can be central to the success of the method through their commitment and the development of supportive policy and procedures. (MSE)

  17. Adopting software quality measures for healthcare processes.

    Science.gov (United States)

    Yildiz, Ozkan; Demirörs, Onur

    2009-01-01

    In this study, we investigated the adoptability of software quality measures for healthcare process measurement. Quality measures of ISO/IEC 9126 are redefined from a process perspective to build a generic healthcare process quality measurement model. Case study research method is used, and the model is applied to a public hospital's Entry to Care process. After the application, weak and strong aspects of the process can be easily observed. Access audibility, fault removal, completeness of documentation, and machine utilization are weak aspects and these aspects are the candidates for process improvement. On the other hand, functional completeness, fault ratio, input validity checking, response time, and throughput time are the strong aspects of the process.

  18. Radioscopy applied to the improvement of industrial processes of quality control in the Brazilian footwear production

    Energy Technology Data Exchange (ETDEWEB)

    Fernandes, Marcela Tatiana Fernandes; Mello Filho, Mauro Otto de Cavalcanti, E-mail: mbeserra@cefet-rj.br, E-mail: maurootto@cefet-rj.br [Centro Federal de Educacao Tecnologica Celso Suckow da Fonseca (CEFET-RJ), Rio de Janeiro, RJ (Brazil); Raupp, Fernanda Maria Pereira, E-mail: fraupp@puc-rio.br [Pontificia Universidade Catolica do Rio de Janeiro (PUC-Rio), Rio de Janeiro, RJ (Brazil). Departamento de Engenharia Industrial

    2013-07-01

    According to the Ministry of Development, Industry and Foreign Trade, China has the last five years in the Brazilian footwear market for imports, representing 70% of total imports. Brazil has been recording declines in footwear exports; in 2011 there was an average reduction of 21.5% compared to 2010. Thus, Brazil has moved to the eighth position in the export market. Moreover, Asians have been improving the quality and technological level of their footwear for niche markets. It is well known that the introduction of new technologies into industrial organizations enables adding value to their products, making the organizations more competitive in the global market. In this work, we present a study on the use of radioscopy technique to improve quality control of the Brazilian footwear industry. Being already used by some international footwear manufactures, aiming at the identification of strange bodies, control jumps, among other aspects, this technique brings innovation to the referred industry, since it is a non-destructive test approach that makes use of X-rays. We also propose a tool for the application of radioscopy technique to improve quality control processes of footwear production, employing concepts of Failure Modes and Effects Analysis (FMEA). (author)

  19. Radioscopy applied to the improvement of industrial processes of quality control in the Brazilian footwear production

    International Nuclear Information System (INIS)

    Fernandes, Marcela Tatiana Fernandes; Mello Filho, Mauro Otto de Cavalcanti; Raupp, Fernanda Maria Pereira

    2013-01-01

    According to the Ministry of Development, Industry and Foreign Trade, China has the last five years in the Brazilian footwear market for imports, representing 70% of total imports. Brazil has been recording declines in footwear exports; in 2011 there was an average reduction of 21.5% compared to 2010. Thus, Brazil has moved to the eighth position in the export market. Moreover, Asians have been improving the quality and technological level of their footwear for niche markets. It is well known that the introduction of new technologies into industrial organizations enables adding value to their products, making the organizations more competitive in the global market. In this work, we present a study on the use of radioscopy technique to improve quality control of the Brazilian footwear industry. Being already used by some international footwear manufactures, aiming at the identification of strange bodies, control jumps, among other aspects, this technique brings innovation to the referred industry, since it is a non-destructive test approach that makes use of X-rays. We also propose a tool for the application of radioscopy technique to improve quality control processes of footwear production, employing concepts of Failure Modes and Effects Analysis (FMEA). (author)

  20. Integration of quality improvement and cost-efficiency through industrial improvement techniques

    Directory of Open Access Journals (Sweden)

    Vink JP

    2016-06-01

    Full Text Available Jasper P Vink,1 Maxime T Rigaudy,1,2 Karl O Elmqvist11Imperial College Business School, Imperial College London, London, 2Hull York Medical School, York, UKIn this journal, Crema and Verbano1 discussed the importance of defining quality of health care and how quality can be improved through various industrial instruments and techniques. Quality of health care is a heavily debated topic that requires a wide scope of considerations across the many stakeholders of the health system. We acknowledge Crema and Verbano’s arguments that patient safety is a basic pillar of quality, upon which we would like to expand by highlighting the clinical effectiveness and patient-reported outcomes, which are the two further crucial components of quality. The arguments made regarding quality improvement techniques and cost efficiency in health care provision are insightful, yet appear to make a distinction between efforts to improve quality, eliminate waste from processes, and cut costs in health care provision. We would argue that in fact these achievements are all closely related and can be achieved simultaneously, if the industrial techniques of quality management are applied adequately.View the original paper by Crema and Verbano.

  1. International Accreditations as Drivers of Business School Quality Improvement

    Science.gov (United States)

    Bryant, Michael

    2013-01-01

    Business schools are under pressure to implement continuous improvement and quality assurance processes to remain competitive in a globalized higher education market. Drivers for quality improvement include external, environmental pressures, regulatory bodies such as governments, and, increasingly, voluntary accreditation agencies such as AACSB…

  2. Improving Family Meetings in Intensive Care Units: A Quality Improvement Curriculum.

    Science.gov (United States)

    Gruenewald, David A; Gabriel, Michelle; Rizzo, Dorothy; Luhrs, Carol A

    2017-07-01

    Family meetings in the intensive care unit are associated with beneficial outcomes for patients, their families, and health care systems, yet these meetings often do not occur in a timely, effective, reliable way. The Department of Veterans Affairs Comprehensive End-of-Life Care Implementation Center sponsored a national initiative to improve family meetings in Veterans Affairs intensive care units across the United States. Process measures of success for the initiative were identified, including development of a curriculum to support facility-based quality improvement projects to implement high-quality family meetings. Identified curriculum requirements included suitability for distance learning and applicability to many clinical intensive care units. Curriculum modules were cross-mapped to the "Plan-Do-Study-Act" model to aid in planning quality improvement projects. A questionnaire was e-mailed to users to evaluate the curriculum's effectiveness. Users rated the curriculum's effectiveness in supporting and achieving aims of the initiative as 3.6 on a scale of 0 (not effective) to 4 (very effective). Users adapted the curriculum to meet local needs. The number of users increased from 6 to 17 quality improvement teams in 2 years. All but 3 teams progressed to implementation of an action plan. Users were satisfied with the effectiveness and adaptability of a family-meeting quality improvement curriculum to support implementation of a quality improvement project in Veterans Affairs intensive care units. This tool may be useful in facilitating projects to improve the quality of family meetings in other intensive care units. ©2017 American Association of Critical-Care Nurses.

  3. Improving the quality of the order-writing process for inpatient orders and outpatient prescriptions.

    Science.gov (United States)

    Meyer, T A

    2000-12-15

    Because many preventable medication errors occur at the ordering stage, a program for improving the quality of writing inpatient orders and outpatient prescriptions at one institution was developed. To determine whether potential problems existed in the order-writing process for inpatients, all physician orders for a seven-day period in 1997 were reviewed (n = 3740). More than 10% of all orders had illegible handwriting or were written with a felt-tip pen, which makes NCR copies difficult to read. Other potential errors were also identified. Following educational programs for physicians and residents focusing on the importance of writing orders clearly, physician orders were reviewed for a 24-hour period (n = 654). The use of felt-tip pens decreased to 1.37% of all orders, and no orders had illegible handwriting. A similar quality improvement approach was used to evaluate the outpatient prescription-writing process. A review of all new prescriptions for a consecutive seven-day period at a local hospital-owned community pharmacy (n = 1425) revealed that about 15% of the prescriptions had illegible handwriting and roughly 10% were incomplete. Additional data were gathered through a survey sent to 71 outside provider pharmacies requesting information on problems related to prescriptions written by physicians from the institution; 66% responded. Failure to print prescriber name (96%), illegible signature (94%), failure to include DEA number (89%), and illegible handwriting other than signature (69%) were reported as the main problems. Each physician was given a self-inking name stamp to use when writing prescriptions. In addition, educational programs covering examples of poorly written prescriptions and the legal requirements of a prescription were held for physicians and residents. A follow-up survey showed that 72% of pharmacies saw stamps being used; when stamps were not used, however, illegible signatures continued to be a problem. Follow-up reviews of outpatient

  4. Implementation of the World Health Organization Regional Office for Africa Stepwise Laboratory Quality Improvement Process Towards Accreditation

    Directory of Open Access Journals (Sweden)

    Jean-Bosco Ndihokubwayo

    2016-05-01

    SLIPTA implementation process: WHO AFRO defined a governance structure with roles and responsibilities for six main stakeholders. Laboratories were evaluated by auditors trained and certified by the African Society for Laboratory Medicine. Laboratory performance was measured using the WHO AFRO SLIPTA scoring checklist and recognition certificates rated with 1–5 stars were issued. Preliminary results: By March 2015, 27 of the 47 (57% WHO AFRO member states had appointed a SLIPTA focal point and 14 Ministers of Health had endorsed SLIPTA as the desired programme for continuous quality improvement. Ninety-eight auditors from 17 African countries, competent in the Portuguese (3, French (12 and English (83 languages, were trained and certified. The mean score for the 159 laboratories audited between May 2013 and March 2015 was 69% (median 70%; SD 11.5; interquartile range 62–77. Of these audited laboratories, 70% achieved 55% compliance or higher (2 or more stars and 1% scored at least 95% (5 stars. The lowest scoring sections of the WHO AFRO SLIPTA checklist were sections 6 (Internal Audit and 10 (Corrective Action, which both had mean scores below 50%. Conclusion: The WHO AFRO SLIPTA is a process that countries with limited resources can adopt for effective implementation of quality management systems. Political commitment, ownership and investment in continuous quality improvement are integral components of the process.

  5. Using Unified Modelling Language (UML) as a process-modelling technique for clinical-research process improvement.

    Science.gov (United States)

    Kumarapeli, P; De Lusignan, S; Ellis, T; Jones, B

    2007-03-01

    The Primary Care Data Quality programme (PCDQ) is a quality-improvement programme which processes routinely collected general practice computer data. Patient data collected from a wide range of different brands of clinical computer systems are aggregated, processed, and fed back to practices in an educational context to improve the quality of care. Process modelling is a well-established approach used to gain understanding and systematic appraisal, and identify areas of improvement of a business process. Unified modelling language (UML) is a general purpose modelling technique used for this purpose. We used UML to appraise the PCDQ process to see if the efficiency and predictability of the process could be improved. Activity analysis and thinking-aloud sessions were used to collect data to generate UML diagrams. The UML model highlighted the sequential nature of the current process as a barrier for efficiency gains. It also identified the uneven distribution of process controls, lack of symmetric communication channels, critical dependencies among processing stages, and failure to implement all the lessons learned in the piloting phase. It also suggested that improved structured reporting at each stage - especially from the pilot phase, parallel processing of data and correctly positioned process controls - should improve the efficiency and predictability of research projects. Process modelling provided a rational basis for the critical appraisal of a clinical data processing system; its potential maybe underutilized within health care.

  6. Implementation of occupational health service improvements through application of total quality management processes.

    Science.gov (United States)

    Thomas, Elizabeth Anne

    2011-06-01

    The occupational health services department for a manufacturing division of a high-technology firm was redesigned from an outsourced model, in which most services were provided by an outside clinic vendor, to an in-house service model, in which services were provided by an on-site nurse practitioner. The redesign and implementation, accomplished by a cross-functional team using Total Quality Management processes, resulted in a comprehensive occupational health services department that realized significant cost reduction, increased compliance with regulatory and company requirements, and improved employee satisfaction. Implications of this project for occupational health nurses are discussed.

  7. Lower- Versus Higher-Income Populations In The Alternative Quality Contract: Improved Quality And Similar Spending.

    Science.gov (United States)

    Song, Zirui; Rose, Sherri; Chernew, Michael E; Safran, Dana Gelb

    2017-01-01

    As population-based payment models become increasingly common, it is crucial to understand how such payment models affect health disparities. We evaluated health care quality and spending among enrollees in areas with lower versus higher socioeconomic status in Massachusetts before and after providers entered into the Alternative Quality Contract, a two-sided population-based payment model with substantial incentives tied to quality. We compared changes in process measures, outcome measures, and spending between enrollees in areas with lower and higher socioeconomic status from 2006 to 2012 (outcome measures were measured after the intervention only). Quality improved for all enrollees in the Alternative Quality Contract after their provider organizations entered the contract. Process measures improved 1.2 percentage points per year more among enrollees in areas with lower socioeconomic status than among those in areas with higher socioeconomic status. Outcome measure improvement was no different between the subgroups; neither were changes in spending. Larger or comparable improvements in quality among enrollees in areas with lower socioeconomic status suggest a potential narrowing of disparities. Strong pay-for-performance incentives within a population-based payment model could encourage providers to focus on improving quality for more disadvantaged populations. Project HOPE—The People-to-People Health Foundation, Inc.

  8. A DMAIC approach for process capability improvement an engine crankshaft manufacturing process

    Science.gov (United States)

    Sharma, G. V. S. S.; Rao, P. Srinivasa

    2014-05-01

    The define-measure-analyze-improve-control (DMAIC) approach is a five-strata approach, namely DMAIC. This approach is the scientific approach for reducing the deviations and improving the capability levels of the manufacturing processes. The present work elaborates on DMAIC approach applied in reducing the process variations of the stub-end-hole boring operation of the manufacture of crankshaft. This statistical process control study starts with selection of the critical-to-quality (CTQ) characteristic in the define stratum. The next stratum constitutes the collection of dimensional measurement data of the CTQ characteristic identified. This is followed by the analysis and improvement strata where the various quality control tools like Ishikawa diagram, physical mechanism analysis, failure modes effects analysis and analysis of variance are applied. Finally, the process monitoring charts are deployed at the workplace for regular monitoring and control of the concerned CTQ characteristic. By adopting DMAIC approach, standard deviation is reduced from 0.003 to 0.002. The process potential capability index ( C P) values improved from 1.29 to 2.02 and the process performance capability index ( C PK) values improved from 0.32 to 1.45, respectively.

  9. QUALITY MANAGEMENT TOOLS APPLYING IN THE STRATEGY OF LOGISTICS SERVICES QUALITY IMPROVEMENT

    Directory of Open Access Journals (Sweden)

    Agnieszka Czajkowska

    2015-11-01

    Full Text Available Combination of factors such as: properly organized logistics process, lack of nonconformities, transport damages avoiding and transport in accordance Just In Time idea significantly reduces costs and streamlines the entire production process. This paper proposes the quality management tool for the logistics services assessment based on the results obtained in the selected company operating in Eastern Europe. Customers’ expectations and perceptions were compared using the SERVQUAL method that concerns the service quality assessment in five areas such as: materiality, reliability, promptness, competency and empathy. The research method SERVQUAL allows assessing the service quality level and identifying company areas that requires corrective actions within the improvement process.

  10. Accreditation and improvement in process quality: A nationwide study

    DEFF Research Database (Denmark)

    Falstie-Jensen, Anne Mette; Johnsen, Søren Paaske; Bie Bogh, Søren

    Objectives: To examine the development in process quality related to stroke, heart failure and ulcer (bleeding and perforated) between accredited and non-accredited hospitals. Method: All Danish hospitals which treated patients with stroke or heart failure during 2004-2008 or treated patients......-level processes of care data was obtained from national population-based registries. The accredited and non-accredited hospitals were compared using 20 processes of care indicators reflecting hospital compliance with national clinical guidelines. The 20 indicators included seven indicators for stroke, seven...... indicators for heart failure, three indicators for bleeding ulcer and three indicators for perforated ulcer. The primary outcome was the composite fulfilment of process indicators. The secondary outcome was all-or-none, defined as the proportion of patients receiving 100 % of the recommended processes...

  11. [Audit and feedback, and continuous quality improvement strategies to improve the quality of care for type 2 diabetes: a systematic review of literature].

    Science.gov (United States)

    Vecchi, Simona; Agabiti, Nera; Mitrova, Susanna; Cacciani, Laura; Amato, Laura; Davoli, Marina; Bargagli, Anna Maria

    2016-01-01

    we analysed evidence on effective interventions to improve the quality of care and management in patients with diabetes type 2. This review focuses particularly on audit and feedback intervention, targeted to healthcare providers, and continuous quality improvement (CQI) involving health professionals and health care systems, respectively. we searched The Cochrane Library, PubMed, and EMBASE (search period: January 2005-December 2015) to identify systematic reviews (SR) and randomized controlled trials (RCTs) considering patients' outcomes and process measures as quality indicators in diabetes care. Selection of studies and data extraction were carried out independently by two reviewers. Methodological quality of individual studies was assessed using the checklist «Assessment of methodological quality of systematic review» (AMSTAR) and the Cochrane's tool, respectively. We produced summaries of results for each study design. the search process resulted in 810 citations. One SR and 7 RCTs that compared any intervention in which audit and feedback and CQI was a component vs. other interventions were selected. The SR found that audit and feedback activity was associated with improvements of glycaemic (mean difference: 0.26; 95%CI 0.08;0.44) and cholesterol control (mean difference: 0.03; 95%CI -0.04;0.10). CQI interventions were not associated with an improvement of quality of diabetes care. The RCTs considered in this review compared a broad range of interventions including feedback as unique activity or as part of more complex strategies. The methodological quality was generally poor in all the included trials. the available evidence suggests that audit and feedback and CQI improve quality of care in diabetic patients, although the effect is small and heterogeneous among process and outcomes indicators.

  12. Industrial yogurt manufacture: monitoring of fermentation process and improvement of final product quality.

    Science.gov (United States)

    Soukoulis, C; Panagiotidis, P; Koureli, R; Tzia, C

    2007-06-01

    Lactic acid fermentation during the production of skim milk and whole fat set-style yogurt was continuously monitored by measuring pH. The modified Gompertz model was successfully applied to describe the pH decline and viscosity development during the fermentation process. The viscosity and incubation time data were also fitted to linear models against ln(pH). The investigation of the yogurt quality improvement practices included 2 different heat treatments (80 degrees C for 30 min and 95 degrees C for 10 min), 3 milk protein fortifying agents (skim milk powder, whey powder, and milk protein concentrate) added at 2.0%, and 4 hydrocolloids (kappa-carrageenan, xanthan, guar gum, and pectin) added at 0.01% to whole fat and skim yogurts. Heat treatment significantly affected viscosity and acetaldehyde development without influencing incubation time and acidity. The addition of whey powder shortened the incubation time but had a detrimental effect on consistency, firmness, and overall acceptance of yogurts. On the other hand, addition of skim milk powder improved the textural quality and decreased the vulnerability of yogurts to syneresis. Anionic stabilizers (kappa-carrageenan and pectin) had a poor effect on the texture and palatability of yogurts. However, neutral gums (xanthan and guar gum) improved texture and prevented the wheying-off defect. Skim milk yogurts exhibited longer incubation times and higher viscosities, whereas they were rated higher during sensory evaluation than whole fat yogurts.

  13. Application of risk analysis and quality control methods for improvement of lead molding process

    Directory of Open Access Journals (Sweden)

    H. Gołaś

    2016-10-01

    Full Text Available The aim of the paper is to highlight the significance of implication of risk analysis and quality control methods for the improvement of parameters of lead molding process. For this reason, Fault Mode and Effect Analysis (FMEA was developed in the conceptual stage of a new product TC-G100-NR. However, the final product was faulty (a complete lack of adhesion of brass insert to leak regardless of the previously defined potential problem and its preventive action. It contributed to the recognition of root causes, corrective actions and change of production parameters. It showed how these methods, level of their organization, systematic and rigorous study affect molding process parameters.

  14. Continuous quality improvement program for hip and knee replacement.

    Science.gov (United States)

    Marshall, Deborah A; Christiansen, Tanya; Smith, Christopher; Squire Howden, Jane; Werle, Jason; Faris, Peter; Frank, Cy

    2015-01-01

    Improving quality of care and maximizing efficiency are priorities in hip and knee replacement, where surgical demand and costs increase as the population ages. The authors describe the integrated structure and processes from the Continuous Quality Improvement (CQI) Program for Hip and Knee Replacement Surgical Care and summarize lessons learned from implementation. The Triple Aim framework and 6 dimensions of quality care are overarching constructs of the CQI program. A validated, evidence-based clinical pathway that measures quality across the continuum of care was adopted. Working collaboratively, multidisciplinary experts embedded the CQI program into everyday practices in clinics across Alberta. Currently, 83% of surgeons participate in the CQI program, representing 95% of the total volume of hip and knee surgeries. Biannual reports provide feedback to improve care processes, infrastructure planning, and patient outcomes. CQI programs evaluating health care services inform choices to optimize care and improve efficiencies through continuous knowledge translation. © The Author(s) 2014.

  15. A variation reduction allocation model for quality improvement to minimize investment and quality costs by considering suppliers’ learning curve

    Science.gov (United States)

    Rosyidi, C. N.; Jauhari, WA; Suhardi, B.; Hamada, K.

    2016-02-01

    Quality improvement must be performed in a company to maintain its product competitiveness in the market. The goal of such improvement is to increase the customer satisfaction and the profitability of the company. In current practice, a company needs several suppliers to provide the components in assembly process of a final product. Hence quality improvement of the final product must involve the suppliers. In this paper, an optimization model to allocate the variance reduction is developed. Variation reduction is an important term in quality improvement for both manufacturer and suppliers. To improve suppliers’ components quality, the manufacturer must invest an amount of their financial resources in learning process of the suppliers. The objective function of the model is to minimize the total cost consists of investment cost, and quality costs for both internal and external quality costs. The Learning curve will determine how the employee of the suppliers will respond to the learning processes in reducing the variance of the component.

  16. Application of Strategic Management Principles as Tool to Improve Quality of Construction Technological Process

    Science.gov (United States)

    Kluchnikova, O.; Pobegaylov, O.

    2017-11-01

    The article focuses on the basic theory and practical aspects of the strategic management improving in terms of enhancing the quality of a technological process: these aspects have been proven experimentally by their introduction in company operations. The authors have worked out some proposals aimed at the selection of an optimal supplier for building companies as well as the algorithm for the analysis and optimization of a construction company basing on scientific and practical research as well as on the experimental data obtained in the experiment.

  17. Performance Results of CMMI-Based Process Improvement

    National Research Council Canada - National Science Library

    Gibson, Diane L; Goldenson, Dennis R; Kost, Keith

    2006-01-01

    .... There now is evidence that process improvement using the CMMI Product Suite can result in improvements in schedule and cost performance, product quality, return on investment and other measures of performance outcome...

  18. Quality Improvement of Liver Ultrasound Images Using Fuzzy Techniques.

    Science.gov (United States)

    Bayani, Azadeh; Langarizadeh, Mostafa; Radmard, Amir Reza; Nejad, Ahmadreza Farzaneh

    2016-12-01

    Liver ultrasound images are so common and are applied so often to diagnose diffuse liver diseases like fatty liver. However, the low quality of such images makes it difficult to analyze them and diagnose diseases. The purpose of this study, therefore, is to improve the contrast and quality of liver ultrasound images. In this study, a number of image contrast enhancement algorithms which are based on fuzzy logic were applied to liver ultrasound images - in which the view of kidney is observable - using Matlab2013b to improve the image contrast and quality which has a fuzzy definition; just like image contrast improvement algorithms using a fuzzy intensification operator, contrast improvement algorithms applying fuzzy image histogram hyperbolization, and contrast improvement algorithms by fuzzy IF-THEN rules. With the measurement of Mean Squared Error and Peak Signal to Noise Ratio obtained from different images, fuzzy methods provided better results, and their implementation - compared with histogram equalization method - led both to the improvement of contrast and visual quality of images and to the improvement of liver segmentation algorithms results in images. Comparison of the four algorithms revealed the power of fuzzy logic in improving image contrast compared with traditional image processing algorithms. Moreover, contrast improvement algorithm based on a fuzzy intensification operator was selected as the strongest algorithm considering the measured indicators. This method can also be used in future studies on other ultrasound images for quality improvement and other image processing and analysis applications.

  19. The continuous improvement process and ergonomics in ultrasound department.

    Science.gov (United States)

    Coffin, Carolyn T

    2013-01-01

    Continuous improvement processes, such as Lean, Six Sigma and Quality Control Circles, have been implemented in the manufacturing industries in an effort to increase productivity, eliminate waste, and engage employees in problem solving. These processes can be adapted to the healthcare sector as medical facilities strive to improve the patient experience, increase financial returns, and improve worker safety and morale. In the ultrasound department, productivity can be improved and the quality of patient care can be ensured by standardizing exam protocols and decreasing work related musculoskeletal disorders among sonography professionals. This article summarizes the more commonly used continuous improvement processes and provides a description of how one method might be applied to the ultrasound department.

  20. Quantity-quality measuring method possibilities in improving operator's learning quality

    International Nuclear Information System (INIS)

    Zvonarev, V.P.

    1984-01-01

    Possibilities of obtainnjng qualitative-quantitative estimations of different aspects of learning process and their application in determination of learning purposes, substantiation of the training program choice of types and forms of studies directed at quality improvement of operator learning are considered

  1. Quality Improvement Practices and Trends

    DEFF Research Database (Denmark)

    Dahlgaard, Jens J.; Hartz, Ove; Edgeman, Rick L.

    1998-01-01

    professor, as well as key individuals from various industries. In addition to the above activities, Rick will be working with the European Foundation for Quality Management on their "European Master's Programme in Total Quality Management." That program involves a consortium of European universities. Rick......The following article, "Quality Improvement Practices and Trends in Denmark," is the first in a series of papers arranged for and co-authored by Dr. Rick L. Edgeman. Rick is a member of QE's Editorial Board and is on sabbatical from Colorado State University. During the year, Rick and his family...... has begun the process of developing a comparable consortium of American universities for the same purpose-- an activity which is cosponsored by the Education Division of the American Society for Quality (ASQ)....

  2. Developing Process Maps as a Tool for a Surgical Infection Prevention Quality Improvement Initiative in Resource-Constrained Settings.

    Science.gov (United States)

    Forrester, Jared A; Koritsanszky, Luca A; Amenu, Demisew; Haynes, Alex B; Berry, William R; Alemu, Seifu; Jiru, Fekadu; Weiser, Thomas G

    2018-06-01

    Surgical infections cause substantial morbidity and mortality in low-and middle-income countries (LMICs). To improve adherence to critical perioperative infection prevention standards, we developed Clean Cut, a checklist-based quality improvement program to improve compliance with best practices. We hypothesized that process mapping infection prevention activities can help clinicians identify strategies for improving surgical safety. We introduced Clean Cut at a tertiary hospital in Ethiopia. Infection prevention standards included skin antisepsis, ensuring a sterile field, instrument decontamination/sterilization, prophylactic antibiotic administration, routine swab/gauze counting, and use of a surgical safety checklist. Processes were mapped by a visiting surgical fellow and local operating theater staff to facilitate the development of contextually relevant solutions; processes were reassessed for improvements. Process mapping helped identify barriers to using alcohol-based hand solution due to skin irritation, inconsistent administration of prophylactic antibiotics due to variable delivery outside of the operating theater, inefficiencies in assuring sterility of surgical instruments through lack of confirmatory measures, and occurrences of retained surgical items through inappropriate guidelines, staffing, and training in proper routine gauze counting. Compliance with most processes improved significantly following organizational changes to align tasks with specific process goals. Enumerating the steps involved in surgical infection prevention using a process mapping technique helped identify opportunities for improving adherence and plotting contextually relevant solutions, resulting in superior compliance with antiseptic standards. Simplifying these process maps into an adaptable tool could be a powerful strategy for improving safe surgery delivery in LMICs. Copyright © 2018 American College of Surgeons. Published by Elsevier Inc. All rights reserved.

  3. Improving quality of care among COPD outpatients in Denmark 2008-2011

    DEFF Research Database (Denmark)

    Tøttenborg, Sandra Søgaard; Lange, P.; Thomsen, R.W.

    2013-01-01

    OBJECTIVE: To examine whether the quality of care among Danish patients with chronic obstructive pulmonary disease (COPD) has improved since the initiation of a national multidisciplinary quality improvement program. METHODS: We conducted a nationwide, population-based prospective cohort study...... a substantial improvement in the quality of care of COPD in Danish hospitals following the initiation of a national multidisciplinary quality improvement program in 2008. In the forthcoming years, it will be interesting to observe if this will translate into a better prognosis of Danish patients with COPD....... using data from the Danish Clinical Register of COPD (DrCOPD). Since 2008 the register has systematically monitored and audited the use of recommended processes of COPD care. RESULTS: Substantial improvements were observed for all processes of care and registration fulfillment increased to well above 85...

  4. Implementation of a Quality Improvement Process Aimed to Deliver Higher-Value Physical Therapy for Patients With Low Back Pain: Case Report.

    Science.gov (United States)

    Karlen, Emily; McCathie, Becky

    2015-12-01

    The current state of health care demands higher-value care. Due to many barriers, clinicians routinely do not implement evidence-based care even though it is known to improve quality and reduce cost of care. The purpose of this case report is to describe a theory-based, multitactic implementation of a quality improvement process aimed to deliver higher-value physical therapy for patients with low back pain. Patients were treated from January 2010 through December 2014 in 1 of 32 outpatient physical therapy clinics within an academic health care system. Data were examined from 47,755 patients (mean age=50.3 years) entering outpatient physical therapy for management of nonspecific low back pain, with or without radicular pain. Development and implementation tactics were constructed from adult learning and change management theory to enhance adherence to best practice care among 130 physical therapists. A quality improvement team implemented 4 tactics: establish care delivery expectations, facilitate peer-led clinical and operational teams, foster a learning environment focused on meeting a population's needs, and continuously collect and analyze outcomes data. Physical therapy utilization and change in functional disability were measured to assess relative cost and quality of care. Secondarily, charge data assessed change in physical therapists' application of evidence-based care. Implementation of a quality improvement process was measured by year-over-year improved clinical outcomes, decreased utilization, and increased adherence to evidence-based physical therapy, which was associated with higher-value care. When adult learning and change management theory are combined in quality improvement efforts, common barriers to implementing evidence-based care can be overcome, creating an environment supportive of delivering higher-value physical therapy for patients with low back pain. © 2015 American Physical Therapy Association.

  5. How to Sustain Change and Support Continuous Quality Improvement.

    Science.gov (United States)

    Silver, Samuel A; McQuillan, Rory; Harel, Ziv; Weizman, Adam V; Thomas, Alison; Nesrallah, Gihad; Bell, Chaim M; Chan, Christopher T; Chertow, Glenn M

    2016-05-06

    To achieve sustainable change, quality improvement initiatives must become the new way of working rather than something added on to routine clinical care. However, most organizational change is not maintained. In this next article in this Moving Points in Nephrology feature on quality improvement, we provide health care professionals with strategies to sustain and support quality improvement. Threats to sustainability may be identified both at the beginning of a project and when it is ready for implementation. The National Health Service Sustainability Model is reviewed as one example to help identify issues that affect long-term success of quality improvement projects. Tools to help sustain improvement include process control boards, performance boards, standard work, and improvement huddles. Process control and performance boards are methods to communicate improvement results to staff and leadership. Standard work is a written or visual outline of current best practices for a task and provides a framework to ensure that changes that have improved patient care are consistently and reliably applied to every patient encounter. Improvement huddles are short, regular meetings among staff to anticipate problems, review performance, and support a culture of improvement. Many of these tools rely on principles of visual management, which are systems transparent and simple so that every staff member can rapidly distinguish normal from abnormal working conditions. Even when quality improvement methods are properly applied, the success of a project still depends on contextual factors. Context refers to aspects of the local setting in which the project operates. Context affects resources, leadership support, data infrastructure, team motivation, and team performance. For these reasons, the same project may thrive in a supportive context and fail in a different context. To demonstrate the practical applications of these quality improvement principles, these principles are

  6. Developing lettuce with improved quality for processed salads.

    Science.gov (United States)

    Lettuce is increasingly consumed as minimally processed salads. Cultivars grown for this market may require breeding for improved shelf-life and resistance to physiological defects such as tipburn (TB). Tipburn is a calcium deficiency related defect causing necrosis on the leaf margins, typically on...

  7. Improvement of quality management in the processes of tobacco production

    OpenAIRE

    Miceski, Trajko

    2004-01-01

    Quality management, now more than ever, occupies an important place in tobacco production. It presents, above all, a continuous process aimed to satisfy the requirements of both the persons employed in tobacco industry and the layers.

  8. The continuous improvement in quality management for nuclear fuel manufacturing in CNNC

    International Nuclear Information System (INIS)

    Wang Xiaoling

    2004-01-01

    The nuclear fuel plant has been keeping good records in quality. Only one pot failure has been found in the fuels loaded in the reactors at more than ten years. The paper describes quality management in nuclear fuel manufacturing and focuses on the continuous improvement on quality system and process by running the quality management system, process by quality control group, and data analyze, the requirements and the improvements for the quality system, the measurement system and the standardization system, the importance of clearance system. It also describes the future plans in quality management

  9. A quality improvement project using statistical process control methods for type 2 diabetes control in a resource-limited setting.

    Science.gov (United States)

    Flood, David; Douglas, Kate; Goldberg, Vera; Martinez, Boris; Garcia, Pablo; Arbour, MaryCatherine; Rohloff, Peter

    2017-08-01

    Quality improvement (QI) is a key strategy for improving diabetes care in low- and middle-income countries (LMICs). This study reports on a diabetes QI project in rural Guatemala whose primary aim was to improve glycemic control of a panel of adult diabetes patients. Formative research suggested multiple areas for programmatic improvement in ambulatory diabetes care. This project utilized the Model for Improvement and Agile Global Health, our organization's complementary healthcare implementation framework. A bundle of improvement activities were implemented at the home, clinic and institutional level. Control charts of mean hemoglobin A1C (HbA1C) and proportion of patients meeting target HbA1C showed improvement as special cause variation was identified 3 months after the intervention began. Control charts for secondary process measures offered insights into the value of different components of the intervention. Intensity of home-based diabetes education emerged as an important driver of panel glycemic control. Diabetes QI work is feasible in resource-limited settings in LMICs and can improve glycemic control. Statistical process control charts are a promising methodology for use with panels or registries of diabetes patients. © The Author 2017. Published by Oxford University Press in association with the International Society for Quality in Health Care. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com

  10. [Quality assurance and quality improvement in medical practice. Part 3: Clinical audit in medical practice].

    Science.gov (United States)

    Godény, Sándor

    2012-02-05

    The first two articles in the series were about the definition of quality in healthcare, the quality approach, the importance of quality assurance, the advantages of quality management systems and the basic concepts and necessity of evidence based medicine. In the third article the importance and basic steps of clinical audit are summarised. Clinical audit is an integral part of quality assurance and quality improvement in healthcare, that is the responsibility of any practitioner involved in medical practice. Clinical audit principally measures the clinical practice against clinical guidelines, protocols and other professional standards, and sometimes induces changes to ensure that all patients receive care according to principles of the best practice. The clinical audit can be defined also as a quality improvement process that seeks to identify areas for service improvement, develop and carry out plans and actions to improve medical activity and then by re-audit to ensure that these changes have an effect. Therefore, its aims are both to stimulate quality improvement interventions and to assess their impact in order to develop clinical effectiveness. At the end of the article key points of quality assurance and improvement in medical practice are summarised.

  11. Product focused process improvement in the embedded systems industry

    NARCIS (Netherlands)

    Solingen, van D.M.; Derks, P.; Hirvensalo, J.; Kusters, R.J.; Cowderoy, A.; Heemstra, F.J.; Veenendaal, E.P.W.M.

    1999-01-01

    Software specific problems have been handled in the software community through focusing on the software process, and continuous improvement of that software process. However, the contribution of software process improvement (SPI) to product quality has not been proven yet. The PROFES project

  12. Needs and Opportunities to Improve the Operational Quality of Forwarding Companies

    Directory of Open Access Journals (Sweden)

    Dovilė Kontautaitė

    2013-04-01

    Full Text Available It is essential for forwarding companies to improve their activity by improving their internal and external processes in order to provide for a client an estimated basic and added value and effectively manage the flow of information in the general logistic supply chain. The article analyses the concepts of quality management and activity process, emphasising the main process of a forwarding enterprise and the demand to optimise the activity establishing quality management systems. The scientific literature analysis assists in the provision of quality assessment indicators of forwarding companies and ascertaining quality management systems that are suitable for transport companies.Article in Lithuanian

  13. Bioethanol Quality Improvement of Coffee Fruit Leather

    Directory of Open Access Journals (Sweden)

    Edahwati Luluk

    2016-01-01

    Full Text Available Recently, Indonesia’s dependence on petroleum is to be reduced and even eliminated. To overcome the problem of finding the needed alternative materials that can produce ethanol, in this case as a substitute material or a transport fuel mix, boosting the octane number, and gasoline ethanol (gasohol can be conducted. In the red coffee processing (cooking that will produce 65% and 35% of coffee beans, coffee leather waste is a source of organic material with fairly high cellulose content of 46.82%, 3.01% of pectin and 7.68% of lignin. In this case, its existence is abundant in Indonesia and optimally utilized. During the coffee fruit peeling, the peel waste is only used as a mixture of animal feed or simply left to rot. The purpose of this study was to produce and improve the quality of the fruit skin of bioethanol from coffee cellulose. However, to improve the quality of bioethanol, the production of the lignin content in the skin of the coffee fruit should be eliminated or reduced. Hydrolysis process using organosolve method is expected to improve the quality of bioethanol produced. In particular, the use of enzyme Saccharomyces and Zymmomonas will change the resulting sugar into bioethanol. On one hand, by using batch distillation process for 8 hours with Saccharomyces, bioethanol obtains high purity which is 39.79%; on the other hand, by using the same batch distillation process with Zymmomonas, the bioethanol obtains 38.78%.

  14. Quality measurement and improvement in liver transplantation.

    Science.gov (United States)

    Mathur, Amit K; Talwalkar, Jayant

    2018-06-01

    There is growing interest in the quality of health care delivery in liver transplantation. Multiple stakeholders, including patients, transplant providers and their hospitals, payers, and regulatory bodies have an interest in measuring and monitoring quality in the liver transplant process, and understanding differences in quality across centres. This article aims to provide an overview of quality measurement and regulatory issues in liver transplantation performed within the United States. We review how broader definitions of health care quality should be applied to liver transplant care models. We outline the status quo including the current regulatory agencies, public reporting mechanisms, and requirements around quality assurance and performance improvement (QAPI) activities. Additionally, we further discuss unintended consequences and opportunities for growth in quality measurement. Quality measurement and the integration of quality improvement strategies into liver transplant programmes hold significant promise, but multiple challenges to successful implementation must be addressed to optimise value. Copyright © 2018 European Association for the Study of the Liver. Published by Elsevier B.V. All rights reserved.

  15. Quality Improvement in Anesthesiology - Leveraging Data and Analytics to Optimize Outcomes.

    Science.gov (United States)

    Valentine, Elizabeth A; Falk, Scott A

    2018-03-01

    Quality improvement is at the heart of practice of anesthesiology. Objective data are critical for any quality improvement initiative; when possible, a combination of process, outcome, and balancing metrics should be evaluated to gauge the value of an intervention. Quality improvement is an ongoing process; iterative reevaluation of data is required to maintain interventions, ensure continued effectiveness, and continually improve. Dashboards can facilitate rapid analysis of data and drive decision making. Large data sets can be useful to establish benchmarks and compare performance against other providers, practices, or institutions. Audit and feedback strategies are effective in facilitating positive change. Copyright © 2017 Elsevier Inc. All rights reserved.

  16. Implementing a Systematic Process for Consistent Nursing Care in a NICU: A Quality Improvement Project.

    Science.gov (United States)

    McCarley, Renay Marie; Dowling, Donna A; Dolansky, Mary A; Bieda, Amy

    2018-03-01

    The global aim of this quality improvement project was to develop and implement a systematic process to assign and maintain consistent bedside nurses for infants and families. A systematic process based on a primary care nursing model was implemented to assign consistent care for a 48-bed, single-family room NICU. Four PDSA cycles were necessary to obtain agreement from the nursing staff as to the best process for assigning primary nurses. Post-intervention data revealed a 9.5 percent decrease of consistent caregivers for infants in the NICU ≤ 28 days and a 2.3 percent increase of consistent caregivers for infants in the NICU ≥ 29 days. Although these findings did not meet the goal of the specific aim, a systematic process was created to assign bedside nurses to infants. Further PDSAs will be needed to refine the process to reach the aim.

  17. Bringing quality improvement into the intensive care unit.

    Science.gov (United States)

    McMillan, Tracy R; Hyzy, Robert C

    2007-02-01

    During the last several years, many governmental and nongovernmental organizations have championed the application of the principles of quality improvement to the practice of medicine, particularly in the area of critical care. To review the breadth of approaches to quality improvement in the intensive care unit, including measures such as mortality and length of stay, and the use of protocols, bundles, and the role of large, multiple-hospital collaboratives. Several agencies have participated in the application of the quality movement to medicine, culminating in the development of standards such as the intensive care unit core measures of the Joint Commission on Accreditation of Healthcare Organizations. Although "zero defects" may not be possible in all measurable variables of quality in the intensive care unit, several measures, such as catheter-related bloodstream infections, can be significantly reduced through the implementation of improved processes of care, such as care bundles. Large, multiple-center, quality improvement collaboratives, such as the Michigan Keystone Intensive Care Unit Project, may be particularly effective in improving the quality of care by creating a "bandwagon effect" within a geographic region. The quality revolution is having a significant effect in the critical care unit and is likely to be facilitated by the transition to the electronic medical record.

  18. Achieving performance breakthroughs in an HMO business process through quality planning.

    Science.gov (United States)

    Hanan, K B

    1993-01-01

    Kaiser Permanente's Georgia Region commissioned a quality planning team to design a new process to improve payments to its suppliers and vendors. The result of the team's effort was a 73 percent reduction in cycle time. This team's experiences point to the advantages of process redesign as a quality planning model, as well as some general guidelines for its most effective use in teams. If quality planning project teams are carefully configured, sufficiently expert in the existing process, and properly supported by management, organizations can achieve potentially dramatic improvements in process performance using this approach.

  19. Using Deming To Improve Quality in Colleges and Universities.

    Science.gov (United States)

    Cornesky, Robert A.; And Others

    Of all the people known for stressing quality in industry, W. Edwards Deming is the pioneer. He stresses statistical process control (SPC) and a 14-point process for managers to improve quality and productivity. His approach is humanistic and treats people as intelligent human beings who want to do a good job. Twelve administrators in a university…

  20. A cluster-randomised quality improvement study to improve two inpatient stroke quality indicators.

    Science.gov (United States)

    Williams, Linda; Daggett, Virginia; Slaven, James E; Yu, Zhangsheng; Sager, Danielle; Myers, Jennifer; Plue, Laurie; Woodward-Hagg, Heather; Damush, Teresa M

    2016-04-01

    Quality indicator collection and feedback improves stroke care. We sought to determine whether quality improvement training plus indicator feedback was more effective than indicator feedback alone in improving inpatient stroke indicators. We conducted a cluster-randomised quality improvement trial, randomising hospitals to quality improvement training plus indicator feedback versus indicator feedback alone to improve deep vein thrombosis (DVT) prophylaxis and dysphagia screening. Intervention sites received collaborative-based quality improvement training, external facilitation and indicator feedback. Control sites received only indicator feedback. We compared indicators pre-implementation (pre-I) to active implementation (active-I) and post-implementation (post-I) periods. We constructed mixed-effect logistic models of the two indicators with a random intercept for hospital effect, adjusting for patient, time, intervention and hospital variables. Patients at intervention sites (1147 admissions), had similar race, gender and National Institutes of Health Stroke Scale scores to control sites (1017 admissions). DVT prophylaxis improved more in intervention sites during active-I period (ratio of ORs 4.90, pimproved similarly in both groups during active-I, but control sites improved more in post-I period (ratio of ORs 0.67, p=0.04). In logistic models, the intervention was independently positively associated with DVT performance during active-I period, and negatively associated with dysphagia performance post-I period. Quality improvement training was associated with early DVT improvement, but the effect was not sustained over time and was not seen with dysphagia screening. External quality improvement programmes may quickly boost performance but their effect may vary by indicator and may not sustain over time. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/

  1. Continuous quality improvement in the ambulatory endoscopy center.

    Science.gov (United States)

    Johanson, John F

    2002-04-01

    What does quality assessment have to do with the practicing gastroenterologist? Why should one spend the time and effort to incorporate CQI activities into an already busy practice? First and foremost, quality improvement should directly benefit the patient by ensuring that they receive the highest quality of care possible. For example, comparing endoscopic use or outcomes, such as procedure success or complications, with national standards or other endoscopists in the same community may identify physicians who could benefit from additional training. Similar analyses may likewise identify outstanding physicians who might serve as resources for other physicians. Surveys of patient satisfaction may reveal deficiencies, which might be unknown to a physician who is otherwise technically excellent; deficiencies that would never have been uncovered by traditional measures of quality. Second, applying the techniques of CQI to study one's own practice can provide a competitive edge when vying for managed care or corporate contracts. In this regard, CQI can be used to document physician or practice performance through tracking of endoscopic use, procedure success and complication rates, and patient satisfaction. Finally, the rising concern among various patient advocacy groups has led to an increased emphasis on quality improvement, and in most cases it is a required activity as part of the accreditation process. Steps to quality improvement There is more to quality improvement than simply selecting and implementing a performance improvement plan. A number of steps have been suggested to achieve fundamental improvement in the quality of medical care [3]. The first is to use outcomes management for improvement rather than for judgment. One of the major criticisms of QA is that it will be used to judge physicians providing care. It is feared that CQI will be used to identify poor performers who will then be punished. This strategy leads to fear and inhibits an honest pursuit

  2. Assessing the impact of continuous quality improvement/total quality management: concept versus implementation.

    Science.gov (United States)

    Shortell, S M; O'Brien, J L; Carman, J M; Foster, R W; Hughes, E F; Boerstler, H; O'Connor, E J

    1995-01-01

    OBJECTIVE: This study examines the relationships among organizational culture, quality improvement processes and selected outcomes for a sample of up to 61 U. S. hospitals. DATA SOURCES AND STUDY SETTING: Primary data were collected from 61 U. S. hospitals (located primarily in the midwest and the west) on measures related to continuous quality improvement/total quality management (CQI/TQM), organizational culture, implementation approaches, and degree of quality improvement implementation based on the Baldrige Award criteria. These data were combined with independently collected data on perceived impact and objective measures of clinical efficiency (i.e., charges and length of stay) for six clinical conditions. STUDY DESIGN: The study involved cross-sectional examination of the named relationships. DATA COLLECTION/EXTRACTION METHODS: Reliable and valid scales for the organizational culture and quality improvement implementation measures were developed based on responses from over 7,000 individuals across the 61 hospitals with an overall completion rate of 72 percent. Independent data on perceived impact were collected from a national survey and independent data on clinical efficiency from a companion study of managed care. PRINCIPAL FINDINGS: A participative, flexible, risk-taking organizational culture was significantly related to quality improvement implementation. Quality improvement implementation, in turn, was positively associated with greater perceived patient outcomes and human resource development. Larger-size hospitals experienced lower clinical efficiency with regard to higher charges and higher length of stay, due in part to having more bureaucratic and hierarchical cultures that serve as a barrier to quality improvement implementation. CONCLUSIONS: What really matters is whether or not a hospital has a culture that supports quality improvement work and an approach that encourages flexible implementation. Larger-size hospitals face more difficult

  3. A Mixed-Methods Research Framework for Healthcare Process Improvement.

    Science.gov (United States)

    Bastian, Nathaniel D; Munoz, David; Ventura, Marta

    2016-01-01

    The healthcare system in the United States is spiraling out of control due to ever-increasing costs without significant improvements in quality, access to care, satisfaction, and efficiency. Efficient workflow is paramount to improving healthcare value while maintaining the utmost standards of patient care and provider satisfaction in high stress environments. This article provides healthcare managers and quality engineers with a practical healthcare process improvement framework to assess, measure and improve clinical workflow processes. The proposed mixed-methods research framework integrates qualitative and quantitative tools to foster the improvement of processes and workflow in a systematic way. The framework consists of three distinct phases: 1) stakeholder analysis, 2a) survey design, 2b) time-motion study, and 3) process improvement. The proposed framework is applied to the pediatric intensive care unit of the Penn State Hershey Children's Hospital. The implementation of this methodology led to identification and categorization of different workflow tasks and activities into both value-added and non-value added in an effort to provide more valuable and higher quality patient care. Based upon the lessons learned from the case study, the three-phase methodology provides a better, broader, leaner, and holistic assessment of clinical workflow. The proposed framework can be implemented in various healthcare settings to support continuous improvement efforts in which complexity is a daily element that impacts workflow. We proffer a general methodology for process improvement in a healthcare setting, providing decision makers and stakeholders with a useful framework to help their organizations improve efficiency. Published by Elsevier Inc.

  4. Factors affecting implementation of accreditation programmes and the impact of the accreditation process on quality improvement in hospitals: a SWOT analysis.

    Science.gov (United States)

    Ng, G K B; Leung, G K K; Johnston, J M; Cowling, B J

    2013-10-01

    The objectives of this review were to identify factors that influence implementation of hospital accreditation programmes and to assess the impact of the accreditation process on quality improvement in public hospitals. Two electronic databases, Medline (OvidSP) and PubMed, were systematically searched. "Public hospital", "hospital accreditation", and "quality improvement" were used as the search terms. A total of 348 citations were initially identified. After critical appraisal and study selection, 26 articles were included in the review. The data were extracted and analysed using a SWOT (strengths, weaknesses, opportunities, threats) analysis. Increased staff engagement and communication, multidisciplinary team building, positive changes in organisational culture, and enhanced leadership and staff awareness of continuous quality improvement were identified as strengths. Weaknesses included organisational resistance to change, increased staff workload, lack of awareness about continuous quality improvement, insufficient staff training and support for continuous quality improvement, lack of applicable accreditation standards for local use, and lack of performance outcome measures. Opportunities included identification of improvement areas, enhanced patient safety, additional funding, public recognition, and market advantage. Threats included opportunistic behaviours, funding cuts, lack of incentives for participation, and a regulatory approach to mandatory participation. By relating the findings to the operational issues of accreditation, this review discussed the implications for successful implementation and how accreditation may drive quality improvement. These findings have implications for various stakeholders (government, the public, patients and health care providers), when it comes to embarking on accreditation exercises.

  5. Involving patients in care decisions improves satisfaction: an outcomes-based quality improvement project.

    Science.gov (United States)

    Leff, Ellen W

    2004-05-01

    A home care agency used quality improvement processes to improve patient satisfaction survey ratings. The focus was on involving patients in decisions about their care. A multidisciplinary team developed creative strategies to increase staff awareness and enhance customer service skills, which had dramatic results.

  6. Practice-level quality improvement interventions in primary care: a review of systematic reviews.

    Science.gov (United States)

    Irwin, Ryan; Stokes, Tim; Marshall, Tom

    2015-11-01

    To present an overview of effective interventions for quality improvement in primary care at the practice level utilising existing systematic reviews. Quality improvement in primary care involves a range of approaches from the system-level to patient-level improvement. One key setting in which quality improvement needs to occur is at the level of the basic unit of primary care--the individual general practice. Therefore, there is a need for practitioners to have access to an overview of the effectiveness of quality improvement interventions available in this setting. A tertiary evidence synthesis was conducted (a review of systematic reviews). A systematic approach was used to identify and summarise published literature relevant to understanding primary-care quality improvement at the practice level. Quality assessment was via the Critical Appraisal Skills Programme tool for systematic reviews, with data extraction identifying evidence of effect for the examined interventions. Included reviews had to be relevant to quality improvement at the practice level and relevant to the UK primary-care context. Reviews were excluded if describing system-level interventions. A range of measures across care structure, process and outcomes were defined and interpreted across the quality improvement interventions. Audit and feedback, computerised advice, point-of-care reminders, practice facilitation, educational outreach and processes for patient review and follow-up all demonstrated evidence of a quality improvement effect. Evidence of an improvement effect was higher where baseline performance was low and was particularly demonstrated across process measures and measures related to prescribing. Evidence was not sufficient to suggest that multifaceted approaches were more effective than single interventions. Evidence exists for a range of quality improvement interventions at the primary-care practice level. More research is required to determine the use and impact of quality

  7. Improving the Process of Student Evaluation

    Directory of Open Access Journals (Sweden)

    Gabriela Neacşu

    2013-12-01

    Full Text Available In this paper we analyzed the process of student evaluation from “Spiru Haret” University. The process under consideration occurs according to a specific Procedure – Process of student evaluation from the Manual of Quality Assurance Procedures, “Spiru Haret” University, Edition 1, 2012. The goal of this procedure, mentioned in the Manual, is to present the student evaluation procedure by using the Blackboard educational platform and other evaluation techniques of quality learning, based on materials developed by teachers of “Spiru Haret” University, as well as corresponding responsibilities, in order to increase the learning process quality and the exigency degree in the examination process, as well as students’ satisfaction measured by accumulated competences. We appreciate that the purpose of this procedure is first and foremost to ensure transparency and objectivity in exam passing decision. After identifying the weaknesses with the “cause - effect” chart, we have sought to improve student evaluation process using PDCA (Plan-Do-Check-Act method, resulting in the design of a new assessment flowchart.

  8. Quality Improvement of Ground Works Process with the Use of Chosen Lean Management Tools - Case Study

    Science.gov (United States)

    Nowotarski, Piotr; Paslawski, Jerzy; Wysocki, Bartosz

    2017-12-01

    Ground works are one of the first processes connected with erecting structures. Based on ground conditions like the type of soil or level of underground water different types and solutions for foundations are designed. Foundations are the base for the buildings, and their proper design and execution is the key for the long and faultless use of the whole construction and might influence on the future costs of the eventual repairs (especially when ground water level is high, and there is no proper water insulation made). Article presents the introduction of chosen Lean Management tools for quality improvement of the process of ground works based on the analysis made on the construction site of vehicle control station located in Poznan, Poland. Processes assessment is made from different perspectives taking into account that 3 main groups of workers were directly involved in the process: blue collar-workers, site manager and site engineers. What is more comparison is made on the 3 points of view to the problems that might occur during this type of works, with details analysis on the causes of such situation? Authors presents also the change of approach of workers directly involved in the mentioned processes regarding introduction of Lean Management methodology, which illustrates the problem of scepticism for new ideas of the people used to perform works and actions in traditional way. Using Lean Management philosophy in construction is a good idea to streamline processes in company, get rid of constantly recurring problems, and in this way improve the productivity and quality of executed activities. Performed analysis showed that different groups of people have very different idea and opinion on the problems connected with executing the same process - ground works and only having full picture of the situation (especially in construction processes) management can take proper problems-preventing actions that consequently can influence on the amount of waste generated on

  9. Implications of Continuous Quality Improvement for Program Evaluation and Evaluators.

    Science.gov (United States)

    Mark, Melvin M.; Pines, Edward

    1995-01-01

    Explores the implications that continuous quality improvement (CQI) programs are likely to have for evaluation. CQI, often known as total quality management, offers a structured approach to the analysis of an organization's processes and improvement that should provide advantages to evaluators once they have gained experience with the approach.…

  10. Quality Control in Production Processes

    Directory of Open Access Journals (Sweden)

    Prístavka Miroslav

    2016-09-01

    Full Text Available The tools for quality management are used for quality improvement throughout the whole Europe and developed countries. Simple statistics are considered one of the most basic methods. The goal was to apply the simple statistical methods to practice and to solve problems by using them. Selected methods are used for processing the list of internal discrepancies within the organization, and for identification of the root cause of the problem and its appropriate solution. Seven basic quality tools are simple graphical tools, but very effective in solving problems related to quality. They are called essential because they are suitable for people with at least basic knowledge in statistics; therefore, they can be used to solve the vast majority of problems.

  11. The ReACH Collaborative--improving quality home care.

    Science.gov (United States)

    Boyce, Patricia Simino; Pace, Karen B; Lauder, Bonnie; Solomon, Debra A

    2007-08-01

    Research on quality of care has shown that vigorous leadership, clear goals, and compatible incentive systems are critical factors in influencing successful change (Institute of Medicine, 2001). Quality improvement is a complex process, and clinical quality improvement applications are more likely to be effective in organizations that are ready for change and have strong leaders, who are committed to creating and reinforcing a work environment that supports quality goals (Shortell, 1998). Key leadership roles include providing clear and sustained direction, articulating a coherent set of values and incentives to guide group and individual activities, aligning and integrating improvement efforts into organizational priorities, obtaining or freeing up resources to implement improvement activities, and creating a culture of "continuous improvement" that encourages and rewards the pursuit and achievement of shared quality aims (Institute of Medicine, 2001, 70-71). In summary, home health care is a significant and growing sector of the health care system that provides care to millions of vulnerable patients. There seems little doubt that home health agencies want to focus on quality of care issues and provide optimal care to home-based patients. Furthermore, there is a growing awareness of the value for adapting innovative, effective models for improving the culture of home care practice. This awareness stems from the notion that some agencies see quality improvement activities as a way for them to distinguish themselves not only to regulators and customers, but also to meet the cultural and transformational needs to remain viable in a constantly evolving and competitive health care industry.

  12. Using adapted quality-improvement approaches to strengthen community-based health systems and improve care in high HIV-burden sub-Saharan African countries.

    Science.gov (United States)

    Horwood, Christiane M; Youngleson, Michele S; Moses, Edward; Stern, Amy F; Barker, Pierre M

    2015-07-01

    Achieving long-term retention in HIV care is an important challenge for HIV management and achieving elimination of mother-to-child transmission. Sustainable, affordable strategies are required to achieve this, including strengthening of community-based interventions. Deployment of community-based health workers (CHWs) can improve health outcomes but there is a need to identify systems to support and maintain high-quality performance. Quality-improvement strategies have been successfully implemented to improve quality and coverage of healthcare in facilities and could provide a framework to support community-based interventions. Four community-based quality-improvement projects from South Africa, Malawi and Mozambique are described. Community-based improvement teams linked to the facility-based health system participated in learning networks (modified Breakthrough Series), and used quality-improvement methods to improve process performance. Teams were guided by trained quality mentors who used local data to help nurses and CHWs identify gaps in service provision and test solutions. Learning network participants gathered at intervals to share progress and identify successful strategies for improvement. CHWs demonstrated understanding of quality-improvement concepts, tools and methods, and implemented quality-improvement projects successfully. Challenges of using quality-improvement approaches in community settings included adapting processes, particularly data reporting, to the education level and first language of community members. Quality-improvement techniques can be implemented by CHWs to improve outcomes in community settings but these approaches require adaptation and additional mentoring support to be successful. More research is required to establish the effectiveness of this approach on processes and outcomes of care.

  13. REVALUETION AND IMPROVEMENT OF NONWONEN BENEFACTION PROCESS ON THE CUSTOMER CLAIN

    Directory of Open Access Journals (Sweden)

    Luis Gustavo dos Santos Gomes

    2006-06-01

    Full Text Available This study searches to understand of the process involved in product elaboration and propose improvements to satisfy the customers. From the analysis of quality management system pointers, the main problems which need preventive/corrective actions were identified, among them, the high rate of complaints from customers who acquire benefited products. In this study, a stratification of primary causes complaints were carried out to, afterwards, identify ones which need to be attacked with priority. The quality managemental tools for improvement of benefited nonwoven process were applied. The execution action that attend some items of ISO 9001:2000 and PDCA cycle were used during the development of the work. Based on pointers as the claim of customers rate, non-conformity rate quality auditorship in the service suppliers, a significant improvement in benefited nonwoven process was verified. Keywords: claim of customers, PDCA, quality tools, non-conformity, improvement.

  14. Software quality: Process or people

    Science.gov (United States)

    Palmer, Regina; Labaugh, Modenna

    1993-01-01

    This paper will present data related to software development processes and personnel involvement from the perspective of software quality assurance. We examine eight years of data collected from six projects. Data collected varied by project but usually included defect and fault density with limited use of code metrics, schedule adherence, and budget growth information. The data are a blend of AFSCP 800-14 and suggested productivity measures in Software Metrics: A Practioner's Guide to Improved Product Development. A software quality assurance database tool, SQUID, was used to store and tabulate the data.

  15. The maturing of the quality improvement paradigm in the SEL

    Science.gov (United States)

    Basili, Victor R.

    1993-01-01

    The Software Engineering Laboratory uses a paradigm for improving the software process and product, called the quality improvement paradigm. This paradigm has evolved over the past 18 years, along with our software development processes and product. Since 1976, when we first began the SEL, we have learned a great deal about improving the software process and product, making a great many mistakes along the way. Quality improvement paradigm, as it is currently defined, can be broken up into six steps: characterize the current project and its environment with respect to the appropriate models and metrics; set the quantifiable goals for successful project performance and improvement; choose the appropriate process model and supporting methods and tools for this project; execute the processes, construct the products, and collect, validate, and analyze the data to provide real-time feedback for corrective action; analyze the data to evaluate the current practices, determine problems, record findings, and make recommendations for future project improvements; and package the experience gained in the form of updated and refined models and other forms of structured knowledge gained from this and prior projects and save it in an experience base to be reused on future projects.

  16. Catalytic quality improvement of waste polyolefin originated fractions

    Directory of Open Access Journals (Sweden)

    Tóth O.

    2018-03-01

    Full Text Available The demand for alternative fuels having low greenhouse gases emission is continuously growing worldwide. Therefore it is preferred to produce new, waste originated components. One option is the recycling of plastic waste with cracking. The produced hydrocarbon fraction is not suitable for fuels thus it is important to improve its quality. The aim of our experimental work was to study the quality improvement of this cracked fraction (PPCGO and crude oil based middle distillates (different composition with co-processing. Our goal was to produce high quality diesel fuel blending components. We studied the effect of process parameters on the quality of products. Ni (2.3% Mo (11.0% P (2.3%/Al2O3 catalyst was used. During the experiments we studied the hydrogenation of olefins, saturation of aromatics and desulphurization. The hydrogenation of olefins was practically complete at 300°C. It took place at significantly higher speed than the desulphurization reactions. In case of light gas oil feedstock the products had significantly lower sulphur contents; below 10 mg/kg already at 340°C. We determined that the cracked fraction had beneficial effect on the performance properties of the products. In case of all feedstock combinations, we found process parameters which can be used to produce high-quality diesel fuel blending components on the tested catalyst.

  17. Improving health care quality and safety: the role of collective learning.

    Science.gov (United States)

    Singer, Sara J; Benzer, Justin K; Hamdan, Sami U

    2015-01-01

    Despite decades of effort to improve quality and safety in health care, this goal feels increasingly elusive. Successful examples of improvement are infrequently replicated. This scoping review synthesizes 76 empirical or conceptual studies (out of 1208 originally screened) addressing learning in quality or safety improvement, that were published in selected health care and management journals between January 2000 and December 2014 to deepen understanding of the role that collective learning plays in quality and safety improvement. We categorize learning activities using a theoretical model that shows how leadership and environmental factors support collective learning processes and practices, and in turn team and organizational improvement outcomes. By focusing on quality and safety improvement, our review elaborates the premise of learning theory that leadership, environment, and processes combine to create conditions that promote learning. Specifically, we found that learning for quality and safety improvement includes experimentation (including deliberate experimentation, improvisation, learning from failures, exploration, and exploitation), internal and external knowledge acquisition, performance monitoring and comparison, and training. Supportive learning environments are characterized by team characteristics like psychological safety, appreciation of differences, openness to new ideas social motivation, and team autonomy; team contextual factors including learning resources like time for reflection, access to knowledge, organizational capabilities; incentives; and organizational culture, strategy, and structure; and external environmental factors including institutional pressures, environmental dynamism and competitiveness and learning collaboratives. Lastly learning in the context of quality and safety improvement requires leadership that reinforces learning through actions and behaviors that affect people, such as coaching and trust building, and through

  18. Caring, learning, improving quality and doing research: Different ...

    African Journals Online (AJOL)

    The aim of this article is to describe the similarities between the consultation process, the quality improvement (QI) process, action- and problem-based learning and participatory action research (PAR). We feel this understanding adds value to our work in enabling personal development as practitioners, fostering teamwork ...

  19. Innovating for quality and value: Utilizing national quality improvement programs to identify opportunities for responsible surgical innovation.

    Science.gov (United States)

    Woo, Russell K; Skarsgard, Erik D

    2015-06-01

    Innovation in surgical techniques, technology, and care processes are essential for improving the care and outcomes of surgical patients, including children. The time and cost associated with surgical innovation can be significant, and unless it leads to improvements in outcome at equivalent or lower costs, it adds little or no value from the perspective of the patients, and decreases the overall resources available to our already financially constrained healthcare system. The emergence of a safety and quality mandate in surgery, and the development of the American College of Surgeons National Surgical Quality Improvement Program (NSQIP) allow needs-based surgical care innovation which leads to value-based improvement in care. In addition to general and procedure-specific clinical outcomes, surgeons should consider the measurement of quality from the patients' perspective. To this end, the integration of validated Patient Reported Outcome Measures (PROMs) into actionable, benchmarked institutional outcomes reporting has the potential to facilitate quality improvement in process, treatment and technology that optimizes value for our patients and health system. Copyright © 2015 Elsevier Inc. All rights reserved.

  20. Process Improvement: An Application in Special Steel Production Sector

    Directory of Open Access Journals (Sweden)

    Tansu TÜRKAN

    2017-07-01

    Full Text Available Customer requests are increasingly varied with the changing of the living conditions and developing technology. In this point, customers want to be met the demands which are good quality and suitable price quickly. The trend in today’s global competitive environment is to provide high quality, reasonably priced products and respond in the required time to customer demands. In this point, organizations should review their processes continuously and improve them. In this study begin with the general information about concept of process, process management and process improvement techniques. This paper continuous with an application in a steel production company which supplies product to the customers in the automotive industry that working with just in time philosophy. In this study different techniques for process improvement (Kaizen, Cause and Effect Diagram, Poka-Yoke, 8D, Failure Modes and Effects Analysis were used in combination.

  1. Deployment of lean six sigma in care coordination: an improved discharge process.

    Science.gov (United States)

    Breslin, Susan Ellen; Hamilton, Karen Marie; Paynter, Jacquelyn

    2014-01-01

    This article presents a quality improvement project to reduce readmissions in the Medicare population related to heart failure, acute myocardial infarction, and pneumonia. The article describes a systematic approach to the discharge process aimed at improving transitions of care from hospital to post-acute care, utilizing Lean Six Sigma methodology. Inpatient acute care hospital. A coordinated discharge process, which includes postdischarge follow-up, can reduce avoidable readmissions. Implications for The quality improvement project demonstrated the significant role case management plays in preventing costly readmissions and improving outcomes for patients through better transitions of care from the hospital to the community. By utilizing Lean Six Sigma methodology, hospitals can focus on eliminating waste in their current processes and build more sustainable improvements to deliver a safe, quality, discharge process for their patients. Case managers are leading this effort to improve care transitions and assure a smoother transition into the community postdischarge..

  2. Engaging clinical nurses in quality and performance improvement activities.

    Science.gov (United States)

    Albanese, Madeline P; Evans, Dietra A; Schantz, Cathy A; Bowen, Margaret; Disbot, Maureen; Moffa, Joseph S; Piesieski, Patricia; Polomano, Rosemary C

    2010-01-01

    Nursing performance measures are an integral part of quality initiatives in acute care; however, organizations face numerous challenges in developing infrastructures to support quality improvement processes and timely dissemination of outcomes data. At the Hospital of the University of Pennsylvania, a Magnet-designated organization, extensive work has been conducted to incorporate nursing-related outcomes in the organization's quality plan and to integrate roles for clinical nurses into the Department of Nursing and organization's core performance-based programs. Content and strategies that promote active involvement of nurses and prepare them to be competent and confident stakeholders in quality initiatives are presented. Engaging clinical nurses in the work of quality and performance improvement is essential to achieving excellence in clinical care. It is important to have structures and processes in place to bring meaningful data to the bedside; however, it is equally important to incorporate outcomes into practice. When nurses are educated about performance and quality measures, are engaged in identifying outcomes and collecting meaningful data, are active participants in disseminating quality reports, and are able to recognize the value of these activities, data become one with practice.

  3. Accelerate Water Quality Improvement

    Science.gov (United States)

    EPA is committed to accelerating water quality improvement and minimizing negative impacts to aquatic life from contaminants and other stressors in the Bay Delta Estuary by working with California Water Boards to strengthen water quality improvement plans.

  4. Laboratory quality improvement in Thailand's northernmost provinces.

    Science.gov (United States)

    Kanitvittaya, S; Suksai, U; Suksripanich, O; Pobkeeree, V

    2010-01-01

    In Thailand nearly 1000 public health laboratories serve 65 million people. A qualified indicator of a good quality laboratory is Thailand Medical Technology Council certification. Consequently, Chiang Rai Regional Medical Sciences Center established a development program for laboratory certification for 29 laboratories in the province. This paper seeks to examine this issue. The goal was to improve laboratory service quality by voluntary participation, peer review, training and compliance with standards. The program consisted of specific activities. Training and workshops to update laboratory staffs' quality management knowledge were organized. Staff in each laboratory performed a self-assessment using a standard check-list to evaluate ten laboratory management areas. Chiang Rai Regional Medical Sciences Center staff supported the distribution of quality materials and documents. They provided calibration services for laboratory equipment. Peer groups performed an internal audit and successful laboratories received Thailand Medical Technology Council certification. By December 2007, eight of the 29 laboratories had improved quality sufficiently to be certified. Factors that influenced laboratories' readiness for quality improvement included the number of staff, their knowledge, budget and staff commitment to the process. Moreover, the support of each hospital's laboratory working group or network was essential for success. There was no clear policy for supporting the program. Laboratories voluntarily conducted quality management using existing resources. A bottom-up approach to this kind of project can be difficult to accomplish. Laboratory professionals can work together to illustrate and highlight outcomes for top-level health officials. A top-down, practical approach would be much less difficult to implement. Quality certification is a critical step for laboratory staff, which also encourages them to aspire to international quality standards like ISO. The

  5. Improving the Quality of Services in Residential Treatment Facilities: A Strength-Based Consultative Review Process

    Science.gov (United States)

    Pavkov, Thomas W.; Lourie, Ira S.; Hug, Richard W.; Negash, Sesen

    2010-01-01

    This descriptive case study reports on the positive impact of a consultative review methodology used to conduct quality assurance reviews as part of the Residential Treatment Center Evaluation Project. The study details improvement in the quality of services provided to youth in unmonitored residential treatment facilities. Improvements were…

  6. Monitoring outcomes with relational databases: does it improve quality of care?

    Science.gov (United States)

    Clemmer, Terry P

    2004-12-01

    There are 3 key ingredients in improving quality of medial care: 1) using a scientific process of improvement, 2) executing the process at the lowest possible level in the organization, and 3) measuring the results of any change reliably. Relational databases when used within these guidelines are of great value in these efforts if they contain reliable information that is pertinent to the project and used in a scientific process of quality improvement by a front line team. Unfortunately, the data are frequently unreliable and/or not pertinent to the local process and is used by persons at very high levels in the organization without a scientific process and without reliable measurement of the outcome. Under these circumstances the effectiveness of relational databases in improving care is marginal at best, frequently wasteful and has the potential to be harmful. This article explores examples of these concepts.

  7. Improvement of phenolic antioxidants and quality characteristics of virgin olive oil with the addition of enzymes and nitrogen during olive paste processing

    Energy Technology Data Exchange (ETDEWEB)

    Inconomou, D.; Arapoglou, D.; Israilides, C.

    2010-07-01

    The evolution of phenolic compounds and their contribution to the quality characteristics in virgin olive oil during fruit processing was studied with the addition of a combination of various commercial enzymes containing pectinases, polygalacturonases, cellulase and {beta}-glucanase with or without nitrogen flush. Olive fruits (Olea europaea, L.) of the cultivar Megaritiki, at the semi black pigmentation stage of maturity, were used in a 3-phase extraction system in an experiment at industrial scale. The addition of enzymes in the olive paste during processing increased the total phenol and ortho-diphenol contents, as well as some simple phenolic compounds (3,4-DHPEA, p-HPEA) and the secoiridoid derivatives (3,4-DHPEA-EDA and 3,4-DHPEAEA) in olive oil and therefore improved its oxidative stability. Furthermore, enzyme treatment ameliorated the quality parameters of the produced olive oil (acidity and peroxide value) and their sensory attributes. The use of additional N{sub 2} flush with the enzyme treatments did not improve the quality parameters of olive oil any further; however it did not affect the concentration of individual and total sterols or most of the fatty acid composition. Consequently, olive paste treatment with enzymes not only improved the quality characteristics of olive oil and enhanced the overall organoleptic quality, but also increased the olive oil yield. (Author) 33 refs.

  8. Quality initiatives: planning, setting up, and carrying out radiology process improvement projects.

    Science.gov (United States)

    Tamm, Eric P; Szklaruk, Janio; Puthooran, Leejo; Stone, Danna; Stevens, Brian L; Modaro, Cathy

    2012-01-01

    In the coming decades, those who provide radiologic imaging services will be increasingly challenged by the economic, demographic, and political forces affecting healthcare to improve their efficiency, enhance the value of their services, and achieve greater customer satisfaction. It is essential that radiologists master and consistently apply basic process improvement skills that have allowed professionals in many other fields to thrive in a competitive environment. The authors provide a step-by-step overview of process improvement from the perspective of a radiologic imaging practice by describing their experience in conducting a process improvement project: to increase the daily volume of body magnetic resonance imaging examinations performed at their institution. The first step in any process improvement project is to identify and prioritize opportunities for improvement in the work process. Next, an effective project team must be formed that includes representatives of all participants in the process. An achievable aim must be formulated, appropriate measures selected, and baseline data collected to determine the effects of subsequent efforts to achieve the aim. Each aspect of the process in question is then analyzed by using appropriate tools (eg, flowcharts, fishbone diagrams, Pareto diagrams) to identify opportunities for beneficial change. Plans for change are then established and implemented with regular measurements and review followed by necessary adjustments in course. These so-called PDSA (planning, doing, studying, and acting) cycles are repeated until the aim is achieved or modified and the project closed.

  9. Activated charcoal-alum-zeolite improve the water quality

    International Nuclear Information System (INIS)

    Saryati; Sutisna; Sumarjo; ZL, Wildan; Wahyuningsih; Suprapti, Siti

    2002-01-01

    The composite of charcoal-tawas-zeolite has been studied to improve a drinking water quality. This study was doing to find the optimum composition in preparation of a simple technology og bath and small volume drinking treatment this treatment consist of coagulation, floculation, precipitation, ion exchange and adsorption. The improvement of water quality has been observed from a turbidity, a permanganate number and a quality of Cu, Cd, Pb, Al ions and coli bactery containing in the water after processing. It has been concluded that the composite materials has an ability to decrease the turbidity more than its components. The starch addition in the composite can be accelerate water clarity process. By this composite the turbidity, the permanganate number and the coli bacteria in the water can be decreased significantly. The optimum composite composition is 1000 mg activated charcoal, 1000 mg zeolite, 60 mg tawas, 40 mg natrium bicarbonate and 50 mg starch with grains size less than 80 mesh

  10. Continuous improvement of software quality

    International Nuclear Information System (INIS)

    Sivertsen, Terje

    1999-04-01

    The present report is the first Halden Work Report delivered from the OECD Halden Reactor Project's research activity on formal methods and software quality. Of particular concern in this activity is to reach a consensus between regulators, licensees and the nuclear industry on questions related to the effective, industrial use of formal methods. The report gives considerable attention to the importance of continuous improvement as a characteristic of a living software quality system, and to the need of providing a basis for software process/product quality integration. In particular, the report discusses these aspects from the perspectives of defect prevention, formal methods, Total Quality Management (TQM), and Bayesian Belief Nets. Another concern is to promote controlled experiments on the use of new methods, techniques, and tools. This is achieved partly by reviewing suggestions on the collection and experimental use of data, and by surveying a number of metrics believed to have some potential for comparison studies (author) (ml)

  11. CONTRIBUTION TO THE IMPROVEMENT OF PRODUCTS QUALITY IN BAKING INDUSTRY

    Directory of Open Access Journals (Sweden)

    Aleksandar Marić

    2009-09-01

    Full Text Available Food industry occupies special place in the processing industry, especially when we talk on the manufacturing of bakery products. Variable products quality on the market initiated the authors of this study to make an attempt, using comparative analysis of methods for quality control that are at most applied in bakery plants and other "convenient" methods to indicate the shortcomings and to argue convenience of using of methods that would improve testing of the quality. That approach could create a base for designing of model of quality improvement the baking industry.

  12. A novel approach to leveraging electronic health record data to enhance pediatric surgical quality improvement bundle process compliance.

    Science.gov (United States)

    Fisher, Jason C; Godfried, David H; Lighter-Fisher, Jennifer; Pratko, Joseph; Sheldon, Mary Ellen; Diago, Thelma; Kuenzler, Keith A; Tomita, Sandra S; Ginsburg, Howard B

    2016-06-01

    Quality improvement (QI) bundles have been widely adopted to reduce surgical site infections (SSI). Improvement science suggests when organizations achieve high-reliability to QI processes, outcomes dramatically improve. However, measuring QI process compliance is poorly supported by electronic health record (EHR) systems. We developed a custom EHR tool to facilitate capture of process data for SSI prevention with the aim of increasing bundle compliance and reducing adverse events. Ten SSI prevention bundle processes were linked to EHR data elements that were then aggregated into a snapshot display superimposed on weekly case-log reports. The data aggregation and user interface facilitated efficient review of all SSI bundle elements, providing an exact bundle compliance rate without random sampling or chart review. Nine months after implementation of our custom EHR tool, we observed centerline shifts in median SSI bundle compliance (46% to 72%). Additionally, as predicted by high reliability principles, we began to see a trend toward improvement in SSI rates (1.68 to 0.87 per 100 operations), but a discrete centerline shift was not detected. Simple informatics solutions can facilitate extraction of QI process data from the EHR without relying on adjunctive systems. Analyses of these data may drive reductions in adverse events. Pediatric surgical departments should consider leveraging the EHR to enhance bundle compliance as they implement QI strategies. Copyright © 2016 Elsevier Inc. All rights reserved.

  13. Improving a Dental School's Clinic Operations Using Lean Process Improvement.

    Science.gov (United States)

    Robinson, Fonda G; Cunningham, Larry L; Turner, Sharon P; Lindroth, John; Ray, Deborah; Khan, Talib; Yates, Audrey

    2016-10-01

    The term "lean production," also known as "Lean," describes a process of operations management pioneered at the Toyota Motor Company that contributed significantly to the success of the company. Although developed by Toyota, the Lean process has been implemented at many other organizations, including those in health care, and should be considered by dental schools in evaluating their clinical operations. Lean combines engineering principles with operations management and improvement tools to optimize business and operating processes. One of the core concepts is relentless elimination of waste (non-value-added components of a process). Another key concept is utilization of individuals closest to the actual work to analyze and improve the process. When the medical center of the University of Kentucky adopted the Lean process for improving clinical operations, members of the College of Dentistry trained in the process applied the techniques to improve inefficient operations at the Walk-In Dental Clinic. The purpose of this project was to reduce patients' average in-the-door-to-out-the-door time from over four hours to three hours within 90 days. Achievement of this goal was realized by streamlining patient flow and strategically relocating key phases of the process. This initiative resulted in patient benefits such as shortening average in-the-door-to-out-the-door time by over an hour, improving satisfaction by 21%, and reducing negative comments by 24%, as well as providing opportunity to implement the electronic health record, improving teamwork, and enhancing educational experiences for students. These benefits were achieved while maintaining high-quality patient care with zero adverse outcomes during and two years following the process improvement project.

  14. Using SQUIRE 2.0 as a Guide for Planning Your Quality Improvement Project.

    Science.gov (United States)

    Stausmire, Julie M; Ulrich, Charla

    2017-12-01

    This article is the third of a 4-part quality improvement resource series for critical care nurses interested in implementing system process or performance improvement projects. Part 1 defined the differences between research and quality improvement. Part 2 discussed how nurses and managers could identify meaningful quality improvement projects that will make a real difference in their critical care unit while fitting within their time constraints and resources. Part 3 uses the recently revised Standards for Quality Improvement Reporting Excellence guidelines as a basis for designing, implementing, documenting, and publishing quality improvement projects. © 2017 American Association of Critical-Care Nurses.

  15. Integrated Assessment and Improvement of the Quality Assurance System for the Cosworth Casting Process

    Science.gov (United States)

    Yousif, Dilon

    The purpose of this study was to improve the Quality Assurance (QA) System at the Nemak Windsor Aluminum Plant (WAP). The project used Six Sigma method based on Define, Measure, Analyze, Improve, and Control (DMAIC). Analysis of in process melt at WAP was based on chemical, thermal, and mechanical testing. The control limits for the W319 Al Alloy were statistically recalculated using the composition measured under stable conditions. The "Chemistry Viewer" software was developed for statistical analysis of alloy composition. This software features the Silicon Equivalency (SiBQ) developed by the IRC. The Melt Sampling Device (MSD) was designed and evaluated at WAP to overcome traditional sampling limitations. The Thermal Analysis "Filters" software was developed for cooling curve analysis of the 3XX Al Alloy(s) using IRC techniques. The impact of low melting point impurities on the start of melting was evaluated using the Universal Metallurgical Simulator and Analyzer (UMSA).

  16. A Systems Thinking Approach To The Sustainability Of Quality Improvement Programmes

    Directory of Open Access Journals (Sweden)

    Van Dyk, Dirk Johannes

    2014-05-01

    Full Text Available The challenge for organisations to continually provide the best return on investment for their shareholders has become increasingly difficult through globalisation of the market place. There are many responses a company could make to these challenges for example, new product development, increased market capitalisation, cost reduction initiatives, and quality management. This last response focuses on, but is not restricted to, customer satisfaction, continuous improvement, and environmental impact. Continuous improvement addresses waste in the business design and manufacturing processes, which could lead to improved profit margins. The sustainability of quality improvement programmes remains a challenge. Causality can be studied, using Six Sigma tools, to relate cause and effect. But these tools do not always allow the user to study and understand feedback from other factors, such as soft human issues, in the improvement process system, typically referred to as feedback causality. System dynamics may improve this understanding. Quality improvement programmes in the heavy engineering manufacturing environment are not researched to the same degree as those in the automotive manufacturing environment. The purpose of this paper is to share results from research into the sustainability of quality improvement programmes, and the development of an appropriate system dynamics model, using qualitative case study data gathered and coded in a heavy engineering manufacturing environment.

  17. Quality Improvement in Virtual Higher Education: A Grounded Theory Approach

    Science.gov (United States)

    Mahdiuon, Rouhollah; Masoumi, Davoud; Farasatkhah, Maghsoud

    2017-01-01

    The article aims to explore the attributes of quality and quality improvement including the process and specific actions associated with these attributes--that contribute enhancing quality in Iranian Virtual Higher Education (VHE) institutions. A total of 16 interviews were conducted with experts and key actors in Iranian virtual higher education.…

  18. Total quality management in a 300-bed community hospital: the quality improvement process translated to health care.

    Science.gov (United States)

    Hughes, J M

    1992-09-01

    Winter Park Memorial Hospital (Winter Park, Florida) began implementation of a well-strategized plan for total quality management (TQM) in 1987. Having no guidelines for applying TQM to health care but using the industrial quality management techniques of Philip Crosby Associates, Inc, the hospital made the transition and saved thousands of dollars in the process. This article describes the transition, especially the integral part played by the Medical Staff Quality Council in changing the hospital's culture.

  19. How to Measure and Interpret Quality Improvement Data.

    Science.gov (United States)

    McQuillan, Rory Francis; Silver, Samuel Adam; Harel, Ziv; Weizman, Adam; Thomas, Alison; Bell, Chaim; Chertow, Glenn M; Chan, Christopher T; Nesrallah, Gihad

    2016-05-06

    This article will demonstrate how to conduct a quality improvement project using the change idea generated in "How To Use Quality Improvement Tools in Clinical Practice: How To Diagnose Solutions to a Quality of Care Problem" by Dr. Ziv Harel and colleagues in this Moving Points feature. This change idea involves the introduction of a nurse educator into a CKD clinic with a goal of increasing rates of patients performing dialysis independently at home (home hemodialysis or peritoneal dialysis). Using this example, we will illustrate a Plan-Do-Study-Act (PDSA) cycle in action and highlight the principles of rapid cycle change methodology. We will then discuss the selection of outcome, process, and balancing measures, and the practicalities of collecting these data in the clinic environment. We will also introduce the PDSA worksheet as a practical way to oversee the progress of a quality improvement project. Finally, we will demonstrate how run charts are used to visually illustrate improvement in real time, and how this information can be used to validate achievement, respond appropriately to challenges the project may encounter, and prove the significance of results. This article aims to provide readers with a clear and practical framework upon which to trial their own ideas for quality improvement in the clinical setting. Copyright © 2016 by the American Society of Nephrology.

  20. Statistical process control methods allow the analysis and improvement of anesthesia care.

    Science.gov (United States)

    Fasting, Sigurd; Gisvold, Sven E

    2003-10-01

    Quality aspects of the anesthetic process are reflected in the rate of intraoperative adverse events. The purpose of this report is to illustrate how the quality of the anesthesia process can be analyzed using statistical process control methods, and exemplify how this analysis can be used for quality improvement. We prospectively recorded anesthesia-related data from all anesthetics for five years. The data included intraoperative adverse events, which were graded into four levels, according to severity. We selected four adverse events, representing important quality and safety aspects, for statistical process control analysis. These were: inadequate regional anesthesia, difficult emergence from general anesthesia, intubation difficulties and drug errors. We analyzed the underlying process using 'p-charts' for statistical process control. In 65,170 anesthetics we recorded adverse events in 18.3%; mostly of lesser severity. Control charts were used to define statistically the predictable normal variation in problem rate, and then used as a basis for analysis of the selected problems with the following results: Inadequate plexus anesthesia: stable process, but unacceptably high failure rate; Difficult emergence: unstable process, because of quality improvement efforts; Intubation difficulties: stable process, rate acceptable; Medication errors: methodology not suited because of low rate of errors. By applying statistical process control methods to the analysis of adverse events, we have exemplified how this allows us to determine if a process is stable, whether an intervention is required, and if quality improvement efforts have the desired effect.

  1. New process modeling[sic], design, and control strategies for energy efficiency, high product quality, and improved productivity in the process industries. Final project report; FINAL

    International Nuclear Information System (INIS)

    Ray, W. Harmon

    2002-01-01

    This project was concerned with the development of process design and control strategies for improving energy efficiency, product quality, and productivity in the process industries. In particular, (i) the resilient design and control of chemical reactors, and (ii) the operation of complex processing systems, was investigated. Specific topics studied included new process modeling procedures, nonlinear controller designs, and control strategies for multiunit integrated processes. Both fundamental and immediately applicable results were obtained. The new design and operation results from this project were incorporated into computer-aided design software and disseminated to industry. The principles and design procedures have found their way into industrial practice

  2. The Influence of Syntactic Quality on Pragmatic Quality of Enterprise Process Models

    Directory of Open Access Journals (Sweden)

    Merethe Heggset

    2015-12-01

    Full Text Available As approaches and tools for process and enterprise modelling are maturing, these techniques are being taken into use on a large scale in an increasing number of organizations. In this paper we report on the use of process modelling in connection to the quality system of Statoil, a large Norwegian oil company, in particular, on the aspects found necessary to be emphasized to achieve the appropriate quality of the models in this organization. Based on the investigation of usage statistics and user feedback on models, we have identified that there are problems in comprehending some of the models. Some of these models has poorer syntactic quality than the average syntactic quality of models of the same size. An experiment with improving syntactic quality on some of these models has given mixed results, and it appears that certain syntactic errors hinder comprehension more than others.

  3. Data Quality Assessment and Recommendations to Improve the Quality of Hemodialysis Database

    Directory of Open Access Journals (Sweden)

    Neda Firouraghi

    2018-01-01

    Full Text Available Introduction: Since clinical data contain abnormalities, quality assessment and reporting of data errors are necessary. Data quality analysis consists of developing strategies, making recommendations to avoid future errors and improving the quality of data entry by identifying error types and their causes. Therefore, this approach can be extremely useful to improve the quality of the databases. The aim of this study was to analyze hemodialysis (HD patients’ data in order to improve the quality of data entry and avoid future errors. Method: The study was done on Shiraz University of Medical Sciences HD database in 2015. The database consists of 2367 patients who had at least 12 months follow up (22.34±11.52 months in 2012-2014. Duplicated data were removed; outliers were detected based on statistical methods, expert opinion and the relationship between variables; then, the missing values were handled in 72 variables by using IBM SPSS Statistics 22 in order to improve the quality of the database. According to the results, some recommendations were given to improve the data entry process. Results: The variables had outliers in the range of 0-9.28 percent. Seven variables had missing values over 20 percent and in the others they were between 0 and 19.73 percent. The majority of missing values belong to serum alkaline phosphatase, uric acid, high and low density lipoprotein, total iron binding capacity, hepatitis B surface antibody titer, and parathyroid hormone. The variables with displacement (the values of two or more variables were recorded in the wrong attribute were weight, serum creatinine, blood urea nitrogen, systolic and diastolic blood pressure. These variables may lead to decreased data quality. Conclusion: According to the results and expert opinion, applying some data entry principles, such as defining ranges of values, using the relationship between hemodialysis features, developing alert systems about empty or duplicated data and

  4. The improvement of the quality of polluted irrigation water through a phytoremediation process in a hydroponic batch culture system

    Science.gov (United States)

    Retnaningdyah, Catur

    2017-11-01

    The objective of this research was to determine the effectiveness of a phytoremediation process using some local hydro macrophytes to reduce fertilizer residue in irrigation water in order to support healthy agriculture and to prevent eutrophication and algae bloom in water. A phytoremediation process was carried out in a hydroponic floating system by using transparent plastic bags of 1 m in diameter and 1 m in height that were placed in collecting ponds before they were used for agricultural activities. Paddy soils were used as substrates in this system. The irrigation water was treated with nutrient enrichment (Urea and SP-36 fertilizers). Then, the system was planted with remediation actors (Azolla sp., Ipomoea aquatica, Limnocharis flava, Marsilea crenata, polyculture of those hydro macrophytes and control). The improvement of the water quality as a result of the phytoremediation process was characterized by a decline in the concentration of some physicochemical parameters, which were measured at 7 days after incubation, as well as an increase in the plankton diversity index value. The results showed that all of the hydro macrophytes used in this research, which was grown in the hydroponic batch culture system for a period of 7 days, were able to significantly improve the irrigation water quality, which was enriched by the synthetic fertilizers Urea and SP36. This was reflected by a significant decrease in the concentration of water TSS, nitrate, BOD, COD and total phosphate and an increase in the value of water DO at 7 days after incubation. Improvement of the water quality is also reflected in the increasing plankton diversity index value as a bioindicator of water pollution indicating a change in the pollution status from moderately polluted to slightly polluted at 7 days after incubation.

  5. CQI project improves discharge process.

    Science.gov (United States)

    1998-08-01

    At Gibson Rehab Center in Williamsport, PA, a continuous quality improvement project to bolster the institution's discharge planning process has resulted in increased satisfaction and an award for quality. The 15-month project was spearheaded by a multidisciplinary team charged with identifying areas that had a significant impact on customer service and suggesting better ways of delivering that service. Among the changes the group suggested were establishing a weekly discharge planning group for new neuro patients, assigning a discharge coordinator for each treatment team, and creating an interdisciplinary communication sheet for the home health therapy staff.

  6. Trauma Quality Improvement: Reducing Triage Errors by Automating the Level Assignment Process.

    Science.gov (United States)

    Stonko, David P; O Neill, Dillon C; Dennis, Bradley M; Smith, Melissa; Gray, Jeffrey; Guillamondegui, Oscar D

    2018-04-12

    Trauma patients are triaged by the severity of their injury or need for intervention while en route to the trauma center according to trauma activation protocols that are institution specific. Significant research has been aimed at improving these protocols in order to optimize patient outcomes while striving for efficiency in care. However, it is known that patients are often undertriaged or overtriaged because protocol adherence remains imperfect. The goal of this quality improvement (QI) project was to improve this adherence, and thereby reduce the triage error. It was conducted as part of the formal undergraduate medical education curriculum at this institution. A QI team was assembled and baseline data were collected, then 2 Plan-Do-Study-Act (PDSA) cycles were implemented sequentially. During the first cycle, a novel web tool was developed and implemented in order to automate the level assignment process (it takes EMS-provided data and automatically determines the level); the tool was based on the existing trauma activation protocol. The second PDSA cycle focused on improving triage accuracy in isolated, less than 10% total body surface area burns, which we identified to be a point of common error. Traumas were reviewed and tabulated at the end of each PDSA cycle, and triage accuracy was followed with a run chart. This study was performed at Vanderbilt University Medical Center and Medical School, which has a large level 1 trauma center covering over 75,000 square miles, and which sees urban, suburban, and rural trauma. The baseline assessment period and each PDSA cycle lasted 2 weeks. During this time, all activated, adult, direct traumas were reviewed. There were 180 patients during the baseline period, 189 after the first test of change, and 150 after the second test of change. All were included in analysis. Of 180 patients, 30 were inappropriately triaged during baseline analysis (3 undertriaged and 27 overtriaged) versus 16 of 189 (3 undertriaged and 13

  7. Characteristics of Volunteer Coaches in a Clinical Process Improvement Program.

    Science.gov (United States)

    Morley, Katharine E; Barysauskas, Constance M; Carballo, Victoria; Kalibatas, Orinta; Rao, Sandhya K; Jacobson, Joseph O; Cummings, Brian M

    The Partners Clinical Process Improvement Leadership Program provides quality improvement training for clinicians and administrators, utilizing graduates as volunteer peer coaches for mentorship. We sought to understand the factors associated with volunteer coach participation and gain insight into how to improve and sustain this program. Review of coach characteristics from course database and survey of frequent coaches. Out of 516 Partners Clinical Process Improvement Leadership Program graduates from March 2010 to June 2015, 117 (23%) individuals volunteered as coaches. Sixty-one (52%) individuals coached once, 31 (27%) coached twice, and 25 (21%) coached 3 or more times. There were statistically significant associations between coaching and occupation (P = .005), Partners Clinical Process Improvement Leadership Program course taken (P = .001), and course location (P = .007). Administrators were more likely to coach than physicians (odds ratio: 1.75, P = .04). Reasons for volunteering as a coach included further development of skills, desire to stay involved with program, and enjoying mentoring. Reasons for repeated coaching included maintaining quality improvement skills, expanding skills to a wider variety of projects, and networking. A peer graduate volunteer coach model is a viable strategy for interprofessional quality improvement mentorship. Strategies that support repeat coaching and engage clinicians should be promoted to ensure an experienced and diversified group of coaches.

  8. Design of launch systems using continuous improvement process

    Science.gov (United States)

    Brown, Richard W.

    1995-01-01

    The purpose of this paper is to identify a systematic process for improving ground operations for future launch systems. This approach is based on the Total Quality Management (TQM) continuous improvement process. While the continuous improvement process is normally identified with making incremental changes to an existing system, it can be used on new systems if they use past experience as a knowledge base. In the case of the Reusable Launch Vehicle (RLV), the Space Shuttle operations provide many lessons. The TQM methodology used for this paper will be borrowed from the United States Air Force 'Quality Air Force' Program. There is a general overview of the continuous improvement process, with concentration on the formulation phase. During this phase critical analyses are conducted to determine the strategy and goals for the remaining development process. These analyses include analyzing the mission from the customers point of view, developing an operations concept for the future, assessing current capabilities and determining the gap to be closed between current capabilities and future needs and requirements. A brief analyses of the RLV, relative to the Space Shuttle, will be used to illustrate the concept. Using the continuous improvement design concept has many advantages. These include a customer oriented process which will develop a more marketable product and a better integration of operations and systems during the design phase. But, the use of TQM techniques will require changes, including more discipline in the design process and more emphasis on data gathering for operational systems. The benefits will far outweigh the additional effort.

  9. DEVELOPMENT OF PERFORMANCE MODEL FOR QUALITY AND PROCESS IMPROVEMENT IN BUSINESS PROCESS SERVICE INDUSTRY

    Directory of Open Access Journals (Sweden)

    Samson Oludapo

    2017-06-01

    Full Text Available When it comes to performance improvement process, literature abounds with lean, agile and lean-agile. Over the years, the implementation of the improvement processes of lean and agile had met with resounding success in the manufacturing, production, and construction industry. For this reason, there is an interest to develop a performance process for business process service industry incorporating the key aspect of lean and agile theory extracted from the extant literature. The researcher reviewed a total of 750 scholarly articles, grouped them according to the relationship to central theme - lean or agile, and thereafter uses factor analysis under principal component method to explain the relationship of the items. The result of this study showed that firms focusing on cost will minimize the investment of resources in business operations this, in turn, will lead to difficulties in responding to changing customer's requirements in terms of volume, delivery, and new product. The implication is that on the long run cost focus strategy negatively influence flexibility.

  10. Using measures to guide the continuous improvement journey: a partnership between quality assurance and toxicology.

    Science.gov (United States)

    Gentry, P E; Sites, D L

    1994-03-01

    It has been said that you cannot improve what you cannot measure. At Eli Lilly and Company, measurement is one of the five pillars of Total Quality. Quality Assurance and Toxicology have partnered in the use of measures to drive improvements in both areas. Quality Assurance and Toxicology have embarked on a journey in Total Quality to achieve customer satisfaction and drive continuous improvement. Measurement in the research and development world has traditionally not been well received. Contrary to popular belief, we have found that many processes can be measured in the research and development environment. Measurement is critical to the continuous improvement of processes because improvements are made using data. In Quality Assurance and Toxicology, the initial measures were put in place to gather baseline data. As we learned from our measures, we customized them to align with all of our processes. This article describes the journey of measuring Quality Assurance and Toxicology, including highlights of implementation strategies and lessons learned along the way.

  11. Efficiency Improvement and Quality Initiatives Application in Financial Institutions

    Directory of Open Access Journals (Sweden)

    MSc. Ajtene Avdullahi

    2015-06-01

    Full Text Available Financial institutions in today’s economy have no longer the luxury to improve profit simply by increasing revenue. These firms, due to the significant measuring reductions in the financial services industry needed to improve operational efficiencies and merely support existing processes with fewer resources. This paper explains the benefits of Lean, Six Sigma, Total Quality Management and Lean Six Sigma that have improved organization's performance, by cutting costs and waste, improving their products or services, increasing profitability as well as enhancing customer satisfaction. The applicability of quality management practices in financial institutions in Kosovo is presented and also their efficiency and effectiveness. By analyzing data from Raiffeisen Bank Kosovo, this paper highlights the benefits of Individual and Micro companies customer segment as the result of organizational change and successful application of quality initiatives from financial institutions in Kosovo.

  12. Results-driven approach to improving quality and productivity

    Science.gov (United States)

    John Dramm

    2000-01-01

    Quality control (QC) programs do not often realize their full potential. Elaborate and expensive QC programs can easily get side tracked by the process of building a program with promises of “Someday, this will all pay off.” Training employees in QC methods is no guarantee that quality will improve. Several documented cases show that such activity-centered efforts...

  13. Structured data quality reports to improve EHR data quality.

    Science.gov (United States)

    Taggart, Jane; Liaw, Siaw-Teng; Yu, Hairong

    2015-12-01

    To examine whether a structured data quality report (SDQR) and feedback sessions with practice principals and managers improve the quality of routinely collected data in EHRs. The intervention was conducted in four general practices participating in the Fairfield neighborhood electronic Practice Based Research Network (ePBRN). Data were extracted from their clinical information systems and summarised as a SDQR to guide feedback to practice principals and managers at 0, 4, 8 and 12 months. Data quality (DQ) metrics included completeness, correctness, consistency and duplication of patient records. Information on data recording practices, data quality improvement, and utility of SDQRs was collected at the feedback sessions at the practices. The main outcome measure was change in the recording of clinical information and level of meeting Royal Australian College of General Practice (RACGP) targets. Birth date was 100% and gender 99% complete at baseline and maintained. DQ of all variables measured improved significantly (pImprovement was greatest with allergies. There was no significant change in duplicate records. SDQRs and feedback sessions support general practitioners and practice managers to focus on improving the recording of patient information. However, improved practice DQ, was not sufficient to meet RACGP targets. Randomised controlled studies are required to evaluate strategies to improve data quality and any associated improved safety and quality of care. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  14. Application of Taguchi methodology to improve the functional quality of a mechanical device

    International Nuclear Information System (INIS)

    Regeai, Awatef Omar

    2005-01-01

    Manufacturing and quality control are recognized branches of engineering management. special attention has been made to improve thr tools and methods for the purpose of improving the products quality and finding solutions for any Obstacles and/or problems during the production process. Taguchi methodology is one of the most powerful techniques for improving product and manufacturing process quality at low cost. It is a strategical and practical method that aims to assist managers and industrial engineers to tackle manufacturing quality problems in a systematic and structured manner. The potential benefit of Taguchi methodology lies in its ease of use, its emphasis on reducing variability to give more economical products and hence the accessibility to the engineering fraternity for solving real life quality problems. This study applies Taguchi methodology to improve the functional quality of a local made chain gear by a purposed heat treatment process. The hardness of steel is generally a function not of its composition only, but rather of its heat treatment. The study investigates the effects of various heat treatment parameters, including ramp rate of heating, normalizing holding time, normalizing temperature, annealing holding time, annealing temperature, hardening holding time, hardening temperature, quenching media, tempering temperature and tempering holding time upon the hardness, which is a measure of resistance to plastic deformation. Both the analysis of means (ANOM) and Signal to Noise ratio (S/N) have been carried out for determining the optimal condition of the process. A significant improvement of the functional quality characteristic (hardness) by more than 32% was obtained. The Scanning Electron Microscopy technique was used in this study to obtain visual evidence of the quality and continuous improvement of the heat treated samples. (author)

  15. Safety implications of standardized continuous quality improvement programs in community pharmacy.

    Science.gov (United States)

    Boyle, Todd A; Ho, Certina; Mackinnon, Neil J; Mahaffey, Thomas; Taylor, Jeffrey M

    2013-06-01

    Standardized continuous quality improvement (CQI) programs combine Web-based technologies and standardized improvement processes, tools, and expectations to enable quality-related events (QREs) occurring in individual pharmacies to be shared with pharmacies in other jurisdictions. Because standardized CQI programs are still new to community pharmacy, little is known about how they impact medication safety. This research identifies key aspects of medication safety that change as a result of implementing a standardized CQI program. Fifty-three community pharmacies in Nova Scotia, Canada, adopted the SafetyNET-Rx standardized CQI program in April 2010. The Institute for Safe Medication Practices (ISMP) Canada's Medication Safety Self-Assessment (MSSA) survey was administered to these pharmacies before and 1 year into their use of the SafetyNET-Rx program. The nonparametric Wilcoxon signed-rank test was used to explore where changes in patient safety occurred as a result of SafetyNETRx use. Significant improvements occurred with quality processes and risk management, staff competence, and education, and communication of drug orders and other information. Patient education, environmental factors, and the use of devices did not show statistically significant changes. As CQI programs are designed to share learning from QREs, it is reassuring to see that the largest improvements are related to quality processes, risk management, staff competence, and education.

  16. Using complaints to enhance quality improvement: developing an analytical tool.

    Science.gov (United States)

    Hsieh, Sophie Yahui

    2012-01-01

    This study aims to construct an instrument for identifying certain attributes or capabilities that might enable healthcare staff to use complaints to improve service quality. PubMed and ProQuest were searched, which in turn expanded access to other literature. Three paramount dimensions emerged for healthcare quality management systems: managerial, operational, and technical (MOT). The paper reveals that the managerial dimension relates to quality improvement program infrastructure. It contains strategy, structure, leadership, people and culture. The operational dimension relates to implementation processes: organizational changes and barriers when using complaints to enhance quality. The technical dimension emphasizes the skills, techniques or information systems required to achieve successfully continuous quality improvement. The MOT model was developed by drawing from the relevant literature. However, individuals have different training, interests and experiences and, therefore, there will be variance between researchers when generating the MOT model. The MOT components can be the guidelines for examining whether patient complaints are used to improve service quality. However, the model needs testing and validating by conducting further research before becoming a theory. Empirical studies on patient complaints did not identify any analytical tool that could be used to explore how complaints can drive quality improvement. This study developed an instrument for identifying certain attributes or capabilities that might enable healthcare professionals to use complaints and improve service quality.

  17. Process mapping evaluation of medication reconciliation in academic teaching hospitals: a critical step in quality improvement.

    Science.gov (United States)

    Holbrook, Anne; Bowen, James M; Patel, Harsit; O'Brien, Chris; You, John J; Tahavori, Roshan; Doleweerd, Jeff; Berezny, Tim; Perri, Dan; Nieuwstraten, Carmine; Troyan, Sue; Patel, Ameen

    2016-12-30

    Medication reconciliation (MedRec) has been a mandated or recommended activity in Canada, the USA and the UK for nearly 10 years. Accreditation bodies in North America will soon require MedRec for every admission, transfer and discharge of every patient. Studies of MedRec have revealed unintentional discrepancies in prescriptions but no clear evidence that clinically important outcomes are improved, leading to widely variable practices. Our objective was to apply process mapping methodology to MedRec to clarify current processes and resource usage, identify potential efficiencies and gaps in care, and make recommendations for improvement in the light of current literature evidence of effectiveness. Process engineers observed and recorded all MedRec activities at 3 academic teaching hospitals, from initial emergency department triage to patient discharge, for general internal medicine patients. Process maps were validated with frontline staff, then with the study team, managers and patient safety leads to summarise current problems and discuss solutions. Across all of the 3 hospitals, 5 general problem themes were identified: lack of use of all available medication sources, duplication of effort creating inefficiency, lack of timeliness of completion of the Best Possible Medication History, lack of standardisation of the MedRec process, and suboptimal communication of MedRec issues between physicians, pharmacists and nurses. MedRec as practised in this environment requires improvements in quality, timeliness, consistency and dissemination. Further research exploring efficient use of resources, in terms of personnel and costs, is required. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://www.bmj.com/company/products-services/rights-and-licensing/.

  18. [Feedforward control strategy and its application in quality improvement of ethanol precipitation process of danhong injection].

    Science.gov (United States)

    Yan, Bin-Jun; Guo, Zheng-Tai; Qu, Hai-Bin; Zhao, Bu-Chang; Zhao, Tao

    2013-06-01

    In this work, a feedforward control strategy basing on the concept of quality by design was established for the manufacturing process of traditional Chinese medicine to reduce the impact of the quality variation of raw materials on drug. In the research, the ethanol precipitation process of Danhong injection was taken as an application case of the method established. Box-Behnken design of experiments was conducted. Mathematical models relating the attributes of the concentrate, the process parameters and the quality of the supernatants produced were established. Then an optimization model for calculating the best process parameters basing on the attributes of the concentrate was built. The quality of the supernatants produced by ethanol precipitation with optimized and non-optimized process parameters were compared. The results showed that using the feedforward control strategy for process parameters optimization can control the quality of the supernatants effectively. The feedforward control strategy proposed can enhance the batch-to-batch consistency of the supernatants produced by ethanol precipitation.

  19. Nurse managers' experiences in continuous quality improvement in resource-poor healthcare settings.

    Science.gov (United States)

    Kakyo, Tracy Alexis; Xiao, Lily Dongxia

    2017-06-01

    Ensuring safe and quality care for patients in hospitals is an important part of a nurse manager's role. Continuous quality improvement has been identified as one approach that leads to the delivery of quality care services to patients and is widely used by nurse managers to improve patient care. Nurse managers' experiences in initiating continuous quality improvement activities in resource-poor healthcare settings remain largely unknown. Research evidence is highly demanded in these settings to address disease burden and evidence-based practice. This interpretive qualitative study was conducted to gain an understanding of nurse managers' Continuous Quality Improvement experiences in rural hospitals in Uganda. Nurse managers in rural healthcare settings used their role to prioritize quality improvement activities, monitor the Continuous Quality Improvement process, and utilize in-service education to support continuous quality improvement. The nurse managers in our sample encountered a number of barriers during the implementation of Continuous Quality Improvement, including: limited patient participation, lack of materials, and limited human resources. Efforts to address the challenges faced through good governance and leadership development require more attention. © 2017 John Wiley & Sons Australia, Ltd.

  20. Solutions to Improve Educational Management Quality

    OpenAIRE

    Ramezan Jahanian; Masoomeh Motahari

    2013-01-01

    Nowadays, enhancing the quality in educational systems of different countries has gained especial importance and stand. Improving utilizing and increasing the quality in our country’s educational system is felt too. One of the utilizing factors in education system is to improve the educational management quality.In this article, effective factors in educational management quality and one of its improving solutions, thorough management quality, is analyzed.

  1. Measuring, evaluating and improving hospital quality parameters/dimensions--an integrated healthcare quality approach.

    Science.gov (United States)

    Zineldin, Mosad; Camgöz-Akdağ, Hatice; Vasicheva, Valiantsina

    2011-01-01

    This paper aims to examine the major factors affecting cumulative summation, to empirically examine the major factors affecting satisfaction and to address the question whether patients in Kazakhstan evaluate healthcare similarly or differently from patients in Egypt and Jordan. A questionnaire, adapted from previous research, was distributed to Kazakhstan inpatients. The questionnaire contained 39 attributes about five newly-developed quality dimensions (5Qs), which were identified to be the most relevant attributes for hospitals. The questionnaire was translated into Russian to increase the response rate and improve data quality. Almost 200 usable questionnaires were returned. Frequency distribution, factor analysis and reliability checks were used to analyze the data. The three biggest concerns for Kazakhstan patients are: infrastructure; atmosphere; and interaction. Hospital staffs concern for patients' needs, parking facilities for visitors, waiting time and food temperature were all common specific attributes, which were perceived as concerns. These were shortcomings in all three countries. Improving health service quality by applying total relationship management and the 5Qs model together with a customer-orientation strategy is recommended. Results can be used by hospital staff to reengineer and redesign creatively their quality management processes and help move towards more effective healthcare quality strategies. Patients in three countries have similar concerns and quality perceptions. The paper describes a new instrument and method. The study assures relevance, validity and reliability, while being explicitly change-oriented. The authors argue that patient satisfaction is a cumulative construct, summing satisfaction as five different qualities (5Qs): object; processes; infrastructure; interaction and atmosphere.

  2. Improved Manufacturing Process for Pyronaridine Tetraphosphate

    International Nuclear Information System (INIS)

    Lee, Dong Won; Lee, Seung Kyu; Cho, Jun Ho; Yoon, Seung Soo

    2014-01-01

    Pyronaridine tetraphosphate (1) is a well-known antimalarial drug. However, it required a carefully optimized production process for the manufacture of pyronaridine tetraphosphate. Each step of its manufacturing process was reinvestigated. For the cyclization of 4-chloro-2-(6-methoxy-pyridin-3-yl-amino)-benzoic acid 6 to 7,10-dichloro-2-methoxybenzo[b]-1,5-naphthyridine 5, an improved process was developed to eliminated critical process impurity (BIA). By the redesign of the formation of triphosphate salt, the purity as API grade was increased. Thus, a robust manufacturing process with an acceptable process performance has been developed to produce high quality pyronaridine tetraphosphate

  3. Evaluation of economic efficiency of process improvement in food packaging

    Directory of Open Access Journals (Sweden)

    Jana Hron

    2012-01-01

    Full Text Available In general, we make gains in process by the three fundamental ways. First, we define or redefine our process in a strategic sense. Second, once defined or redefined, we commence process operations and use process control methods to target and stabilize our process. Third, we use process improvement methods, as described in this paper, along with process control to fully exploit our process management and/or technology. Process improvement is focused primarily in our subprocesses and sub-subprocesses. Process leverage is the key to process improvement initiatives. This means that small improvements of the basic manufacturing operations can have (with the assumption of mass repetition of the operation a big impact on the functioning of the whole production unit. The complexity within even small organizations, in people, products, and processes, creates significant challenges in effectively and efficiently using these initiatives tools. In this paper we are going to place process purposes in the foreground and initiatives and tools in the background as facilitator to help accomplish process purpose. Initiatives and tools are not the ends we are seeking; result/outcomes in physical, economics, timeliness, and customer service performance matter. In the paper process boundaries (in a generic sense are set by our process purpose and our process definition. Process improvement is initiated within our existing process boundaries. For example, in a fast-food restaurant, if we define our cooking process around a frying technology, then we provide process improvements within our frying technology. On the other hand, if we are considering changing to a broiling technology, then we are likely faced with extensive change, impacting our external customers, and a process redefinition may be required. The result / aim of the paper are based on the example of the process improving of a food packaging quality. Specifically, the integration of two approaches

  4. Infrastructure for large-scale quality-improvement projects: early lessons from North Carolina Improving Performance in Practice.

    Science.gov (United States)

    Newton, Warren P; Lefebvre, Ann; Donahue, Katrina E; Bacon, Thomas; Dobson, Allen

    2010-01-01

    Little is known regarding how to accomplish large-scale health care improvement. Our goal is to improve the quality of chronic disease care in all primary care practices throughout North Carolina. Methods for improvement include (1) common quality measures and shared data system; (2) rapid cycle improvement principles; (3) quality-improvement consultants (QICs), or practice facilitators; (4) learning networks; and (5) alignment of incentives. We emphasized a community-based strategy and developing a statewide infrastructure. Results are reported from the first 2 years of the North Carolina Improving Performance in Practice (IPIP) project. A coalition was formed to include professional societies, North Carolina AHEC, Community Care of North Carolina, insurers, and other organizations. Wave One started with 18 practices in 2 of 9 regions of the state. Quality-improvement consultants recruited practices. Over 80 percent of practices attended all quarterly regional meetings. In 9 months, almost all diabetes measures improved, and a bundled asthma measure improved from 33 to 58 percent. Overall, the magnitude of improvement was clinically and statistically significant (P = .001). Quality improvements were maintained on review 1 year later. Wave Two has spread to 103 practices in all 9 regions of the state, with 42 additional practices beginning the enrollment process. Large-scale health care quality improvement is feasible, when broadly supported by statewide leadership and community infrastructure. Practice-collected data and lack of a control group are limitations of the study design. Future priorities include maintaining improved sustainability for practices and communities. Our long-term goal is to transform all 2000 primary-care practices in our state.

  5. Does supplier evaluation impact process improvement?

    Directory of Open Access Journals (Sweden)

    Shiva Prasad h c

    2016-09-01

    Full Text Available Purpose: The research explores and examines factors for supplier evaluation and its impact on process improvement particularly aiming on a steel pipe manufacturing firm in Gujarat, India. Design/Methodology/approach: The conceptual research framework was developed and hypotheses were stated considering the analysis of literature and discussions with the managers and engineers of a steel pipe manufacturing company in Gujarat, India. Data was collected using in-depth interview. The questionnaire primarily involves the perception of evaluation of supplier. Factors influencing supplier evaluation and its influence on process improvement is also examined in this study. The model testing and validation was done using partial least square method. Outcomes signified that the factors that influence evaluation of the supplier are quality, cost, delivery and supplier relationship management. Findings: The study depicted that quality and cost factors for supplier evaluation are insignificant. The delivery and supplier relationship management have significant influence on evaluation of the supplier. The research also depicted that supplier evaluation has significant influence on process improvement. Research limitations/implications: The study has been made specifically for ABC steel pipe manufacturing industry in Gujarat, India and may not be appropriate to the other industries or any parts of the world. There is a possibility of response bias as the conclusions of this research was interpreted on survey responses taken from the employees of case study company, so it is suggested that future research can overcome this problem by employing various methodologies in addition to surveys like carrying out focus group and in-depth interviews, brainstorming sessions with the experts etc. Originality/value: Many researchers have considered quality, cost and delivery as the factors for evaluating the suppliers. But for a company it is quintessential to have good

  6. THE CUBAN ENTERPRISE, COMPETITIVENESS, IMPROVEMENT BUSINESS AND QUALITY

    Directory of Open Access Journals (Sweden)

    Andrés Fernández-Companioni

    2016-01-01

    Full Text Available This article offers some reflections on the Cuban enterprise, its business process improvement and competitiveness that firms must have in entering the world market. It analyzes the economic policies of the last two Congresses of the Communist Party of Cuba that give importance to the role of the Cuban socialist state enterprise system. It conceptualizes the category: business. Knowledge of the categories: effectiveness, efficiency, effectiveness, competitiveness, quality and productivity must for Cuban entrepreneurs. To increase export capacity, we must address a number of factors such as quality and product innovation, the price level depends on the productivity of the inflation differential between countries and other factors with indirect effects on competitiveness, and service quality or corporate image. Are some of the mistakes made in business development in the country. Relate some of the quality awards granted in the world and which should aim to achieve the necessary visibility in international business. Relate some of the quality awards that are granted in the world and which should aim for Cuban entrepreneurs to achieve the necessary visibility in international business. It provides a table that lists the entities Award Winning Quality in Cuba until 2008. It shows a country ranking of the indicators of global competitiveness generated annually by The Global Competitiveness Report. Reasoning about the causes that led to the business improvement process (SPE (Legislative Decree 252 and Decree 281. 

  7. New pulsating casing collar to improve cementing quality

    Energy Technology Data Exchange (ETDEWEB)

    Chen, P. [Southwest Petroleum Inst., Nanchong, Sichuan (China); He, K. [JiangHan Petroleum Administration Bureau, Qianjiang, Hubei (China); Wu, J. [Chevron Petroleum Tech. Co., Houston, TX (United States)

    1998-12-31

    This paper presents the design and test results of a new pulsating casing collar which improves cementing quality. The new pulsating casing collar (PCC) is designed according to the Helmholtz oscillator to generate a pulsating jet flow by self-excitation in the cementing process. By placing this new pulsating casing collar at the bottom of casing string, the generated pulsating jet flow transmits vibrating pressure waves up through the annulus and helps remove drilling mud in the annulus. It can therefore improve cementing quality, especially when eccentric annulus exists due to casing eccentricity where the mud is difficult to remove. The new pulsating casing collar consists of a top nozzle, a resonant chamber, and a bottom nozzle. It can be manufactured easily and is easy to use in the field. It has been tested in Jianghan oil-field, P.R. China. The field-test results support the theoretical analysis and laboratory test, and the cementing quality is shown greatly improved by using the new pulsating casing collar.

  8. How to Improve the Quality of Screening Endoscopy in Korea: National Endoscopy Quality Improvement Program.

    Science.gov (United States)

    Cho, Yu Kyung

    2016-07-01

    In Korea, gastric cancer screening, either esophagogastroduodenoscopy or upper gastrointestinal series (UGIS), is performed biennially for adults aged 40 years or older. Screening endoscopy has been shown to be associated with localized cancer detection and better than UGIS. However, the diagnostic sensitivity of detecting cancer is not satisfactory. The National Endoscopy Quality Improvement (QI) program was initiated in 2009 to enhance the quality of medical institutions and improve the effectiveness of the National Cancer Screening Program (NCSP). The Korean Society of Gastrointestinal Endoscopy developed quality standards through a broad systematic review of other endoscopic quality guidelines and discussions with experts. The standards comprise five domains: qualifications of endoscopists, endoscopic unit facilities and equipment, endoscopic procedure, endoscopy outcomes, and endoscopic reprocessing. After 5 years of the QI program, feedback surveys showed that the perception of QI and endoscopic practice improved substantially in all domains of quality, but the quality standards need to be revised. How to avoid missing cancer in endoscopic procedures in daily practice was reviewed, which can be applied to the mass screening endoscopy. To improve the quality and effectiveness of NCSP, key performance indicators, acceptable quality standards, regular audit, and appropriate reimbursement are necessary.

  9. Management systems, patient quality improvement, resource availability, and substance abuse treatment quality.

    Science.gov (United States)

    Fields, Dail; Roman, Paul M; Blum, Terry C

    2012-06-01

    To examine the relationships among general management systems, patient-focused quality management/continuous process improvement (TQM/CPI) processes, resource availability, and multiple dimensions of substance use disorder (SUD) treatment. Data are from a nationally representative sample of 221 SUD treatment centers through the National Treatment Center Study (NTCS). The design was a cross-sectional field study using latent variable structural equation models. The key variables are management practices, TQM/continuous quality improvement (CQI) practices, resource availability, and treatment center performance. Interviews and questionnaires provided data from treatment center administrative directors and clinical directors in 2007-2008. Patient-focused TQM/CQI practices fully mediated the relationship between internal management practices and performance. The effects of TQM/CQI on performance are significantly larger for treatment centers with higher levels of staff per patient. Internal management practices may create a setting that supports implementation of specific patient-focused practices and protocols inherent to TQM/CQI processes. However, the positive effects of internal management practices on treatment center performance occur through use of specific patient-focused TQM/CPI practices and have more impact when greater amounts of supporting resources are present. © Health Research and Educational Trust.

  10. Leadership – The Key Element in Improving Quality Management

    Directory of Open Access Journals (Sweden)

    Iveta Paulová

    2011-10-01

    Full Text Available The present contribution is processed partial results of the survey application of leadership as one of the fundamental principles of quality management in organizations in the Slovak Republic. This survey was conducted in the research project VEGA No. 1/0229/08 Perspectives of quality management development in coherence with requirements of Slovak republic market. Results from the survey were the basis for proposals to improve the quality management in Slovak industrial organizations

  11. Systematic Quality Improvement in Medicine: Everyone Can Do It

    Directory of Open Access Journals (Sweden)

    Mark L. Zeidel

    2011-07-01

    Full Text Available In this brief review, written from the perspective of a physician-leader who has fostered the development of comprehensive quality improvement efforts at two academic medical centers, I review the need for improvement, some conceptual barriers that must be overcome, the goals of a comprehensive quality improvement (QI effort, some of the results we have obtained, and some observations on how to develop a culture of continuous improvement in an academic medical center. The mandate for quality improvement is clear; current healthcare is wasteful and error-prone, leading to excessive morbidity and mortality and unsustainably high costs. Successful quality improvement requires the abandonment of two paradigms: the craft model of medical practice and the notion that many forms of harm to patients are not preventable. I will describe how dramatic improvement has been achieved in reducing, by up to 10-fold, rates of central line infections, ventilator-associated pneumonias, peritonitis in peritoneal dialysis patients, and mortality due to cardiac arrest in hospital. I will describe as well how these methods can improve access to out-patient clinics dramatically and enhance the reliability and safety of hand-offs between covering physicians. To develop and maintain systematic quality improvement in all phases of medical care we must articulate a culture in which: everyone working at the medical center makes improvements every day; front-line staff, who know best how the work is done, are empowered to improve the processes of care; and multidisciplinary teams create the protocols that reduce variation that is due to physician preference, leaving only the variation required by the individual needs of patients. I will review as well the crucial elements of education of trainees and faculty members needed to guide and sustain a culture of quality. Finally, I will add some observations on how oversight boards and medical center leaders can help create

  12. Systematic quality improvement in medicine: everyone can do it.

    Science.gov (United States)

    Zeidel, Mark L

    2011-07-01

    In this brief review, written from the perspective of a physician-leader who has fostered the development of comprehensive quality improvement efforts at two academic medical centers, I review the need for improvement, some conceptual barriers that must be overcome, the goals of a comprehensive quality improvement (QI) effort, some of the results we have obtained, and some observations on how to develop a culture of continuous improvement in an academic medical center. The mandate for quality improvement is clear; current healthcare is wasteful and error-prone, leading to excessive morbidity and mortality and unsustainably high costs. Successful quality improvement requires the abandonment of two paradigms: the craft model of medical practice and the notion that many forms of harm to patients are not preventable. I will describe how dramatic improvement has been achieved in reducing, by up to 10-fold, rates of central line infections, ventilator-associated pneumonias, peritonitis in peritoneal dialysis patients, and mortality due to cardiac arrest in hospital. I will describe as well how these methods can improve access to out-patient clinics dramatically and enhance the reliability and safety of hand-offs between covering physicians. To develop and maintain systematic quality improvement in all phases of medical care we must articulate a culture in which: everyone working at the medical center makes improvements every day; front-line staff, who know best how the work is done, are empowered to improve the processes of care; and multidisciplinary teams create the protocols that reduce variation that is due to physician preference, leaving only the variation required by the individual needs of patients. I will review as well the crucial elements of education of trainees and faculty members needed to guide and sustain a culture of quality. Finally, I will add some observations on how oversight boards and medical center leaders can help create systematic quality

  13. Wound Care Center of Excellence: A Process for Continuous Monitoring and Improvement of Wound Care Quality.

    Science.gov (United States)

    Howell, Raelina S; Kohan, Lauren S; Woods, Jon S; Criscitelli, Theresa; Gillette, Brian M; Donovan, Virginia; Gorenstein, Scott

    2018-05-01

    To provide information about a study using a new process for continuous monitoring to improve chronic wound care quality.This continuing education activity is intended for physicians, physician assistants, nurse practitioners, and nurses with an interest in skin and wound care.After completing this continuing education activity, you should be better able to:1. Recognize problems associated with chronic wound care.2. Identify methods used in this project to improve care.3. Illustrate the findings from this and similar projects and implications for providing improved wound care.Patients with chronic wounds require complex care because of comorbidities that can affect healing. Therefore, the goal of this project was to develop a system of reviewing all hospitalized patients seen by the study authors' wound care service on a weekly basis to decrease readmissions, morbidity, and mortality. Weekly multidisciplinary conferences were conducted to evaluate patient data and systematically assess for adherence to wound care protocols, as well as to create and modify patient care plans. This review of pathology and the performance of root-cause analyses often led to improved patient care.

  14. No improvement without learning: prerequisites for learning the relations between process and product quality in practice

    NARCIS (Netherlands)

    Solingen, van D.M.; Berghout, E.W.; Kusters, R.J.; Trienekens, J.J.M.; Bomarius, F.; Oivo, M.

    2000-01-01

    Generic relationships between process and product quality are rarely available, because such relationships are highly depending on context factors; the impact of a certain process on product quality is often largely influenced by the characteristics of the specific organisation, processes, business

  15. Quality-based financial incentives in health care: can we improve quality by paying for it?

    Science.gov (United States)

    Conrad, Douglas A; Perry, Lisa

    2009-01-01

    This article asks whether financial incentives can improve the quality of health care. A conceptual framework drawn from microeconomics, agency theory, behavioral economics, and cognitive psychology motivates a set of propositions about incentive effects on clinical quality. These propositions are evaluated through a synthesis of extant peer-reviewed empirical evidence. Comprehensive financial incentives--balancing rewards and penalties; blending structure, process, and outcome measures; emphasizing continuous, absolute performance standards; tailoring the size of incremental rewards to increasing marginal costs of quality improvement; and assuring certainty, frequency, and sustainability of incentive payoffs--offer the prospect of significantly enhancing quality beyond the modest impacts of prevailing pay-for-performance (P4P) programs. Such organizational innovations as the primary care medical home and accountable health care organizations are expected to catalyze more powerful quality incentive models: risk- and quality-adjusted capitation, episode of care payments, and enhanced fee-for-service payments for quality dimensions (e.g., prevention) most amenable to piece-rate delivery.

  16. Designing quality of care--contributions from parents: Parents' experiences of care processes in paediatric care and their contribution to improvements of the care process in collaboration with healthcare professionals.

    Science.gov (United States)

    Gustavsson, Susanne; Gremyr, Ida; Kenne Sarenmalm, Elisabeth

    2016-03-01

    The aim of this article was to explore whether current quality dimensions for health care services are sufficient to capture how parents perceive and contribute to quality of health care. New quality improvement initiatives that actively involve patients must be examined with a critical view on established quality dimensions to ensure that these measures support patient involvement. This paper used a qualitative and descriptive design. This paper is based on interviews with parents participating in two experience-based co-design projects in a Swedish hospital that included qualitative content analysis of data from 12 parent interviews in paediatric care. Health care professionals often overemphasize their own significance for value creation in care processes and underappreciate parents' ability to influence and contribute to better quality. However, quality is not based solely on how professionals accomplish their task, but is co-created by health care professionals and parents. Consequently, assessment of quality outcomes also must include parents' ability and context. This paper questions current models of quality dimensions in health care, and suggests additional sub-dimensions, such as family quality and involvement quality. This paper underscores the importance of involving parents in health care improvements with health care professionals to capture as many dimensions of quality as possible. © 2015 John Wiley & Sons Ltd.

  17. Measuring health care process quality with software quality measures.

    Science.gov (United States)

    Yildiz, Ozkan; Demirörs, Onur

    2012-01-01

    Existing quality models focus on some specific diseases, clinics or clinical areas. Although they contain structure, process, or output type measures, there is no model which measures quality of health care processes comprehensively. In addition, due to the not measured overall process quality, hospitals cannot compare quality of processes internally and externally. To bring a solution to above problems, a new model is developed from software quality measures. We have adopted the ISO/IEC 9126 software quality standard for health care processes. Then, JCIAS (Joint Commission International Accreditation Standards for Hospitals) measurable elements were added to model scope for unifying functional requirements. Assessment (diagnosing) process measurement results are provided in this paper. After the application, it was concluded that the model determines weak and strong aspects of the processes, gives a more detailed picture for the process quality, and provides quantifiable information to hospitals to compare their processes with multiple organizations.

  18. Process mapping as a framework for performance improvement in emergency general surgery.

    Science.gov (United States)

    DeGirolamo, Kristin; D'Souza, Karan; Hall, William; Joos, Emilie; Garraway, Naisan; Sing, Chad Kim; McLaughlin, Patrick; Hameed, Morad

    2018-02-01

    Emergency general surgery conditions are often thought of as being too acute for the development of standardized approaches to quality improvement. However, process mapping, a concept that has been applied extensively in manufacturing quality improvement, is now being used in health care. The objective of this study was to create process maps for small bowel obstruction in an effort to identify potential areas for quality improvement. We used the American College of Surgeons Emergency General Surgery Quality Improvement Program pilot database to identify patients who received nonoperative or operative management of small bowel obstruction between March 2015 and March 2016. This database, patient charts and electronic health records were used to create process maps from the time of presentation to discharge. Eighty-eight patients with small bowel obstruction (33 operative; 55 nonoperative) were identified. Patients who received surgery had a complication rate of 32%. The processes of care from the time of presentation to the time of follow-up were highly elaborate and variable in terms of duration; however, the sequences of care were found to be consistent. We used data visualization strategies to identify bottlenecks in care, and they showed substantial variability in terms of operating room access. Variability in the operative care of small bowel obstruction is high and represents an important improvement opportunity in general surgery. Process mapping can identify common themes, even in acute care, and suggest specific performance improvement measures.

  19. Improving patient care through student leadership in team quality improvement projects.

    Science.gov (United States)

    Tschannen, Dana; Aebersold, Michelle; Kocan, Mary Jo; Lundy, Francene; Potempa, Kathleen

    2015-01-01

    In partnership with a major medical center, senior-level nursing students completed a root cause analysis and implementation plan to address a unit-specific quality issue. To evaluate the project, unit leaders were asked their perceptions of the value of the projects and impact on patient care, as well as to provide exemplars depicting how the student root cause analysis work resulted in improved patient outcome and/or unit processes. Liaisons noted benefits of having an RCA team, with positive impact on patient outcomes and care processes.

  20. Developing a lean measurement system to enhance process improvement

    Directory of Open Access Journals (Sweden)

    Lewis P.

    2013-01-01

    Full Text Available A key ingredient to underpin process improvement is a robust, reliable, repeatable measurement system. Process improvement activity needs to be supported by accurate and precise data because effective decision making, within process improvement activity, demands the use of “hard” data. One of the oldest and most established process improvement methods is Deming’s Plan-Do-Check-Act (PDCA cycle which is reliant on the check phase, a measurement activity where data is being gathered and evaluated. Recent expansions of the PDCA such as the Six-Sigma Define-Measure-Analyse-Improve-Control (DMAIC methodology place significant importance upon measurement. The DMAIC cycle incorporates the regimented requirement for the inclusion of measurement system analysis (MSA into the breakthrough strategy. The call for MSA within the DMAIC cycle is to provide the improvement activity with a robust measurement system that will ensure a pertinent level of data during any validation process. The Lean methodology is heavily centred on the removal of the seven Mudas (wastes from a manufacturing process: defects, overproduction, transportation, waiting, inventory, motion and processing. The application of lean, particularly within the manufacturing industry, has led to a perception that measurement is a waste within a manufacturing process because measurement processes identify defective products. The metrologists’ pursuit for measurement excellence could be construed as a hindrance by the “cost down” demands being perpetrated from the same organisation’s lean policy. So what possible benefits does enforcing the regimes of the lean and quality philosophies upon the measurement process have and how does this ultimately enhance the process improvement activity? The key fundamental to embed with any process improvement is the removal of waste. The process improvement techniques embedded within lean and quality concepts are extremely powerful practices in the

  1. Business Processes Improvement in e-Supply Chains

    Directory of Open Access Journals (Sweden)

    Dragana Rejman Petrovic

    2016-12-01

    Full Text Available The development of a model to improve business processes in e-supply chains involves the use of a process approach to and a methodology for information systems development and includes the definition of the requirement, logical key process modeling with the defined information flow within the logical subsystem, logical data modeling, the logical network and data distribution modeling, and the logical interface modeling in order to meet different users´ needs. In doing so, a variety of methods and techniques for the different development stages of management information systems are used, namely: the business process management, the business process performance management and the analytic hierarchy process as a method for multiple-criteria decision making. This paper analyzes business processes and different types of supply chains in the automotive industry, as the representative of complex systems. Based on the selected key business processes and key performance indicators of business processes, the analytic hierarchy process model is developed, followed by their optimization. The result is the management information system model intended to improve the key business processes in e-supply chains. The research results show that the performance improvement of business processes in e-supply chains, the application of the model to improve the key business processes and the application of Web-based information and communication solutions affect the efficiency and quality of e-supply chains.

  2. Improving health care quality and safety: the role of collective learning

    Directory of Open Access Journals (Sweden)

    Singer SJ

    2015-11-01

    Full Text Available Sara J Singer,1–4 Justin K Benzer,4–6 Sami U Hamdan4,6 1Department of Health Policy and Management, Harvard T.H. Chan School of Public Health, Boston, MA, USA; 2Department of Medicine, Harvard Medical School, Boston, MA, USA; 3Mongan Institute for Health Policy, Massachusetts General Hospital, Boston, MA, USA; 4Center for Healthcare Organization and Implementation Research, VA Boston Healthcare System, Boston, MA, USA; 5VISN 17 Center of Excellence for Research on Returning War Veterans, Waco, TX, USA; 6Department of Health Policy and Management, Boston University School of Public Health, Boston, MA, USA Abstract: Despite decades of effort to improve quality and safety in health care, this goal feels increasingly elusive. Successful examples of improvement are infrequently replicated. This scoping review synthesizes 76 empirical or conceptual studies (out of 1208 originally screened addressing learning in quality or safety improvement, that were published in selected health care and management journals between January 2000 and December 2014 to deepen understanding of the role that collective learning plays in quality and safety improvement. We categorize learning activities using a theoretical model that shows how leadership and environmental factors support collective learning processes and practices, and in turn team and organizational improvement outcomes. By focusing on quality and safety improvement, our review elaborates the premise of learning theory that leadership, environment, and processes combine to create conditions that promote learning. Specifically, we found that learning for quality and safety improvement includes experimentation (including deliberate experimentation, improvisation, learning from failures, exploration, and exploitation, internal and external knowledge acquisition, performance monitoring and comparison, and training. Supportive learning environments are characterized by team characteristics like psychological

  3. Do Clinical Practice Guidelines Improve Quality?

    Science.gov (United States)

    Baldassari, Cristina M

    2017-07-01

    Controversy exists surrounding how to best define and assess quality in the health care setting. Clinical practice guidelines (CPGs) have been developed to improve the quality of medical care by highlighting key clinical recommendations based on recent evidence. However, data linking CPGs to improvements in outcomes in otolaryngology are lacking. Numerous barriers contribute to difficulties in translating CPGs to improvements in quality. Future initiatives are needed to improve CPG adherence and define the impact of CPG recommendations on the quality of otolaryngologic care provided to our patients.

  4. Significant improvement in the thermal annealing process of optical resonators

    Science.gov (United States)

    Salzenstein, Patrice; Zarubin, Mikhail

    2017-05-01

    Thermal annealing performed during process improves the quality of the roughness of optical resonators reducing stresses at the periphery of their surface thus allowing higher Q-factors. After a preliminary realization, the design of the oven and the electronic method were significantly improved thanks to nichrome resistant alloy wires and chopped basalt fibers for thermal isolation during the annealing process. Q-factors can then be improved.

  5. Improving Vintage Seismic Data Quality through Implementation of Advance Processing Techniques

    Science.gov (United States)

    Latiff, A. H. Abdul; Boon Hong, P. G.; Jamaludin, S. N. F.

    2017-10-01

    It is essential in petroleum exploration to have high resolution subsurface images, both vertically and horizontally, in uncovering new geological and geophysical aspects of our subsurface. The lack of success may have been from the poor imaging quality which led to inaccurate analysis and interpretation. In this work, we re-processed the existing seismic dataset with an emphasis on two objectives. Firstly, to produce a better 3D seismic data quality with full retention of relative amplitudes and significantly reduce seismic and structural uncertainty. Secondly, to facilitate further prospect delineation through enhanced data resolution, fault definitions and events continuity, particularly in syn-rift section and basement cover contacts and in turn, better understand the geology of the subsurface especially in regard to the distribution of the fluvial and channel sands. By adding recent, state-of-the-art broadband processing techniques such as source and receiver de-ghosting, high density velocity analysis and shallow water de-multiple, the final results produced a better overall reflection detail and frequency in specific target zones, particularly in the deeper section.

  6. Improving of the management quality of human resources

    OpenAIRE

    Miceski, Trajko

    2004-01-01

    Improvement of the management quality of human resources is a continuous process, based on multidimensional concept of activities, with special emphasis on increasing the level of competence of the employees both in their working place and in additional activities in the decision making bodies.

  7. A Model to Improve the Quality Products

    Directory of Open Access Journals (Sweden)

    Hasan GOKKAYA

    2010-08-01

    Full Text Available The topic of this paper is to present a solution who can improve product qualityfollowing the idea: “Unlike people who have verbal skills, machines use "sign language"to communicate what hurts or what has invaded their system’. Recognizing the "signs"or symptoms that the machine conveys is a required skill for those who work withmachines and are responsible for their care and feeding. The acoustic behavior of technical products is predominantly defined in the design stage, although the acoustic characteristics of machine structures can be analyze and give a solution for the actual products and create a new generation of products. The paper describes the steps intechnological process for a product and the solution who will reduce the costs with the non-quality of product and improve the management quality.

  8. Methods and Conditions for Achieving Continuous Improvement of Processes

    OpenAIRE

    Florica BADEA; Catalina RADU; Ana-Maria GRIGORE

    2010-01-01

    In the early twentieth century, the Taylor model improved, in a spectacular maner the efficiency of the production processes. This allowed obtaining high productivity by low-skilled workers, but used in large number in the execution of production. Currently this model is questioned by experts and was replaced by the concept of "continuous improvement". The first signs of change date from the '80s, with the apparition of quality circles and groups of operators on quality issues, principles whi...

  9. Simulation modeling of quality assurance processes in an industrial plant

    Directory of Open Access Journals (Sweden)

    Gumerov Anwar Vazykhovich

    2013-11-01

    Full Text Available Quality management and the need for continuous improvement requires the development of methods of analysis and diagnostic parameters. The use of simulation techniques and statistical quality control methods will provide the basis for process control of industrial enterprises.

  10. Mobile Phone Apps to Improve Medication Adherence: A Systematic Stepwise Process to Identify High-Quality Apps.

    Science.gov (United States)

    Santo, Karla; Richtering, Sarah S; Chalmers, John; Thiagalingam, Aravinda; Chow, Clara K; Redfern, Julie

    2016-12-02

    There are a growing number of mobile phone apps available to support people in taking their medications and to improve medication adherence. However, little is known about how these apps differ in terms of features, quality, and effectiveness. We aimed to systematically review the medication reminder apps available in the Australian iTunes store and Google Play to assess their features and their quality in order to identify high-quality apps. This review was conducted in a similar manner to a systematic review by using a stepwise approach that included (1) a search strategy; (2) eligibility assessment; (3) app selection process through an initial screening of all retrieved apps and full app review of the included apps; (4) data extraction using a predefined set of features considered important or desirable in medication reminder apps; (5) analysis by classifying the apps as basic and advanced medication reminder apps and scoring and ranking them; and (6) a quality assessment by using the Mobile App Rating Scale (MARS), a reliable tool to assess mobile health apps. We identified 272 medication reminder apps, of which 152 were found only in Google Play, 87 only in iTunes, and 33 in both app stores. Apps found in Google Play had more customer reviews, higher star ratings, and lower cost compared with apps in iTunes. Only 109 apps were available for free and 124 were recently updated in 2015 or 2016. Overall, the median number of features per app was 3.0 (interquartile range 4.0) and only 18 apps had ≥9 of the 17 desirable features. The most common features were flexible scheduling that was present in 56.3% (153/272) of the included apps, medication tracking history in 54.8% (149/272), snooze option in 34.9% (95/272), and visual aids in 32.4% (88/272). We classified 54.8% (149/272) of the included apps as advanced medication reminder apps and 45.2% (123/272) as basic medication reminder apps. The advanced apps had a higher number of features per app compared with the

  11. Quality Improvement in Critical Care: Selection and Development of Quality Indicators

    Science.gov (United States)

    Martin, Claudio M.; Project, The Quality Improvement in Critical Care

    2016-01-01

    Background. Caring for critically ill patients is complex and resource intensive. An approach to monitor and compare the function of different intensive care units (ICUs) is needed to optimize outcomes for patients and the health system as a whole. Objective. To develop and implement quality indicators for comparing ICU characteristics and performance within and between ICUs and regions over time. Methods. Canadian jurisdictions with established ICU clinical databases were invited to participate in an iterative series of face-to-face meetings, teleconferences, and web conferences. Eighteen adult intensive care units across 14 hospitals and 5 provinces participated in the process. Results. Six domains of ICU function were identified: safe, timely, efficient, effective, patient/family satisfaction, and staff work life. Detailed operational definitions were developed for 22 quality indicators. The feasibility was demonstrated with the collection of 3.5 years of data. Statistical process control charts and graphs of composite measures were used for data display and comparisons. Medical and nursing leaders as well as administrators found the system to be an improvement over prior methods. Conclusions. Our process resulted in the selection and development of 22 indicators representing 6 domains of ICU function. We have demonstrated the feasibility of such a reporting system. This type of reporting system will demonstrate variation between units and jurisdictions to help identify and prioritize improvement efforts. PMID:27493476

  12. How Does Software Process Improvement Address Global Software Engineering?

    DEFF Research Database (Denmark)

    Kuhrmann, Marco; Diebold, Philipp; Münch, Jürgen

    2016-01-01

    For decades, Software Process Improvement (SPI) programs have been implemented, inter alia, to improve quality and speed of software development. To set up, guide, and carry out SPI projects, and to measure SPI state, impact, and success, a multitude of different SPI approaches and considerable...

  13. 42 CFR 486.348 - Condition: Quality assessment and performance improvement (QAPI).

    Science.gov (United States)

    2010-10-01

    ... Coverage: Organ Procurement Organizations Organ Procurement Organization Process Performance Measures § 486.348 Condition: Quality assessment and performance improvement (QAPI). The OPO must develop, implement... 42 Public Health 5 2010-10-01 2010-10-01 false Condition: Quality assessment and performance...

  14. Business process quality management

    NARCIS (Netherlands)

    Reijers, H.A.; Mendling, J.; Recker, J.; Brocke, vom J.; Rosemann, M.

    2010-01-01

    Abstract Process modeling is a central element in any approach to Business Process Management (BPM). However, what hinders both practitioners and aca demics is the lack of support for assessing the quality of process models — let alone realizing high quality process models. Existing frameworks are

  15. Study on the Application of 6σ Method in Shoemaking Enterprise Quality Improvement

    Directory of Open Access Journals (Sweden)

    Wang Ting

    2017-01-01

    Full Text Available With the development of science as well as technology and the influx of shoemaking companies abroad, our traditional shoemaking enterprises face greater pressure to survive, and product quality problems are in urgent need of improvement. Aiming at the quality problems of M shoemaking enterprise, DMAIC method is used to conduct the product quality improvement, and a mathematical statistics software Minitab is used to analyze the data. Moreover, the optimum combination of the key influencing factors is determined by orthogonal experiment design as well as a set of specific quality improvement plans are put forward. Finally, the production process capability of M enterprise is improved, the product bonding strength is enhanced, customer satisfaction is improved, and the thoughts of product quality improvement and reference are provided for domestic traditional shoemaking enterprises.

  16. Data quality and processing for decision making: divergence between corporate strategy and manufacturing processes

    Science.gov (United States)

    McNeil, Ronald D.; Miele, Renato; Shaul, Dennis

    2000-10-01

    Information technology is driving improvements in manufacturing systems. Results are higher productivity and quality. However, corporate strategy is driven by a number of factors and includes data and pressure from multiple stakeholders, which includes employees, managers, executives, stockholders, boards, suppliers and customers. It is also driven by information about competitors and emerging technology. Much information is based on processing of data and the resulting biases of the processors. Thus, stakeholders can base inputs on faulty perceptions, which are not reality based. Prior to processing, data used may be inaccurate. Sources of data and information may include demographic reports, statistical analyses, intelligence reports (e.g., marketing data), technology and primary data collection. The reliability and validity of data as well as the management of sources and information is critical element to strategy formulation. The paper explores data collection, processing and analyses from secondary and primary sources, information generation and report presentation for strategy formulation and contrast this with data and information utilized to drive internal process such as manufacturing. The hypothesis is that internal process, such as manufacturing, are subordinate to corporate strategies. The impact of possible divergence in quality of decisions at the corporate level on IT driven, quality-manufacturing processes based on measurable outcomes is significant. Recommendations for IT improvements at the corporate strategy level are given.

  17. From fishing to fish processing: Separation of fish from crustaceans in the Norway lobster-directed multispecies trawl fishery improves seafood quality

    DEFF Research Database (Denmark)

    Karlsen, Junita Diana; Krag, Ludvig Ahm; Albertsen, Christoffer Moesgaard

    2015-01-01

    Fishing gears have negative impacts on seafood quality, especially on fish in the mixed trawl fishery targeting Norway lobster (Nephrops norvegicus). In this fishery, which is worth about €80 millions in Denmark alone, the quality of fish can be significantly improved by simple gear changes....... A trawl codend divided into an upper and lower codend was designed to separate fish from Norway lobster during the fishing process by encourage fish to swim into the upper codend by using a frame at the entrance of the lower codend. Separate codends for fish and Norway lobster in the same gear provide...... with hard or spiny surfaces due to successful separation of fish and Norway lobster into the upper and lower codends, respectively, and by lower catch weight in the upper codend of the test codend compared with the standard codend. The decrease in damages may also improve quality indirectly by inflicting...

  18. Quality improvement – rival or ally of practice development?

    Directory of Open Access Journals (Sweden)

    Gavin Lavery

    2016-05-01

    Full Text Available The majority of nurses, doctors, allied health professionals and other healthcare staff possess a strong desire to provide the best possible care and experience for their patients. Unfortunately, this sometimes falls short of what was planned or intended (Institute of Medicine, 2001, p 23. The gap between care intended and care delivered can be explained by problems with systems and processes and/or people and culture. The narrowing of this gap is the focus of many strategies, methodologies and approaches. Practice development is described as ‘an activity focused on developing people and practice for the ultimate purpose of achieving high-quality person-centred care’ and a ‘methodology that aims to achieve effective workplace cultures that are person-centred’ (Shaw, 2012; Manley et al., 2014. Practice development undoubtedly has a strong focus on people and culture and the potential to change processes and systems. Another approach, widely referred to as quality improvement, aims to improve safety, effectiveness and person-centredness in healthcare using principles proven effective in other industries such as manufacturing – notably car manufacturing (Toyota, oil production, nuclear power and aviation. The fundamental principles of quality improvement for healthcare include making care reliable (every patient receiving care as intended every time, using well-designed processes and reducing variation (agreeing a consistent way to provide care. At first glance this may appear to be an approach suited only to systems and processes. However, better processes, designed by those who use them, resulting in fewer errors and less re-working or working around problems, can reduce stress on staff, offer greater job satisfaction with improved morale and free up time to provide person-centred care. In his report, A Promise to Learn – a Commitment to Act (2013, p 24, Professor Don Berwick says: ‘Mastery of quality and patient safety sciences and

  19. Quality improvement and emerging global health priorities

    Science.gov (United States)

    Mensah Abrampah, Nana; Syed, Shamsuzzoha Babar; Hirschhorn, Lisa R; Nambiar, Bejoy; Iqbal, Usman; Garcia-Elorrio, Ezequiel; Chattu, Vijay Kumar; Devnani, Mahesh; Kelley, Edward

    2018-01-01

    Abstract Quality improvement approaches can strengthen action on a range of global health priorities. Quality improvement efforts are uniquely placed to reorient care delivery systems towards integrated people-centred health services and strengthen health systems to achieve Universal Health Coverage (UHC). This article makes the case for addressing shortfalls of previous agendas by articulating the critical role of quality improvement in the Sustainable Development Goal era. Quality improvement can stimulate convergence between health security and health systems; address global health security priorities through participatory quality improvement approaches; and improve health outcomes at all levels of the health system. Entry points for action include the linkage with antimicrobial resistance and the contentious issue of the health of migrants. The work required includes focussed attention on the continuum of national quality policy formulation, implementation and learning; alongside strengthening the measurement-improvement linkage. Quality improvement plays a key role in strengthening health systems to achieve UHC. PMID:29873793

  20. Surface quality in rapid prototype MMD process

    Directory of Open Access Journals (Sweden)

    Lisandro Vargas Henríquez

    2004-09-01

    Full Text Available This article summarises a Manufacturing Materials and Processes MSc thesis written for the Mechanical and Electrical Engineering Department. The paper shows the interaction of process, gap (deposition distance and extursion terminal velocity modelled process parameters for CEIF's (Centro de Equipos Interfacultades rapid prototype molten material deposit (MMD Titan SH-1 machine by analysing prototupes improved surface quality and resistence to tension and characterising material. The project applies experimental design criteria for orientating the selection of experimental process parameters. Acrylonitrile-buttadin-styrene (ABS had alredy been mechanically and physicochemically characterised (i.e the material used in the MMD process.

  1. Evaluating Fidelity to a Modified NIATx Process Improvement Strategy for Improving HIV Services in Correctional Facilities.

    Science.gov (United States)

    Pankow, Jennifer; Willett, Jennifer; Yang, Yang; Swan, Holly; Dembo, Richard; Burdon, William M; Patterson, Yvonne; Pearson, Frank S; Belenko, Steven; Frisman, Linda K

    2018-04-01

    In a study aimed at improving the quality of HIV services for inmates, an organizational process improvement strategy using change teams was tested in 14 correctional facilities in 8 US states and Puerto Rico. Data to examine fidelity to the process improvement strategy consisted of quantitative ratings of the structural and process components of the strategy and qualitative notes that explicate challenges in maintaining fidelity to the strategy. Fidelity challenges included (1) lack of communication and leadership within change teams, (2) instability in team membership, and (3) issues with data utilization in decision-making to implement improvements to services delivery.

  2. Software process improvement in CMS-are we different?

    International Nuclear Information System (INIS)

    Wellisch, J.P.

    2001-01-01

    One of the most challenging issues faced in HEP in recent years is the question of how to capitalise on software development and maintenance experience in a continuous manner. To capitalise in our context means to evaluate and apply new technologies as they arise, and to further evolve technologies already widely in use. It also implies the definition and adoption of standards, while ensuring reproducibility and quality of results. The CMS process improvement effort is two-pronged. It aims at continuous improvement of the ways we do Object Oriented software, as well as continuous improvement in the efficiency of the working environment. In particular the use and creation of de-facto software process standards within CMS has proven to be key to successful software process improvement program. The authors describe the successful CMS implementation of a software process improvement strategy, following ISO 15504 since three years. The authors give the current status of the most important processes families formally established in CMS, and provide the guidelines followed both for tool development, and methodology establishment

  3. The Value of Quality Improvement Process in the Detection and Correction of Common Errors in Echocardiographic Hemodynamic Parameters in a Busy Echocardiography Laboratory.

    Science.gov (United States)

    Fanari, Zaher; Choudhry, Usman I; Reddy, Vivek K; Eze-Nliam, Chete; Hammami, Sumaya; Kolm, Paul; Weintraub, William S; Marshall, Erik S

    2015-12-01

    Accurate assessment of cardiac structures, ventricular function, and hemodynamics is essential for any echocardiographic laboratory. Quality improvement (QI) processes described by the American Society of Echocardiography (ASE) and the Intersocietal Commission (IAC) should be instrumental in reaching this goal. All patients undergoing transthoracic echocardiogram (TTE) followed by cardiac catheterization within 24 hours at Christiana Care Health System in 2011 and 2012 were identified, with 126 and 133 cases, respectively. Hemodynamic parameters of diastolic function and pulmonary artery systolic pressure (PASP) on TTE correlated poorly with catheterization in 2011. An educational process was developed and implemented at quarterly QI meetings based on ASE and IAC recommendations to target frequently encountered errors and provide methods for improved performance. The hemodynamic parameters were then reexamined in 2012 postintervention. Following the QI process, there was significant improvement in the correlation between invasive and echocardiographic hemodynamic measurements in both systolic and diastolic function, and PASP. This reflected in significant better correlations between echo and cath LVEF [R = 0.88, ICC = 0.87 vs. R = 0.85, ICC = 0.85; P process, as recommended by ASE and IAC, can allow for identification as well as rectification of quality issues in a large regional academic medical center hospital. © 2015, Wiley Periodicals, Inc.

  4. Deciphering the imperative: translating public health quality improvement into organizational performance management gains.

    Science.gov (United States)

    Beitsch, Leslie M; Yeager, Valerie A; Moran, John

    2015-03-18

    With the launching of the national public health accreditation program under the auspices of the Public Health Accreditation Board (PHAB), health department momentum around quality improvement adoption has accelerated. Domain 9 of the PHAB standards (one of 12 domains) focuses on evaluation and improvement of performance and is acting as a strong driver for quality improvement and performance management implementation within health departments. Widespread adoption of quality improvement activities in public health trails that in other US sectors. Several performance management models have received broad acceptance, including models among government and nonprofits. A model specifically for public health has been developed and is presented herein. All models in current use reinforce customer focus; streamlined, value-added processes; and strategic alignment. All are structured to steer quality improvement efforts toward organizational priorities, ensuring that quality improvement complements performance management. High-performing health departments harness the synergy of quality improvement and performance management, providing powerful tools to achieve public health strategic imperatives.

  5. Concept Evaluation Using the PDSA Cycle for Continuous Quality Improvement.

    Science.gov (United States)

    Laverentz, Delois Meyer; Kumm, Sharon

    As concept-based nursing education gains popularity, there is little literature on how to sustain quality after initiation of the curriculum. Critical appraisal of concepts in a university program revealed varying definitions, attributes, and exemplars resulting in student confusion. The Plan, Do, Study, Act (PDSA) cycle for continuous quality improvement was used for concept evaluation. The goals of the evaluation project were: 1) to develop common definition and attributes for concepts and 2) to develop horizontal and vertical leveling of exemplars to build on prior student learning. The continuous quality improvement process can be used to prevent "concept creep" and ensure internal consistency of concept definitions, attributes, and exemplars.

  6. Improving inpatient postnatal services: midwives views and perspectives of engagement in a quality improvement initiative

    Directory of Open Access Journals (Sweden)

    Wray Julie

    2011-11-01

    Full Text Available Abstract Background Despite major policy initiatives in the United Kingdom to enhance women's experiences of maternity care, improving in-patient postnatal care remains a low priority, although it is an aspect of care consistently rated as poor by women. As part of a systems and process approach to improving care at one maternity unit in the South of England, the views and perspectives of midwives responsible for implementing change were sought. Methods A Continuous Quality Improvement (CQI approach was adopted to support a systems and process change to in-patient care and care on transfer home in a large district general hospital with around 6000 births a year. The CQI approach included an initial assessment to identify where revisions to routine systems and processes were required, developing, implementing and evaluating revisions to the content and documentation of care in hospital and on transfer home, and training workshops for midwives and other maternity staff responsible for implementing changes. To assess midwifery views of the quality improvement process and their engagement with this, questionnaires were sent to those who had participated at the outset. Results Questionnaires were received from 68 (46% of the estimated 149 midwives eligible to complete the questionnaire. All midwives were aware of the revisions introduced, and two-thirds felt these were more appropriate to meet the women's physical and emotional health, information and support needs. Some midwives considered that the introduction of new maternal postnatal records increased their workload, mainly as a consequence of colleagues not completing documentation as required. Conclusions This was the first UK study to undertake a review of in-patient postnatal services. Involvement of midwives at the outset was essential to the success of the initiative. Midwives play a lead role in the planning and organisation of in-patient postnatal care and it was important to obtain their

  7. Quality improvement primer part 1: Preparing for a quality improvement project in the emergency department.

    Science.gov (United States)

    Chartier, Lucas B; Cheng, Amy H Y; Stang, Antonia S; Vaillancourt, Samuel

    2018-01-01

    Emergency medicine (EM) providers work in a fast-paced and often hectic environment that has a high risk for patient safety incidents and gaps in the quality of care. These challenges have resulted in opportunities for frontline EM providers to play a role in quality improvement (QI) projects. QI has developed into a mature field with methodologies that can dramatically improve the odds of having a successful project with a sustainable impact. However, this expertise is not yet commonly taught during professional training. In this first of three articles meant as a QI primer for EM clinicians, we will introduce QI methodology and strategic planning using a fictional case study as an example. We will review how to identify a QI problem, define components of an effective problem statement, and identify stakeholders and core change team members. We will also describe three techniques used to perform root cause analyses-Ishikawa diagrams, Pareto charts and process mapping-and how they relate to preparing for a QI project. The next two papers in this series will focus on the execution of the QI project itself using rapid-cycle testing and on the evaluation and sustainability of QI projects.

  8. Introduction of the new process and quality control methods in fuel fabrication at Siemens/ANF

    International Nuclear Information System (INIS)

    Rogge, K.T.; Fickers, H.H.; Doerr, W.

    2000-01-01

    The central point of ANFs quality philosophy is the process of continuous improvements. With respect to the causes of defects and the efforts needed for elimination, the importance of continuous improvements is evident. In most of the cases, defects are caused in the initial stages of a product but the majority of the problems will be only detected during fabrication and inspection and in the worst case when the product is already in use. Goal of the improvement process is to assure a high product quality. Therefore, the efforts are focused on robust and centered processes. A reasonable quality planning is the basis for achieving and maintaining the quality targets. Quality planning includes prefabrication studies, in-process inspections and final inspections. The inspections provide a large amount of various quality data, process parameters as well as product proper-ties. Key data will be defined and subjected to a statistical analysis. In view of the effectiveness of the analysis, it is important, that the process parameters which influence the characteristics of the product are well known and that appropriate methods for data evaluation and visualization will be used. Main approach of the data visualization is to obtain a tighter control of the product properties and to improve the process robustness by implementation of defined improvements. With respect to the fuel safety and fuel performance, the presentation shows for typical product quality characteristics some examples of visualized quality data. The examples includes the integrity of the pellet column (rod scanner results), the spring force of PWR spacers (critical characteristic with regard to rod fretting) and the spacer intersection weld size (thermo-hydraulic fuel bundle behaviour). The presentation also includes an example for the statistical process control, the in-line surveillance of the fuel rod weld parameters which assures the integrity of the welds within tight tolerance ranges. The quality

  9. Does competition improve health care quality?

    Science.gov (United States)

    Scanlon, Dennis P; Swaminathan, Shailender; Lee, Woolton; Chernew, Michael

    2008-12-01

    To identify the effect of competition on health maintenance organizations' (HMOs) quality measures. Longitudinal analysis of a 5-year panel of the Healthcare Effectiveness Data and Information Set (HEDIS) and Consumer Assessment of Health Plans Survey(R) (CAHPS) data (calendar years 1998-2002). All plans submitting data to the National Committee for Quality Assurance (NCQA) were included regardless of their decision to allow NCQA to disclose their results publicly. NCQA, Interstudy, the Area Resource File, and the Bureau of Labor Statistics. Fixed-effects models were estimated that relate HMO competition to HMO quality controlling for an unmeasured, time-invariant plan, and market traits. Results are compared with estimates from models reliant on cross-sectional variation. Estimates suggest that plan quality does not improve with increased levels of HMO competition (as measured by either the Herfindahl index or the number of HMOs). Similarly, increased HMO penetration is generally not associated with improved quality. Cross-sectional models tend to suggest an inverse relationship between competition and quality. The strategies that promote competition among HMOs in the current market setting may not lead to improved HMO quality. It is possible that price competition dominates, with purchasers and consumers preferring lower premiums at the expense of improved quality, as measured by HEDIS and CAHPS. It is also possible that the fragmentation associated with competition hinders quality improvement.

  10. The theory, practice, and future of process improvement in general thoracic surgery.

    Science.gov (United States)

    Freeman, Richard K

    2014-01-01

    Process improvement, in its broadest sense, is the analysis of a given set of actions with the aim of elevating quality and reducing costs. The tenets of process improvement have been applied to medicine in increasing frequency for at least the last quarter century including thoracic surgery. This review outlines the theory underlying process improvement, the currently available data sources for process improvement and possible future directions of research. Copyright © 2015 Elsevier Inc. All rights reserved.

  11. Using Value Stream Mapping to improve quality of care in low-resource facility settings.

    Science.gov (United States)

    Ramaswamy, Rohit; Rothschild, Claire; Alabi, Funmi; Wachira, Eric; Muigai, Faith; Pearson, Nick

    2017-11-01

    Jacaranda Health (JH) is a Kenya-based organization that attempts to provide affordable, high-quality maternal and newborn healthcare through a chain of private health facilities in Nairobi. JH needed to adopted quality improvement as an organization-wide strategy to optimize effectiveness and efficiency. Value Stream Mapping, a Lean Management tool, was used to engage staff in prioritizing opportunities to improve clinical outcomes and patient-centered quality of care. Implementation was accomplished through a five-step process: (i) leadership engagement and commitment; (ii) staff training; (iii) team formation; (iv) process walkthrough; and (v) construction and validation. The Value Stream Map allowed the organization to come together and develop an end-to-end view of the process of care at JH and to select improvement opportunities for the entire system. The Value Stream Map is a simple visual tool that allows organizations to engage staff at all levels to gain commitment around quality improvement efforts. © The Author 2017. Published by Oxford University Press in association with the International Society for Quality in Health Care. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com

  12. QUALITY IMPROVEMENT IN MULTIRESPONSE EXPERIMENTS THROUGH ROBUST DESIGN METHODOLOGY

    Directory of Open Access Journals (Sweden)

    M. Shilpa

    2012-06-01

    Full Text Available Robust design methodology aims at reducing the variability in the product performance in the presence of noise factors. Experiments involving simultaneous optimization of more than one quality characteristic are known as multiresponse experiments which are used in the development and improvement of industrial processes and products. In this paper, robust design methodology is applied to optimize the process parameters during a particular operation of rotary driving shaft manufacturing process. The three important quality characteristics of the shaft considered here are of type Nominal-the-best, Smaller-the-better and Fraction defective. Simultaneous optimization of these responses is carried out by identifying the control parameters and conducting the experimentation using L9 orthogonal array.

  13. A model for ageing-home-care service process improvement

    OpenAIRE

    Yu, Shu-Yan; Shie, An-Jin

    2017-01-01

    The purpose of this study was to develop an integrated model to improve service processes in ageing-home-care. According to the literature, existing service processes have potential service failures that affect service quality and efficacy. However, most previous studies have only focused on conceptual model development using New Service Development (NSD) and fail to provide a systematic model to analyse potential service failures and facilitate managers developing solutions to improve the se...

  14. Moving up the Ladder: How Do States Deliver Quality Improvement Supports within Their Quality Rating and Improvement Systems? Brief

    Science.gov (United States)

    Holod, Aleksandra; Faria, Ann-Marie; Weinberg, Emily; Howard, Eboni

    2015-01-01

    As national attention has increasingly focused on the potential for high-quality early childhood education (ECE) to improve children's school readiness, states have developed quality rating and improvement systems (QRISs) to document the quality of ECE programs, support systematic quality improvement, and provide clear information to families…

  15. Total quality drives nuclear plant improvements

    International Nuclear Information System (INIS)

    Richey, R.B.

    1991-01-01

    Total quality (TQ) at Carolina Power and Light (CP and L) is fulfilling a 1985 vision of Sherwood H. Smith, Jr., CP and L's chairman, president, and chief executive officer. The TQ concept has provided a way for employees to align their creative energies toward meeting the business needs of the company. Throughout CP and L, TQ has been recognized as the vehicle for reducing operating costs and improving customer satisfaction. Within the nuclear organization, application of the TQ process has helped to improve communications, resolve challenges, and provide more consistent work practices among CP and L's three nuclear plants. Total quality was introduced from the top down, with initial benefits coming from team interactions. Senior management at CP and L defined the corporate expectations and outlined the training requirements for implementing TQ. Management staffs at each organizational level became steering committees for TQ team activities within their departments. Teams of employees most knowledgeable about a given work area were empowered to solve problems or overcome obstacles related to that work area. Employees learned to become better team players and to appreciate the quality of decisions reached through group consensus. Now, formalized methods that started TQ are becoming part of the day-to-day work ethic

  16. Analysis of Drying Process Quality in Conventional Dry-Kilns

    OpenAIRE

    Sedlar Tomislav; Pervan Stjepan

    2010-01-01

    This paper presents testing results of drying quality in a conventional dry kiln. Testing is based on a new methodology that will show the level of success of the drying process management by analyzing the quality of drying process in a conventional dry kiln, using a scientifi cally improved version of the check list in everyday practical applications. A company that specializes in lamel and classic parquet production was chosen so as to verify the new testing methodology. A total of 56 m3 of...

  17. DOES QUALITY IMPROVEMENT IMPROVE PATIENTS’ HEALTH? A SYSTEMATIC REVIEW OF MEASURES OF EFFECT USED IN PDSA PROJECTS

    DEFF Research Database (Denmark)

    Hermansen, Nanna Kastrup; Vestergaard, Anne Sig; Ehlers, Lars Holger

    , and if the authors provide scientific evidence that their choice of effect measure, i.e. the quality indicator, is associated with patients’ health. Methods The basis of the present study was a systematic review of studies on PDSA quality improvement projects published in 2015-2017. For all identified papers...... as such. Conclusion Process indicators, rather than health-related outcome measures, appear to be used most often in quality improvement projects applying the PDSA method. Evidence-based indicators were only applied in four studies. Overall, this challenges the ability to show if, and how, interventions......Abstract Introduction Quality improvement is an inherent part of modern healthcare systems worldwide, used for the continuous advancement in effectiveness and safety. Amongst other approaches, the plan-do-study-act (PDSA) method, a four-step iterative method, is widely used for testing...

  18. The impact of leadership qualities on quality management improvement

    Directory of Open Access Journals (Sweden)

    Ph. D. Radoslaw Wolniak

    2011-05-01

    Full Text Available Currently, the importance of leadership is considered more and more often in quality management. The need of an appropriate leader has been already emphasized in ISO 9000 standards, in TQM philosophy as well as in different models of improvement which are used in the methodologies of prizing quality. Yet, it is in the concept of TQL where the attitude based on the need of leadership in an organization has achieved its best-developed, full shape. On the basis of the conducted studies, the following publication presents the analysis of the dependence between leadership qualities of managers and the improvement of quality management. There has been an attempt to define the qualities, which a manager being responsible for quality management, should have.

  19. The impact of leadership qualities on quality management improvement

    Directory of Open Access Journals (Sweden)

    Radoslaw Wolniak

    2011-12-01

    Full Text Available Currently, the importance of leadership is considered more and more often in quality management. The need of an appropriate leader has been already emphasized in ISO 9000 standards, in TQM philosophy as well as in different models of improvement which are used in the methodologies of prizing quality. Yet, it is in the concept of TQL where the attitude based on the need of leadership in an organization has achieved its best-developed, full shape. On the basis of the conducted studies, the following publication presents the analysis of the dependence between leadership qualities of managers and the improvement of quality management. There has been an attempt to define the qualities, which a manager being responsible for quality management, should have.

  20. Applying process mapping and analysis as a quality improvement strategy to increase the adoption of fruit, vegetable, and water breaks in Australian primary schools.

    Science.gov (United States)

    Biggs, Janice S; Farrell, Louise; Lawrence, Glenda; Johnson, Julie K

    2014-03-01

    Over the past decade, public health policy in Australia has prioritized the prevention and control of obesity and invested in programs that promote healthy eating-related behaviors, which includes increasing fruit and vegetable consumption in children. This article reports on a study that used process mapping and analysis as a quality improvement strategy to improve the delivery of a nutrition primary prevention program delivered in primary schools in New South Wales, Australia. Crunch&Sip® has been delivered since 2008. To date, adoption is low with only 25% of schools implementing the program. We investigated the cause of low adoption and propose actions to increase school participation. We conducted semistructured interviews with key stakeholders and analyzed the process of delivering Crunch&Sip to schools. Interviews and process mapping and analysis identified a number of barriers to schools adopting the program. The analyses identified the need to simplify and streamline the process of delivering the program to schools and introduce monitoring and feedback loops to track ongoing participation. The combination of stakeholder interviews and process mapping and analysis provided important practical solutions to improving program delivery and also contributed to building an understanding of factors that help and hinder program adoption. The insight provided by this analysis helped identify usable routine measures of adoption, which were an improvement over those used in the existing program plan. This study contributed toward improving the quality and efficiency of delivering a health promoting program to work toward achieving healthy eating behaviors in children.

  1. Recommendations for Improving The Quality of Masters and Doctorate Education in Turkey

    OpenAIRE

    Zekai ŞEN

    2013-01-01

    In Turkey, while academic staff is assessed quantitively, assessment on quality takes place only about students.evaluations are always applied mechanically through western standards without considering our cultural qualities. In this process, the staff member quality standards of Western countries stay outside theTurkish boundarie. Therefore, with every attempt to increase the quality of higher education, students' and research asisstants' quality is improved but the aimed quality is never re...

  2. Achieving Improvement Through Nursing Home Quality Measurement

    OpenAIRE

    Harris, Yael; Clauser, Steven B.

    2002-01-01

    CMS has initiated the Nursing Home Quality Initiative (NHQI) to improve the quality of nursing home care. Central to the NHQI is the public reporting of nursing home quality measures that serve as the basis for the Initiative's communication and quality improvement program. This article provides an overview of the NHQI, focusing on the role of nursing home quality measures in achieving improvements in nursing home care. We also describe the evolution of quality measurement in nursing homes, a...

  3. Process capability improvement through DMAIC for aluminum alloy wheel machining

    Science.gov (United States)

    Sharma, G. V. S. S.; Rao, P. Srinivasa; Babu, B. Surendra

    2017-07-01

    This paper first enlists the generic problems of alloy wheel machining and subsequently details on the process improvement of the identified critical-to-quality machining characteristic of A356 aluminum alloy wheel machining process. The causal factors are traced using the Ishikawa diagram and prioritization of corrective actions is done through process failure modes and effects analysis. Process monitoring charts are employed for improving the process capability index of the process, at the industrial benchmark of four sigma level, which is equal to the value of 1.33. The procedure adopted for improving the process capability levels is the define-measure-analyze-improve-control (DMAIC) approach. By following the DMAIC approach, the C p, C pk and C pm showed signs of improvement from an initial value of 0.66, -0.24 and 0.27, to a final value of 4.19, 3.24 and 1.41, respectively.

  4. Lean management systems: creating a culture of continuous quality improvement.

    Science.gov (United States)

    Clark, David M; Silvester, Kate; Knowles, Simon

    2013-08-01

    This is the first in a series of articles describing the application of Lean management systems to Laboratory Medicine. Lean is the term used to describe a principle-based continuous quality improvement (CQI) management system based on the Toyota production system (TPS) that has been evolving for over 70 years. Its origins go back much further and are heavily influenced by the work of W Edwards Deming and the scientific method that forms the basis of most quality management systems. Lean has two fundamental elements--a systematic approach to process improvement by removing waste in order to maximise value for the end-user of the service and a commitment to respect, challenge and develop the people who work within the service to create a culture of continuous improvement. Lean principles have been applied to a growing number of Healthcare systems throughout the world to improve the quality and cost-effectiveness of services for patients and a number of laboratories from all the pathology disciplines have used Lean to shorten turnaround times, improve quality (reduce errors) and improve productivity. Increasingly, models used to plan and implement large scale change in healthcare systems, including the National Health Service (NHS) change model, have evidence-based improvement methodologies (such as Lean CQI) as a core component. Consequently, a working knowledge of improvement methodology will be a core skill for Pathologists involved in leadership and management.

  5. The application of statistical process control in linac quality assurance

    International Nuclear Information System (INIS)

    Li Dingyu; Dai Jianrong

    2009-01-01

    Objective: To improving linac quality assurance (QA) program with statistical process control (SPC) method. Methods: SPC is applied to set the control limit of QA data, draw charts and differentiate the random and systematic errors. A SPC quality assurance software named QA M ANAGER has been developed by VB programming for clinical use. Two clinical cases are analyzed with SPC to study daily output QA of a 6MV photon beam. Results: In the clinical case, the SPC is able to identify the systematic errors. Conclusion: The SPC application may be assistant to detect systematic errors in linac quality assurance thus it alarms the abnormal trend to eliminate the systematic errors and improves quality control. (authors)

  6. Biospecimen Reporting for Improved Study Quality

    Energy Technology Data Exchange (ETDEWEB)

    Moore, Ph.D., Helen M.; Kelly, Ph.D., Andrea B.; Jewell, Ph.D., Scott D.; McShane, Ph.D., Lisa M.; Clark, M.D., Douglas P.; Greenspan, M.D., Renata; Hayes, M.D., Daniel F.; Hainaut, Ph.D., Pierre; Kim, Paula; Mansfield, Ph.D., Elizabeth A.; Potapova, Ph.D., Olga; Riegman, Ph.D., Peter; Rubinstein, Ph.D., Yaffa; Seijo, M.S., Edward; Somiari, Ph.D., Stella; Chir., B; Weier, Ph.D., Heinz-Ulrich; Zhu, Ph.D., Claire; Vaught, Ph.D., Jim; Watson,M.B., Peter

    2010-12-27

    Human biospecimens are subjected to collection, processing, and storage that can significantly alter their molecular composition and consistency. These biospecimen preanalytical factors, in turn, influence experimental outcomes and the ability to reproduce scientific results. Currently, the extent and type of information specific to the biospecimen preanalytical conditions reported in scientific publications and regulatory submissions varies widely. To improve the quality of research that uses human tissues, it is crucial that information on the handling of biospecimens be reported in a thorough, accurate, and standardized manner. The Biospecimen Reporting for Improved Study Quality (BRISQ) recommendations outlined herein are intended to apply to any study in which human biospecimens are used. The purpose of reporting these details is to supply others, from researchers to regulators, with more consistent and standardized information to better evaluate, interpret, compare, and reproduce the experimental results. The BRISQ guidelines are proposed as an important and timely resource tool to strengthen communication and publications on biospecimen-related research and to help reassure patient contributors and the advocacy community that their contributions are valued and respected.

  7. Improving Quality of Shoe Soles Product using Six Sigma

    Science.gov (United States)

    Jesslyn Wijaya, Athalia; Trusaji, Wildan; Akbar, Muhammad; Ma’ruf, Anas; Irianto, Dradjad

    2018-03-01

    A manufacture in Bandung produce kind of rubber-based product i.e. trim, rice rollers, shoe soles, etc. After penetrating the shoe soles market, the manufacture has met customer with tight quality control. Based on the past data, defect level of this product was 18.08% that caused the manufacture’s loss of time and money. Quality improvement effort was done using six sigma method that included phases of define, measure, analyse, improve, and control (DMAIC). In the design phase, the object’s problem and definition were defined. Delphi method was also used in this phase to identify critical factors. In the measure phase, the existing process stability and sigma quality level were measured. Fishbone diagram and failure mode and effect analysis (FMEA) were used in the next phase to analyse the root cause and determine the priority issues. Improve phase was done by designing alternative improvement strategy using 5W1H method. Some improvement efforts were identified, i.e. (i) modifying design of the hanging rack, (ii) create pantone colour book and check sheet, (iii) provide pedestrian line at compound department, (iv) buying stop watch, and (v) modifying shoe soles dies. Some control strategies for continuous improvement were proposed such as SOP or reward and punishment system.

  8. Rhinology-specific priority setting for quality improvement: a modified Delphi study from the Quality Improvement Committee of the American Rhinologic Society.

    Science.gov (United States)

    Rudmik, Luke; Mattos, Jose L; Stokken, Janalee K; Soler, Zachary M; Manes, R Peter; Higgins, Thomas S; Setzen, Michael; Lee, Jivianne; Schneider, John

    2017-10-01

    Improving the quality of healthcare is a complex and resource intensive process. To optimize the allocation of scarce resources, quality improvement (QI) should focus on high-value diseases that will produce the largest improvement in health system performance. Given the breadth and multidisciplinary nature of sinonasal disease management, the purpose of this study was to transparently develop a prioritized list of sinonasal diseases for QI from the perspective of the specialty of rhinology and the American Rhinologic Society (ARS). The RAND modified Delphi methodology was used to rank the priority of nine sinonasal disease categories from 1 (lowest priority) to 9 (highest priority). Two rounds of ranking along with a teleconference meeting was performed by a panel of 9 experts from the ARS Quality Improvement Committee. The final QI-prioritized list of sinonasal diseases are as follows: chronic rhinosinusitis (CRS) (mean score = 8.9), recurrent acute rhinosinusitis (RARS) (mean score = 7.9), sinonasal neoplasms (mean score = 7.0), anatomic nasal obstruction (mean score = 5.9), refractory epistaxis (mean score = 5.2), complicated acute rhinosinusitis (mean score = 5.2), chronic nonallergic rhinitis (mean score = 4.4), orbital disease (mean score = 4.3), uncomplicated acute rhinosinusitis (mean score = 4.1), and allergy/allergic rhinitis (mean score = 3.7). The three most important disease categories for QI from the perspective of the specialty of rhinology were CRS, RARS, and sinonasal neoplasms. Future studies need to define and validate quality metrics for each of these important disease categories in order to facilitate appropriate measurement and improvement initiatives. © 2017 ARS-AAOA, LLC.

  9. When does quality improvement count as research? Human subject protection and theories of knowledge.

    Science.gov (United States)

    Lynn, J

    2004-02-01

    The publication of insights from a quality improvement project recently precipitated a ruling by the lead federal regulatory agency that regulations providing protection for human subjects of research should apply. The required research review process did not match the rapid changes, small samples, limited documentation, clinician management, and type of information commonly used in quality improvement. Yet quality improvement can risk harm to patients, so some review might be in order. The boundaries and processes are not clear. Efforts have been made to determine what constitutes "research", but this has proved difficult and often yields irrational guidance with regard to protection of patients. Society needs a workable way to separate activities that will improve care, on the one hand, and those that constitute research, on the other. Practitioners who lead both quality improvement and research projects claim that those which rapidly give feedback to the care system that generated the data, aiming to change practices within that system, are "quality improvement" no matter whether the findings are published, whether the project is grant funded, and whether contemporaneous controls do not have the intervention. This criterion has not previously been proposed as a possible demarcation. The quandaries of which projects to put through research review and how to ensure ethical implementation of quality improvement need to be resolved.

  10. APPLICATION OF FAILURE MODE & EFFECT ANALYSIS (FMEA FOR CONTINUOUS QUALITY IMPROVEMENT - MULTIPLE CASE STUDIES IN AUTOMOBILE SMES

    Directory of Open Access Journals (Sweden)

    Jigar Doshi

    2017-06-01

    Full Text Available Failure Mode and Effects Analysis (FMEA is a quality tool used to identify potential failures and related effects on processes and products, so continuous improvement in quality can be achieved by reducing them. The purpose of this research paper is to showcase the contribution of FMEA to achieve Continuous Quality Improvement (CQI by multiple case study research. The outcome research conducted by implementing FMEA; one of the Auto Core Tools (ACTs, in the automobile Small and Medium Enterprises (SMEs in Gujarat, India is presented in this paper which depict various means of Continuous Quality Improvements. The case study based research was carried out in four automobile SMEs; all of them are supplied to automotive Original Equipment Manufacturer (OEM. The FMEA was implemented with the help of Cross Functional Team (CFT to identify the potential failure modes and effects, in overall effect on Continuous Quality Improvement. The outcome of FMEA at four companies' reveals the scope of improvement exists in the manufacturing process. Implementation of those improvement points shows the definite signs of continuous improvement of the quality of process and product as well. The FMEA and subsequent implementations had reduced the quality rejections around 3% to 4% in case companies.

  11. Surgical Process Improvement: Impact of a Standardized Care Model With Electronic Decision Support to Improve Compliance With SCIP Inf-9.

    Science.gov (United States)

    Cook, David J; Thompson, Jeffrey E; Suri, Rakesh; Prinsen, Sharon K

    2014-01-01

    The absence of standardization in surgical care process, exemplified in a "solution shop" model, can lead to unwarranted variation, increased cost, and reduced quality. A comprehensive effort was undertaken to improve quality of care around indwelling bladder catheter use following surgery by creating a "focused factory" model within the cardiac surgical practice. Baseline compliance with Surgical Care Improvement Inf-9, removal of urinary catheter by the end of surgical postoperative day 2, was determined. Comparison of baseline data to postintervention results showed clinically important reductions in the duration of indwelling bladder catheters as well as marked reduction in practice variation. Following the intervention, Surgical Care Improvement Inf-9 guidelines were met in 97% of patients. Although clinical quality improvement was notable, the process to accomplish this-identification of patients suitable for standardized pathways, protocol application, and electronic systems to support the standardized practice model-has potentially greater relevance than the specific clinical results. © 2013 by the American College of Medical Quality.

  12. Independent assessment to continue improvement: Implementing statistical process control at the Hanford Site

    International Nuclear Information System (INIS)

    Hu, T.A.; Lo, J.C.

    1994-11-01

    A Quality Assurance independent assessment has brought about continued improvement in the PUREX Plant surveillance program at the Department of Energy's Hanford Site. After the independent assessment, Quality Assurance personnel were closely involved in improving the surveillance program, specifically regarding storage tank monitoring. The independent assessment activities included reviewing procedures, analyzing surveillance data, conducting personnel interviews, and communicating with management. Process improvement efforts included: (1) designing data collection methods; (2) gaining concurrence between engineering and management, (3) revising procedures; and (4) interfacing with shift surveillance crews. Through this process, Statistical Process Control (SPC) was successfully implemented and surveillance management was improved. The independent assessment identified several deficiencies within the surveillance system. These deficiencies can be grouped into two areas: (1) data recording and analysis and (2) handling off-normal conditions. By using several independent assessment techniques, Quality Assurance was able to point out program weakness to senior management and present suggestions for improvements. SPC charting, as implemented by Quality Assurance, is an excellent tool for diagnosing the process, improving communication between the team members, and providing a scientific database for management decisions. In addition, the surveillance procedure was substantially revised. The goals of this revision were to (1) strengthen the role of surveillance management, engineering and operators and (2) emphasize the importance of teamwork for each individual who performs a task. In this instance we believe that the value independent assessment adds to the system is the continuous improvement activities that follow the independent assessment. Excellence in teamwork between the independent assessment organization and the auditee is the key to continuing improvement

  13. Approaches to quality improvement in nursing homes: Lessons learned from the six-state pilot of CMS's Nursing Home Quality Initiative

    Directory of Open Access Journals (Sweden)

    Palmer Laura

    2003-05-01

    Full Text Available Abstract Background In November 2002, the Centers for Medicare & Medicaid Services (CMS launched a Nursing Home Quality Initiative that included publicly reporting a set of Quality Measures for all nursing homes in the country, and providing quality improvement assistance to nursing homes nationwide. A pilot of this initiative occurred in six states for six months prior to the launch. Methods Review and analysis of the lessons learned from the six Quality Improvement Organizations (QIOs that led quality improvement efforts in nursing homes from the six pilot states. Results QIOs in the six pilot states found several key outcomes of the Nursing Home Quality Initiative that help to maximize the potential of public reporting to leverage effective improvement in nursing home quality of care. First, public reporting focuses the attention of all stakeholders in the nursing home industry on achieving good quality outcomes on a defined set of measures, and creates an incentive for partnership formation. Second, publicly reported quality measures motivate nursing home providers to improve in certain key clinical areas, and in particular to seek out new ways of changing processes of care, such as engaging physicians and the medical director more directly. Third, the lessons learned by QIOs in the pilot of this Initiative indicate that certain approaches to providing quality improvement assistance are key to guiding nursing home providers' desire and enthusiasm to improve towards a using a systematic approach to quality improvement. Conclusion The Nursing Home Quality Initiative has already demonstrated the potential of public reporting to foster collaboration and coordination among nursing home stakeholders and to heighten interest of nursing homes in quality improvement techniques. The lessons learned from this pilot project have implications for any organizations or individuals planning quality improvement projects in the nursing home setting.

  14. Autoverification process improvement by Six Sigma approach: Clinical chemistry & immunoassay.

    Science.gov (United States)

    Randell, Edward W; Short, Garry; Lee, Natasha; Beresford, Allison; Spencer, Margaret; Kennell, Marina; Moores, Zoë; Parry, David

    2018-05-01

    This study examines effectiveness of a project to enhance an autoverification (AV) system through application of Six Sigma (DMAIC) process improvement strategies. Similar AV systems set up at three sites underwent examination and modification to produce improved systems while monitoring proportions of samples autoverified, the time required for manual review and verification, sample processing time, and examining characteristics of tests not autoverified. This information was used to identify areas for improvement and monitor the impact of changes. Use of reference range based criteria had the greatest impact on the proportion of tests autoverified. To improve AV process, reference range based criteria was replaced with extreme value limits based on a 99.5% test result interval, delta check criteria were broadened, and new specimen consistency rules were implemented. Decision guidance tools were also developed to assist staff using the AV system. The mean proportion of tests and samples autoverified improved from 90% for samples and >95% for tests across all three sites. The new AV system significantly decreased turn-around time and total sample review time (to about a third), however, time spent for manual review of held samples almost tripled. There was no evidence of compromise to the quality of testing process and process improvement methodology was successfully applied to AV systems resulting in an increase in overall test and sample AV by >90%, improved turn-around time, reduced time for manual verification, and with no obvious compromise to quality or error detection. Copyright © 2018 The Canadian Society of Clinical Chemists. Published by Elsevier Inc. All rights reserved.

  15. Processing and storage of blood components: strategies to improve patient safety

    Directory of Open Access Journals (Sweden)

    Pietersz RNI

    2015-08-01

    Full Text Available Ruby NI Pietersz, Pieter F van der Meer Department of Product and Process Development, Sanquin Blood Bank, Amsterdam, the Netherlands Abstract: This review focuses on safety improvements of blood processing of various blood components and their respective storage. A solid quality system to ensure safe and effective blood components that are traceable from a donor to the patient is the foundation of a safe blood supply. To stimulate and guide this process, National Health Authorities should develop guidelines for blood transfusion, including establishment of a quality system. Blood component therapy enabled treatment of patients with blood constituents that were missing, only thus preventing reactions to unnecessarily transfused elements. Leukoreduction prevents many adverse reactions and also improves the quality of the blood components during storage. The safety of red cells and platelets is improved by replacement of plasma with preservative solutions, which results in the reduction of isoantibodies and plasma proteins. Automation of blood collection, separation of whole blood into components, and consecutive processing steps, such as preparation of platelet concentrate from multiple donations, improves the consistent composition of blood components. Physicians can better prescribe the number of transfusions and therewith reduce donor exposure and/or the risk of pathogen transmission. Pathogen reduction in cellular blood components is the latest development in improving the safety of blood transfusions for patients. Keywords: blood components, red cell concentrates, platelet concentrates, plasma, transfusion, safety 

  16. Instant noodles: processing, quality, and nutritional aspects.

    Science.gov (United States)

    Gulia, Neelam; Dhaka, Vandana; Khatkar, B S

    2014-01-01

    Noodles are one of the staple foods consumed in many Asian countries. Instant noodles have become internationally recognized food, and worldwide consumption is on the rise. The properties of instant noodles like taste, nutrition, convenience, safety, longer shelf-life, and reasonable price have made them popular. Quality factors important for instant noodles are color, flavor, and texture, cooking quality, rehydration rates during final preparation, and the presence or absence of rancid taste after extended storage. Microstructure of dough and noodles has been studied to understand the influence of ingredients and processing variables on the noodle quality by employing scanning electron microscopy. Applications of newer techniques like confocal laser scanning microscopy and epifluorescence light microscopy employed to understand the microstructure changes in dough and noodles have also been discussed. Sincere efforts of researchers are underway to improve the formulation, extend the shelf life, and promote universal fortification of instant noodles. Accordingly, many researchers are exploring the potential of noodle fortification as an effective public health intervention and improve its nutritional properties. This review focuses on the functionality of ingredients, unit operations involved, quality criteria for evaluation, recent trends in fortification, and current knowledge in relation to instant noodles.

  17. Performance indicators: A tool for continuous quality improvement

    Directory of Open Access Journals (Sweden)

    Nidhi M Bhatnagar

    2016-01-01

    Full Text Available Background: Performance monitoring is an important tool which can be used for setting priorities for process improvement. At our centre, we have been monitoring every step in the processes, right from inventory of consumables (both critical and routine to number of donors reactive for TTI. We conducted a study to measure the impact of monitoring Performance Indicators and how it could be used as a tool for Continuous Quality Improvement (CQI. Materials and Methods: The present study was a retrospective study where the performance indicator (PI data of blood bank was analyzed for over four years. For certain parameters, benchmarks or thresholds were set that represented warning limits or action limits. The yearly data were collated from monthly data. "Shifts" or "Trends", if any, were identified and Corrective and Preventive Action (CAPA taken accordingly. At the end, outcomes of the analysis were charted. Results: After the yearly data evaluation, outcomes obtained were used to plan, correct and amend processes and systems in the blood center. It was observed that the workload of the center showed an upward trend. This helped us to plan for the purchase of consumables and management of manpower. The monitoring of usage and discard of blood helped in the efficient management of blood stocks. The need for any new equipment could also be judged by the trends in workload. Conclusion: Performance indicators are indispensible tools which various stakeholders in the Blood Transfusion centres should implement to improve on quality performance.

  18. Performance indicators: A tool for continuous quality improvement.

    Science.gov (United States)

    Bhatnagar, Nidhi M; Soni, Shital; Gajjar, Maitrey; Shah, Mamta; Shah, Sangita; Patel, Vaidehi

    2016-01-01

    Performance monitoring is an important tool which can be used for setting priorities for process improvement. At our centre, we have been monitoring every step in the processes, right from inventory of consumables (both critical and routine) to number of donors reactive for TTI. We conducted a study to measure the impact of monitoring Performance Indicators and how it could be used as a tool for Continuous Quality Improvement (CQI). The present study was a retrospective study where the performance indicator (PI) data of blood bank was analyzed for over four years. For certain parameters, benchmarks or thresholds were set that represented warning limits or action limits. The yearly data were collated from monthly data. Shifts or Trends, if any, were identified and Corrective and Preventive Action (CAPA) taken accordingly. At the end, outcomes of the analysis were charted. After the yearly data evaluation, outcomes obtained were used to plan, correct and amend processes and systems in the blood center. It was observed that the workload of the center showed an upward trend. This helped us to plan for the purchase of consumables and management of manpower. The monitoring of usage and discard of blood helped in the efficient management of blood stocks. The need for any new equipment could also be judged by the trends in workload. Performance indicators are indispensible tools which various stakeholders in the Blood Transfusion centres should implement to improve on quality performance.

  19. Quality initiatives: improving patient flow for a bone densitometry practice: results from a Mayo Clinic radiology quality initiative.

    Science.gov (United States)

    Aakre, Kenneth T; Valley, Timothy B; O'Connor, Michael K

    2010-03-01

    Lean Six Sigma process improvement methodologies have been used in manufacturing for some time. However, Lean Six Sigma process improvement methodologies also are applicable to radiology as a way to identify opportunities for improvement in patient care delivery settings. A multidisciplinary team of physicians and staff conducted a 100-day quality improvement project with the guidance of a quality advisor. By using the framework of DMAIC (define, measure, analyze, improve, and control), time studies were performed for all aspects of patient and technologist involvement. From these studies, value stream maps for the current state and for the future were developed, and tests of change were implemented. Comprehensive value stream maps showed that before implementation of process changes, an average time of 20.95 minutes was required for completion of a bone densitometry study. Two process changes (ie, tests of change) were undertaken. First, the location for completion of a patient assessment form was moved from inside the imaging room to the waiting area, enabling patients to complete the form while waiting for the technologist. Second, the patient was instructed to sit in a waiting area immediately outside the imaging rooms, rather than in the main reception area, which is far removed from the imaging area. Realignment of these process steps, with reduced technologist travel distances, resulted in a 3-minute average decrease in the patient cycle time. This represented a 15% reduction in the initial patient cycle time with no change in staff or costs. Radiology process improvement projects can yield positive results despite small incremental changes.

  20. Quality Improvement and Learning in Productive Systems

    OpenAIRE

    Charles H. Fine

    1986-01-01

    Recent interest in product quality suggests that effort devoted to improving the quality of manufactured products may reduce unit costs. This conjecture---that improving quality can lower costs---challenges the traditional assumption that unit costs increase with increased quality assurance activities and has significant implications for quality management. By introducing the idea of a quality-based learning curve, this paper links the previously disjoint literatures of quality control and le...

  1. A Plan-Do-Study-Act Approach to Improving Bowel Preparation Quality.

    Science.gov (United States)

    Calderwood, Audrey H; Mahoney, Elaine M; Jacobson, Brian C

    Up to 20% of patients presenting for colonoscopy have inadequate bowel cleanliness. In this study, the Plan-Do-Study-Act quality improvement process was used to improve bowel cleanliness among outpatients undergoing screening colonoscopy at Boston Medical Center. Rates of inadequate bowel cleanliness were assessed at baseline (April 2010 to September 2012), during several rapid-cycle experiments (October 2012 to September 2013), and through an observation phase (October 2013 to September 2015). The baseline rate of inadequate cleanliness was 9% with a target of 5%. Gap analysis identified 3 areas amenable to specific interventions: contacting patients, commitment to the procedure, and complexity and variation in instructions. Rates of inadequate cleanliness decreased to 4% at the end of the last intervention, but began rising for new reasons. Standardizing instructions and the use of navigators improved preparation quality. Bowel cleanliness is the end result of a multistep process with areas for improvement at many levels. Long-term monitoring is required to ensure ongoing success.

  2. Statistical methods for quality improvement

    National Research Council Canada - National Science Library

    Ryan, Thomas P

    2011-01-01

    ...."-TechnometricsThis new edition continues to provide the most current, proven statistical methods for quality control and quality improvementThe use of quantitative methods offers numerous benefits...

  3. The improvement of maintenance service for traction networks equipment on the base of process approach

    Directory of Open Access Journals (Sweden)

    D. V. Mironov

    2014-12-01

    Full Text Available Purpose. The new methods development for improving the maintenance service for equipment of traction networks in order to increase its efficiency and quality. Methodology. In world practice of solving problems related to the quality of products and services is usually achieved by introducing quality management system in to the enterprises. The provisions of quality management system were used for solving the problem. The technologies of process engineering were used for describing the main stages of maintenance service. Findings. The development of high-speed movement and growth of its intensity, the use of electric rolling stock of a new generation require the introduction of new methods diagnostics of equipment technical state and improvement of the existing maintenance system and repair of power supply. Developing a model of business-processes, their optimization with using techniques of process engineering and system management is needed for the transition to the management system based on the process approach. From the standpoint of the process approach and in accordance with the requirements of the quality management system (ISO 9001-2009, the operation of the E (Department of electrification and power supply infrastructure sector is represented as a scheme of business-processes in which the guaranteed supply with electricity of railway and third-party consumers is defined as the main business-process of management. Each of the sub-process of power supply for consumers is described in details. The use methods and main stages of process approach for sample management system reorganization were investigated. The methodology and the application method of PDCA (Plan-Do-Check-Act closed loop to the equipment maintenance system were described. The monitoring process of traction networks maintenance using the process approach was divided into components after investigations. The technical documentation of maintenance service was investigated in

  4. Ergonomics, quality and continuous improvement--conceptual and empirical relationships in an industrial context.

    Science.gov (United States)

    Eklund, J

    1997-10-01

    This paper reviews the literature comparing the fields of ergonomics and quality, mainly in an industrial context, including mutual influences, similarities and differences. Relationships between ergonomics and the factors: work conditions, product design, ISO 9000, continuous improvements and TQM are reviewed in relation to the consequence, application, and process domains. The definitions of ergonomics and quality overlap substantially. Quality deficiencies, human errors and ergonomics problems often have the same cause, which in many cases can be traced to the design of work, workplace and environment e.g. noise, light, postures, loads, pace and work content. In addition, the possibility of performing to a high standard at work is an important prerequisite for satisfaction and well-being. Contradictions between the two fields have been identified in the view of concepts such as standardization, reduction of variability and copying of best practice, requiring further research. The field of quality would gain by incorporating ergonomics knowledge, especially in the areas of work design and human capability, since these factors are decisive for human performance and also therefore the performance of the systems involved. The field of ergonomics, on the other hand, would benefit from developing a stronger emphasis on methodologies and structures for improvement processes, including a clearer link with leadership and company strategies. Just as important is a further development of practicable participative ergonomics methods and tools for use at workplaces by the workers themselves, in order to integrate the top-down and the bottom-up processes and achieve better impact. Using participative processes for problem-solving and continuous improvement, focusing ergonomics and quality jointly has a great potential for improving working conditions and quality results simultaneously, and satisfying most of the interested parties.

  5. Negative Binomial charts for monitoring high-quality processes

    NARCIS (Netherlands)

    Albers, Willem/Wim

    Good control charts for high quality processes are often based on the number of successes between failures. Geometric charts are simplest in this respect, but slow in recognizing moderately increased failure rates p. Improvement can be achieved by waiting until r > 1 failures have occurred, i.e. by

  6. Process-Based Quality (PBQ) Tools Development

    Energy Technology Data Exchange (ETDEWEB)

    Cummins, J.L.

    2001-12-03

    The objective of this effort is to benchmark the development of process-based quality tools for application in CAD (computer-aided design) model-based applications. The processes of interest are design, manufacturing, and quality process applications. A study was commissioned addressing the impact, current technologies, and known problem areas in application of 3D MCAD (3-dimensional mechanical computer-aided design) models and model integrity on downstream manufacturing and quality processes. The downstream manufacturing and product quality processes are profoundly influenced and dependent on model quality and modeling process integrity. The goal is to illustrate and expedite the modeling and downstream model-based technologies for available or conceptual methods and tools to achieve maximum economic advantage and advance process-based quality concepts.

  7. Quality improvement in neurological surgery graduate medical education.

    Science.gov (United States)

    Parker, Scott L; McGirt, Matthew J; Asher, Anthony L; Selden, Nathan R

    2015-04-01

    There has been no formal, standardized curriculum for neurosurgical resident education in quality improvement. There are at least 2 reasons to integrate a formalized quality improvement curriculum into resident education: (1) increased emphasis on the relative quality and value (cost-effectiveness) of health care provided by individual physicians, and (2) quality improvement principles empower broader lifelong learning. An integrated quality improvement curriculum should comprise specific goals and milestones at each level of residency training. This article discusses the role and possible implementation of a national program for quality improvement in neurosurgical resident education. Copyright © 2015 Elsevier Inc. All rights reserved.

  8. Psychological Support as a Factor of the Training Process Quality Improvement

    Directory of Open Access Journals (Sweden)

    Jan Kosendiak

    2017-06-01

    Full Text Available Supportive activities may be one of the ways of the training process optimization. Support involves both components of the training process, i.e. training and rest. The training support is aimed at the training load increase or at such orientation of the adaptation processes to meet the training goals as closely as possible. The different aims lay behind the methods that support the rest mechanisms. It is about increasing the peace of the regeneration and recovery processes. This term describes all activities aimed at restoring the full exercise capacity of the athlete after work. The psychological support of the training process can be one of the ways to minimize training disturbances and it should therefore be an essential part of the training process management in case of the top ranked athletes. To improve the psychological support system of the training process and to improve the system quality, it is necessary to analyze the current situation. That’s why, authors of the paper decided to ask the members of the Polish Olympic team competed at the 2016 Olympic Games in Rio de Janeiro on types of relaxation techniques they accepted and used before the competition. The relaxation techniques were deliberately limited to the pre-start relaxation techniques as the study was treated as a pilot study dedicated to the problem identification. Therefore, the authors of the paper formulated its aim, as the identification of the pre-start relaxation preferences of the Olympic team members. The following research questions were formulated to meet the aim of the study:  •     What types of the pre-start relaxation techniques are declared and accepted by the Olympians? •     Could the preferred pre-start relaxation techniques be considered rational, planned, and consciously implemented? A written interview with an online questionnaire was used as a research method. The study was conducted before the 2016 Olympic Games in Rio de

  9. Goal hierarchy: Improving asset data quality by improving motivation

    Energy Technology Data Exchange (ETDEWEB)

    Unsworth, Kerrie, E-mail: Kerrie.unsworth@uwa.edu.au [UWA Business School, University of Western Australia, Crawley, WA 6009 (Australia); Adriasola, Elisa; Johnston-Billings, Amber; Dmitrieva, Alina [UWA Business School, University of Western Australia, Crawley, WA 6009 (Australia); Hodkiewicz, Melinda [School of Mechanical Engineering, University of Western Australia, Crawley, WA 6009 (Australia)

    2011-11-15

    Many have recognized the need for high quality data on assets and the problems in obtaining them, particularly when there is a need for human observation and manual recording. Yet very few have looked at the role of the data collectors themselves in the data quality process. This paper argues that there are benefits to more fully understanding the psychological factors that lay behind data collection and we use goal hierarchy theory to understand these factors. Given the myriad of potential reasons for poor-quality data it has previously proven difficult to identify and successfully deploy employee-driven interventions; however, the goal hierarchy approach looks at all of the goals that an individual has in their life and the connections between them. For instance, does collecting data relate to whether or not they get a promotion? Stay safe? Get a new job? and so on. By eliciting these goals and their connections we can identify commonalities across different groups, sites or organizations that can influence the quality of data collection. Thus, rather than assuming what the data collectors want, a goal hierarchy approach determines that empirically. Practically, this supports the development of customized interventions that will be much more effective and sustainable than previous efforts. - Highlights: > We need to consider psychological aspects of data collectors to improve data quality. > We show how goal hierarchy theory furthers understanding. > Looks at the multiple goals of each individual to determine their behavior.

  10. Goal hierarchy: Improving asset data quality by improving motivation

    International Nuclear Information System (INIS)

    Unsworth, Kerrie; Adriasola, Elisa; Johnston-Billings, Amber; Dmitrieva, Alina; Hodkiewicz, Melinda

    2011-01-01

    Many have recognized the need for high quality data on assets and the problems in obtaining them, particularly when there is a need for human observation and manual recording. Yet very few have looked at the role of the data collectors themselves in the data quality process. This paper argues that there are benefits to more fully understanding the psychological factors that lay behind data collection and we use goal hierarchy theory to understand these factors. Given the myriad of potential reasons for poor-quality data it has previously proven difficult to identify and successfully deploy employee-driven interventions; however, the goal hierarchy approach looks at all of the goals that an individual has in their life and the connections between them. For instance, does collecting data relate to whether or not they get a promotion? Stay safe? Get a new job? and so on. By eliciting these goals and their connections we can identify commonalities across different groups, sites or organizations that can influence the quality of data collection. Thus, rather than assuming what the data collectors want, a goal hierarchy approach determines that empirically. Practically, this supports the development of customized interventions that will be much more effective and sustainable than previous efforts. - Highlights: → We need to consider psychological aspects of data collectors to improve data quality. → We show how goal hierarchy theory furthers understanding. → Looks at the multiple goals of each individual to determine their behavior.

  11. [Improvement approaches in the hospital setting: From total quality management to Lean].

    Science.gov (United States)

    Curatolo, N; Lamouri, S; Huet, J-C; Rieutord, A

    2015-07-01

    Hospitals have to deal strong with economic constraints and increasing requirements in terms of quality and safety of care. To address these constraints, one solution could be the adoption of approaches from the industry sector. Following the decree of April 6, 2011 on the quality management of the medication use process, some of these approaches, such as risk management, are now part of the everyday work of healthcare professionals. However, other approaches, such as business process improvement, are still poorly developed in the hospital setting. In this general review, we discuss the main approaches of business process improvements that have been used in hospitals by focusing specifically on one of the newest and most currently used: Lean. Copyright © 2014. Published by Elsevier Masson SAS.

  12. Improve strategic supplier performance using DMAIC to develop a Quality Improvement Plan

    Science.gov (United States)

    Jardim, Kevin P.

    Supplier performance that meets the requirements of the customer has long plagued quality professionals. Despite the vast efforts by organizations to improve supplier performance, little has been done to standardize the plan to improve performance. This project presents a guideline and problem-solving strategy using a Define, Measure, Analyze, Improve, and Control (DMAIC) structured tool that will assist in the management and improvement of supplier performance. An analysis of benchmarked Quality Improvement Plans indicated that this topic needs more focus on how to accomplish improved supplier performance. This project is part of a growing body of supplier continuous improvement efforts. With the input of Zodiac Aerospace quality professionals this project's results provide a solution to Quality Improvement Plans and show objective evidence of its benefits. This project contributes to the future research on similar topics.

  13. Does enhanced regulation improve EIA report quality? Lessons from South Africa

    International Nuclear Information System (INIS)

    Sandham, L.A.; Heerden, A.J. van; Jones, C.E.; Retief, F.P.; Morrison-Saunders, A.N.

    2013-01-01

    Recently, various EIA systems have been subjected to system review processes with a view to improve performance. Many of these reviews resulted in some form of legislative reform. The South African Environmental Impact Assessment (EIA) regulations were modified in 2006 with the express intent to improve EIA effectiveness. In order to evaluate to what extent the desired outcome was achieved, the quality of EIA reports produced under the 2006 regulations was investigated for comparative analysis with the preceding regime. A sample of EIA reports from the two legislative regimes was reviewed using an adapted version of a well established method known colloquially as the “Lee and Colley” review package. Despite some improvements in certain aspects, overall report quality has decreased slightly from the 1997 EIA regime. It therefore appears that the modifications to the regulations, often heralded as the solution to improvements in performance have not resulted in improved quality of EIA reports. - Highlights: ► EIA regulations in South Africa were revised and became more comprehensive in 2006. ► The report quality of a sample of EIAs was reviewed using the Lee and Colley review package. ► Report quality showed a slight decline from the previous regulatory regime. ► EIA good practice needs flexibility rather than over-detailed regulation.

  14. IMPROVEMENTS IN THE QUALITY OF COURIER DELIVERY

    Directory of Open Access Journals (Sweden)

    Jacek Karcz

    2016-06-01

    Full Text Available The functioning of courier companies is a vital component of modern trade. E-commerce services are changing the way of shopping. Along with them, also courier services change and become more advance. Customers of courier companies become more aware of quality, which they should expect from supplier of these services. The article presents the result of the research of the effectiveness and the timelines of deliveries realized by one of the terminals of a leading courier operator in Poland. The survey involved 55 courier routes over the course of 10 business days. The author analyses weak points of the supply chain and presents two solutions, which may improve quality of delivery processes.

  15. Guiding and Modelling Quality Improvement in Higher Education Institutions

    Science.gov (United States)

    Little, Daniel

    2015-01-01

    The article considers the process of creating quality improvement in higher education institutions from the point of view of current organisational theory and social-science modelling techniques. The author considers the higher education institution as a functioning complex of rules, norms and other organisational features and reviews the social…

  16. Applying Process Improvement Methods to Clinical and Translational Research: Conceptual Framework and Case Examples.

    Science.gov (United States)

    Daudelin, Denise H; Selker, Harry P; Leslie, Laurel K

    2015-12-01

    There is growing appreciation that process improvement holds promise for improving quality and efficiency across the translational research continuum but frameworks for such programs are not often described. The purpose of this paper is to present a framework and case examples of a Research Process Improvement Program implemented at Tufts CTSI. To promote research process improvement, we developed online training seminars, workshops, and in-person consultation models to describe core process improvement principles and methods, demonstrate the use of improvement tools, and illustrate the application of these methods in case examples. We implemented these methods, as well as relational coordination theory, with junior researchers, pilot funding awardees, our CTRC, and CTSI resource and service providers. The program focuses on capacity building to address common process problems and quality gaps that threaten the efficient, timely and successful completion of clinical and translational studies. © 2015 The Authors. Clinical and Translational Science published by Wiley Periodicals, Inc.

  17. Applying the Advancing Excellence in America's Nursing Homes Circle of Success to improving and sustaining quality.

    Science.gov (United States)

    Bakerjian, Debra; Zisberg, Anna

    2013-01-01

    Looking forward to the Quality Assurance Performance Improvement (QAPI) program to be implemented and required in 2014, and as nursing home staff provide care for residents with increasingly complex health issues, knowledge of how to implement quality improvement (QI) is imperative. The nursing home administrator and director of nursing (DON) provide overall leadership, but it is the primary responsibility of the DON and other registered nurse staff to implement and manage the day to day QI process. This article describes potential roles of nursing leaders and key components of a QI project using a pressure ulcer case study exemplar to illustrate a quality improvement process. The authors suggest specific methods that RN leaders can employ using the Advancing Excellence Campaign Circle of Success as an organizing framework along with evidence-based resources. Nursing home leaders could use this article as a guideline for implementing any clinical quality improvement process. Copyright © 2013 Mosby, Inc. All rights reserved.

  18. Using genomics to improve fruit quality.

    Science.gov (United States)

    Meneses, Claudio; Orellana, Ariel

    2013-01-01

    New fruit varieties are needed to satisfy consumers, and the industry is facing new challenges in order to respond to these demands. The emergence of genomic tools is releasing information on polymorphisms that can be utilized to expedite breeding processes in species that are difficult to breed, given the long periods of time required to get new varieties. The present review describes the current stages of the ongoing efforts that are being taken to apply these technologies to obtain varieties with improved fruit quality in species of the family Rosaceae.

  19. Benchmarking, benchmarks, or best practices? Applying quality improvement principles to decrease surgical turnaround time.

    Science.gov (United States)

    Mitchell, L

    1996-01-01

    The processes of benchmarking, benchmark data comparative analysis, and study of best practices are distinctly different. The study of best practices is explained with an example based on the Arthur Andersen & Co. 1992 "Study of Best Practices in Ambulatory Surgery". The results of a national best practices study in ambulatory surgery were used to provide our quality improvement team with the goal of improving the turnaround time between surgical cases. The team used a seven-step quality improvement problem-solving process to improve the surgical turnaround time. The national benchmark for turnaround times between surgical cases in 1992 was 13.5 minutes. The initial turnaround time at St. Joseph's Medical Center was 19.9 minutes. After the team implemented solutions, the time was reduced to an average of 16.3 minutes, an 18% improvement. Cost-benefit analysis showed a potential enhanced revenue of approximately $300,000, or a potential savings of $10,119. Applying quality improvement principles to benchmarking, benchmarks, or best practices can improve process performance. Understanding which form of benchmarking the institution wishes to embark on will help focus a team and use appropriate resources. Communicating with professional organizations that have experience in benchmarking will save time and money and help achieve the desired results.

  20. Service Quality and Process Maturity Assessment

    Directory of Open Access Journals (Sweden)

    Serek Radomir

    2013-12-01

    Full Text Available This article deals with service quality and the methods for its measurement and improvements to reach the so called service excellence. Besides older methods such as SERVQUAL and SERPERF, there are also shortly described capability maturity models based on which the own methodology is developed and used for process maturity assessment in organizations providing technical services. This method is equally described and accompanied by examples on pictures. The verification of method functionality is explored on finding a correlation between service employee satisfaction and average process maturity in a service organization. The results seem to be quite promising and open an arena for further studies.

  1. Framework for pharmacy services quality improvement--a bridge to cross the quality chasm. Part I. The opportunity and the tool.

    Science.gov (United States)

    Curtiss, Frederic R; Fry, Richard N; Avey, Steven G

    2004-01-01

    To review the literature on the subject of quality improvement principles and methods applied to pharmacy services and to describe a framework for current and future efforts in pharmacy services quality improvement and effective drug therapy management. The Academy of Managed Care Pharmacy produced the Catalog of Pharmacy Quality Indicators in 1997, followed by the Summary of National Pharmacy Quality Measures in February 1999. In April 2002, AMCP introduced Pharmacy's Framework for Drug Therapy Management in the 21st Century. The Framework documents include a self-assessment tool that details more than 250 specific "components" that describe tasks, behaviors, skills, functions, duties, and responsibilities that contribute to meeting customer expectations for effective drug therapy management. There are many opportunities for quality improvement in clinical, service, and cost outcomes related to drug therapy management. These may include patient safety; incidence of medical errors; adverse drug events; patient adherence to therapy; attainment of target goals of blood pressure, glucose, and lipid levels; risk reduction for adverse cardiac events and osteoporotic-related fractures; patient satisfaction; risk of hospitalization or mortality; and cost of care. Health care practitioners can measure improvements in health care quality in several ways including (a) a better patient outcome at the same cost, (b) the same patient outcome at lower cost, (c) a better patient outcome at lower cost, or (d) a significantly better patient outcome at moderately higher cost. Measurement makes effective management possible. A framework of component factors (e.g., tasks) is necessary to facilitate changes in the key processes and critical factors that will help individual practitioners and health care systems meet customer expectations in regard to drug therapy, thus improving these outcomes. Quality improvement in health care services in the United States will be made in incremental

  2. Chemical purification of Gunungpati elephant foot yam flour to improve physical and chemical quality on processed food

    Science.gov (United States)

    Paramita, Octavianti; Wahyuningsih, Ansori, Muhammad

    2017-03-01

    This study was aimed at improving the physicochemical quality of elephant foot yam flour in Gunungpati, Semarang by chemical purification. The utilization of elephant foot yam flour in several processed food was also discussed in this study. The flour purification discussed in this study was expected to become a reference for the manufacturers of elephant foot yam flour and its processed food in Gunungpati. This study modified the elephant foot yam flour using pre - gelatinization method. The physical and chemical quality of each elephant foot yam flour purification sample were assessed using proximate analysis. The likability test was conducted for its processed food. 20 grams of elephant foot yam flour was put into a beaker glass, then 60 ml of water was added. The suspension was then heated at a temperature of 60 ° C and 70 ° C while stirred until it was homogeneous and thickened for 10, 30 and 60 minutes. The flour which had been heated was then cooled at room temperature for 1 hour and then at a temperature of 0 ° C until it was frozen. Furthermore, flour was dried in an oven at a temperature of 60 ° C for 9 hours. The dried flour was sifted with a 80 mesh sieve. Chemical test was conducted after elephant foot yam was pre-gelatinized to determine changes in the quality flour: test levels of protein, fat, crude fiber content, moisture content, ash content and starch content. In addition, color tests and granular test on elephant foot yam flour were also conducted. The pre-gelatinization as chemical treatment on elephant foot yam flour in this study was able to change the functional properties of elephant foot yam flour towards a better processing characterized by a brighter color (L = 70, a = 6 and b = 12), the hydrolysis of polysaccharides flour into shorter chain (flour content decreased to 44%), the expansion of granules in elephant foot yam resulting in a process - ready flour, and better monolayer water content of 9%. The content of protein and fiber

  3. The Data-to-Action Framework: A Rapid Program Improvement Process

    Science.gov (United States)

    Zakocs, Ronda; Hill, Jessica A.; Brown, Pamela; Wheaton, Jocelyn; Freire, Kimberley E.

    2015-01-01

    Although health education programs may benefit from quality improvement methods, scant resources exist to help practitioners apply these methods for program improvement. The purpose of this article is to describe the Data-to-Action framework, a process that guides practitioners through rapid-feedback cycles in order to generate actionable data to…

  4. The challenge of transferring an implementation strategy from academia to the field: a process evaluation of local quality improvement collaboratives in Dutch primary care using the normalization process theory.

    Science.gov (United States)

    Trietsch, Jasper; van Steenkiste, Ben; Hobma, Sjoerd; Frericks, Arnoud; Grol, Richard; Metsemakers, Job; van der Weijden, Trudy

    2014-12-01

    A quality improvement strategy consisting of comparative feedback and peer review embedded in available local quality improvement collaboratives proved to be effective in changing the test-ordering behaviour of general practitioners. However, implementing this strategy was problematic. We aimed for large-scale implementation of an adapted strategy covering both test ordering and prescribing performance. Because we failed to achieve large-scale implementation, the aim of this study was to describe and analyse the challenges of the transferring process. In a qualitative study 19 regional health officers, pharmacists, laboratory specialists and general practitioners were interviewed within 6 months after the transfer period. The interviews were audiotaped, transcribed and independently coded by two of the authors. The codes were matched to the dimensions of the normalization process theory. The general idea of the strategy was widely supported, but generating the feedback was more complex than expected and the need for external support after transfer of the strategy remained high because participants did not assume responsibility for the work and the distribution of resources that came with it. Evidence on effectiveness, a national infrastructure for these collaboratives and a general positive attitude were not sufficient for normalization. Thinking about managing large databases, responsibility for tasks and distribution of resources should start as early as possible when planning complex quality improvement strategies. Merely exploring the barriers and facilitators experienced in a preceding trial is not sufficient. Although multifaceted implementation strategies to change professional behaviour are attractive, their inherent complexity is also a pitfall for large-scale implementation. © 2014 John Wiley & Sons, Ltd.

  5. Zerodur polishing process for high surface quality and high efficiency

    International Nuclear Information System (INIS)

    Tesar, A.; Fuchs, B.

    1992-08-01

    Zerodur is a glass-ceramic composite importance in applications where temperature instabilities influence optical and mechanical performance, such as in earthbound and spaceborne telescope mirror substrates. Polished Zerodur surfaces of high quality have been required for laser gyro mirrors. Polished surface quality of substrates affects performance of high reflection coatings. Thus, the interest in improving Zerodur polished surface quality has become more general. Beyond eliminating subsurface damage, high quality surfaces are produced by reducing the amount of hydrated material redeposited on the surface during polishing. With the proper control of polishing parameters, such surfaces exhibit roughnesses of < l Angstrom rms. Zerodur polishing was studied to recommend a high surface quality polishing process which could be easily adapted to standard planetary continuous polishing machines and spindles. This summary contains information on a polishing process developed at LLNL which reproducibly provides high quality polished Zerodur surfaces at very high polishing efficiencies

  6. A Quality Improvement Collaborative Program for Neonatal Pain Management in Japan

    Science.gov (United States)

    Yokoo, Kyoko; Funaba, Yuuki; Fukushima, Sayo; Fukuhara, Rie; Uchida, Mieko; Aiba, Satoru; Doi, Miki; Nishimura, Akira; Hayakawa, Masahiro; Nishimura, Yutaka; Oohira, Mitsuko

    2017-01-01

    Background: Neonatal pain management guidelines have been released; however, there is insufficient systematic institutional support for the adoption of evidence-based pain management in Japan. Purpose: To evaluate the impact of a collaborative quality improvement program on the implementation of pain management improvements in Japanese neonatal intensive care units (NICUs). Methods: Seven Japanese level III NICUs participated in a neonatal pain management quality improvement program based on an Institute for Healthcare Improvement collaborative model. The NICUs developed evidence-based practice points for pain management and implemented these over a 12-month period. Changes were introduced through a series of Plan-Do-Study-Act cycles, and throughout the process, pain management quality indicators were tracked as performance measures. Jonckheere's trend test and the Cochran-Armitage test for trend were used to examine the changes in quality indicator implementations over time (baseline, 3 months, 6 months, and 12 months). Findings: Baseline pain management data from the 7 sites revealed substantial opportunities for improvement of pain management, and testing changes in the NICU setting resulted in measurable improvements in pain management. During the intervention phase, all participating sites introduced new pain assessment tools, and all sites developed electronic medical record forms to capture pain score, interventions, and infant responses to interventions. Implications for Practice: The use of collaborative quality improvement techniques played a key role in improving pain management in the NICUs. Implications for Research: Collaborative improvement programs provide an attractive strategy for solving evidence-practice gaps in the NICU setting. PMID:28114148

  7. Power theories for improved power quality

    CERN Document Server

    Pasko, Marian

    2012-01-01

    Power quality describes a set of parameters of electric power and the load’s ability to function properly under specific conditions. It is estimated that problems relating to power quality costs the European industry hundreds of billions of Euros annually. In contrast, financing for the prevention of these problems amount to fragments of these costs. Power Theories for Improved Power Quality addresses this imbalance by presenting and assessing a range of methods and problems related to improving the quality of electric power supply. Focusing particularly on active compensators and the DSP based control algorithms, Power Theories for Improved Power Quality introduces the fundamental problems of electrical power. This introduction is followed by chapters which discuss: •‘Power theories’ including their historical development and application to practical problems, •operational principles of active compensator’s DSP control based algorithms using examples and results from laboratory research, and •t...

  8. Improving the Quality of Hot Stamping Parts with Innovative Press Technology and Inline Process Control

    Science.gov (United States)

    Vollmer, R.; Palm, C.

    2017-09-01

    The increasing number of hot stamped parts in the automotive industry is challenging different process areas. This paper presents a method how to improve the production rates over the whole life cycle of a hot forming part. In the core element of a hot forming line, the hydraulic press, mainly two processing steps are performed. Forming and quenching of the sheet metal part. In addition to the forming operation, it is inevitable to optimize the quenching condition in the bottom dead centre in order to reach a fully martensitic structure and tight geometrical tolerances of the part. Deviations in the blank thickness, tool wear, polishing of classical tools impair the quenching condition and therefore the part quality over the time. A new press and tool design has been developed to counter this effect by providing homogenous contact pressure over the whole die. Especially with a multi cavity tool, the new method is advantageous. Test series have shown that the new tool and press concept can produce parts with a blank thickness of 1.0 mm within 8.0 s cycle time. The so called PCH flex principle makes it possible to produce such high output rates under reliable conditions.

  9. Identification of Long Bone Fractures in Radiology Reports Using Natural Language Processing to support Healthcare Quality Improvement.

    Science.gov (United States)

    Grundmeier, Robert W; Masino, Aaron J; Casper, T Charles; Dean, Jonathan M; Bell, Jamie; Enriquez, Rene; Deakyne, Sara; Chamberlain, James M; Alpern, Elizabeth R

    2016-11-09

    Important information to support healthcare quality improvement is often recorded in free text documents such as radiology reports. Natural language processing (NLP) methods may help extract this information, but these methods have rarely been applied outside the research laboratories where they were developed. To implement and validate NLP tools to identify long bone fractures for pediatric emergency medicine quality improvement. Using freely available statistical software packages, we implemented NLP methods to identify long bone fractures from radiology reports. A sample of 1,000 radiology reports was used to construct three candidate classification models. A test set of 500 reports was used to validate the model performance. Blinded manual review of radiology reports by two independent physicians provided the reference standard. Each radiology report was segmented and word stem and bigram features were constructed. Common English "stop words" and rare features were excluded. We used 10-fold cross-validation to select optimal configuration parameters for each model. Accuracy, recall, precision and the F1 score were calculated. The final model was compared to the use of diagnosis codes for the identification of patients with long bone fractures. There were 329 unique word stems and 344 bigrams in the training documents. A support vector machine classifier with Gaussian kernel performed best on the test set with accuracy=0.958, recall=0.969, precision=0.940, and F1 score=0.954. Optimal parameters for this model were cost=4 and gamma=0.005. The three classification models that we tested all performed better than diagnosis codes in terms of accuracy, precision, and F1 score (diagnosis code accuracy=0.932, recall=0.960, precision=0.896, and F1 score=0.927). NLP methods using a corpus of 1,000 training documents accurately identified acute long bone fractures from radiology reports. Strategic use of straightforward NLP methods, implemented with freely available

  10. Quality of care in reproductive health programmes: monitoring and evaluation of quality improvement.

    Science.gov (United States)

    Kwast, B E

    1998-12-01

    As 200 million women become pregnant every year, at least 30 million will develop life-threatening complications requiring emergency treatment at any level of society where they live. But it is a basic human right that pregnancy be made safe for all women as complications are mostly unpredictable. This requires reproductive health programmes which are responsive to women's and their families' needs and expectations on the one hand and enhancement of community participation, high quality obstetric services, and both provider collaboration and satisfaction on the other. Monitoring and evaluation of these facets need to be an integral part of any safe motherhood programme, not only to assess progress, but also to use this information for subsequent planning and implementation cycles of national programmes. Lessons learned from ten years' implementation of Safe Motherhood programmes indicate that process and outcome indicators are more feasible for short-term evaluation purposes than impact indicators, such as maternal mortality reduction. The former are described in this paper with relevant country examples. This is the third, and last, article in a series on quality of care in reproductive health programmes. The first (Kwast 1998a) contains an overview of concepts, assessments, barriers and improvements of quality of care. The second (Kwast 1998b) addresses education issues for quality improvement.

  11. How can we recognize continuous quality improvement?

    Science.gov (United States)

    Rubenstein, Lisa; Khodyakov, Dmitry; Hempel, Susanne; Danz, Margie; Salem-Schatz, Susanne; Foy, Robbie; O'Neill, Sean; Dalal, Siddhartha; Shekelle, Paul

    2014-02-01

    Continuous quality improvement (CQI) methods are foundational approaches to improving healthcare delivery. Publications using the term CQI, however, are methodologically heterogeneous, and labels other than CQI are used to signify relevant approaches. Standards for identifying the use of CQI based on its key methodological features could enable more effective learning across quality improvement (QI) efforts. The objective was to identify essential methodological features for recognizing CQI. Previous work with a 12-member international expert panel identified reliably abstracted CQI methodological features. We tested which features met rigorous a priori standards as essential features of CQI using a three-phase online modified-Delphi process. Primarily United States and Canada. 119 QI experts randomly assigned into four on-line panels. Participants rated CQI features and discussed their answers using online, anonymous and asynchronous discussion boards. We analyzed ratings quantitatively and discussion threads qualitatively. Main outcome measure(s) Panel consensus on definitional CQI features. /st> Seventy-nine (66%) panelists completed the process. Thirty-three completers self-identified as QI researchers, 18 as QI practitioners and 28 as both equally. The features 'systematic data guided activities,' 'designing with local conditions in mind' and 'iterative development and testing' met a priori standards as essential CQI features. Qualitative analyses showed cross-cutting themes focused on differences between QI and CQI. We found consensus among a broad group of CQI researchers and practitioners on three features as essential for identifying QI work more specifically as 'CQI.' All three features are needed as a minimum standard for recognizing CQI methods.

  12. Using Focused Laboratory Management and Quality Improvement Projects to Enhance Resident Training and Foster Scholarship

    Science.gov (United States)

    Ford, Bradley A.; Klutts, J. Stacey; Jensen, Chris S.; Briggs, Angela S.; Robinson, Robert A.; Bruch, Leslie A.; Karandikar, Nitin J.

    2017-01-01

    Training in patient safety, quality, and management is widely recognized as an important element of graduate medical education. These concepts have been intertwined in pathology graduate medical education for many years, although training programs face challenges in creating explicit learning opportunities in these fields. Tangibly involving pathology residents in management and quality improvement projects has the potential to teach and reinforce key concepts and further fulfill Accreditation Council for Graduate Medical Education goals for pursuing projects related to patient safety and quality improvement. In this report, we present our experience at a pathology residency program (University of Iowa) in engaging pathology residents in projects related to practical issues of laboratory management, process improvement, and informatics. In this program, at least 1 management/quality improvement project, typically performed during a clinical chemistry/management rotation, was required and ideally resulted in a journal publication. The residency program also initiated a monthly management/informatics series for pathology externs, residents, and fellows that covers a wide range of topics. Since 2010, all pathology residents at the University of Iowa have completed at least 1 management/quality improvement project. Many of the projects involved aspects of laboratory test utilization, with some projects focused on other areas such as human resources, informatics, or process improvement. Since 2012, 31 peer-reviewed journal articles involving effort from 26 residents have been published. Multiple projects resulted in changes in ongoing practice, particularly within the hospital electronic health record. Focused management/quality improvement projects involving pathology residents can result in both meaningful quality improvement and scholarly output. PMID:28913416

  13. Using Focused Laboratory Management and Quality Improvement Projects to Enhance Resident Training and Foster Scholarship.

    Science.gov (United States)

    Krasowski, Matthew D; Ford, Bradley A; Klutts, J Stacey; Jensen, Chris S; Briggs, Angela S; Robinson, Robert A; Bruch, Leslie A; Karandikar, Nitin J

    2017-01-01

    Training in patient safety, quality, and management is widely recognized as an important element of graduate medical education. These concepts have been intertwined in pathology graduate medical education for many years, although training programs face challenges in creating explicit learning opportunities in these fields. Tangibly involving pathology residents in management and quality improvement projects has the potential to teach and reinforce key concepts and further fulfill Accreditation Council for Graduate Medical Education goals for pursuing projects related to patient safety and quality improvement. In this report, we present our experience at a pathology residency program (University of Iowa) in engaging pathology residents in projects related to practical issues of laboratory management, process improvement, and informatics. In this program, at least 1 management/quality improvement project, typically performed during a clinical chemistry/management rotation, was required and ideally resulted in a journal publication. The residency program also initiated a monthly management/informatics series for pathology externs, residents, and fellows that covers a wide range of topics. Since 2010, all pathology residents at the University of Iowa have completed at least 1 management/quality improvement project. Many of the projects involved aspects of laboratory test utilization, with some projects focused on other areas such as human resources, informatics, or process improvement. Since 2012, 31 peer-reviewed journal articles involving effort from 26 residents have been published. Multiple projects resulted in changes in ongoing practice, particularly within the hospital electronic health record. Focused management/quality improvement projects involving pathology residents can result in both meaningful quality improvement and scholarly output.

  14. Ethics policy review: a case study in quality improvement.

    Science.gov (United States)

    Frolic, Andrea Nadine; Drolet, Katherine

    2013-02-01

    Policy work is often cited as one of the primary functions of Hospital Ethics Committees (HECs), along with consultation and education. Hospital policies can have far reaching effects on a wide array of stakeholders including, care providers, patients, families, the culture of the organisation and the community at large. In comparison with the wealth of information available about the emerging practice of ethics consultation, relatively little attention has been paid to the policy work of HECs. In this paper, we hope to advance the development of best practices in HEC policy work by describing the quality improvement process that we undertook at Hamilton Health Sciences, Hamilton, Ontario, Canada. In the first section of the paper we describe the context of our HEC policy work, and the shortcomings of our historical review process. In subsequent sections, we detail the quality improvement project we undertook in 2010, the results of the project and the specific tools we developed to enhance the quality of HEC policy work. Our goal in sharing this organisational case study is to prompt other HECs to publish qualitative descriptions of their policy work, in order to generate a body of knowledge that can inform the development of best practices for ethics policy review.

  15. Improvement of the image quality of a high-temperature vision system

    International Nuclear Information System (INIS)

    Fabijańska, Anna; Sankowski, Dominik

    2009-01-01

    In this paper, the issues of controlling and improving the image quality of a high-temperature vision system are considered. The image quality improvement is needed to measure the surface properties of metals and alloys. Two levels of image quality control and improvement are defined in the system. The first level in hardware aims at adjusting the system configuration to obtain the highest contrast and weakest aura images. When optimal configuration is obtained, the second level in software is applied. In this stage, image enhancement algorithms are applied which have been developed with consideration of distortions arising from the vision system components and specificity of images acquired during the measurement process. The developed algorithms have been applied in the vision system to images. The influence on the accuracy of wetting angles and surface tension determination are considered

  16. Learning Evaluation: blending quality improvement and implementation research methods to study healthcare innovations.

    Science.gov (United States)

    Balasubramanian, Bijal A; Cohen, Deborah J; Davis, Melinda M; Gunn, Rose; Dickinson, L Miriam; Miller, William L; Crabtree, Benjamin F; Stange, Kurt C

    2015-03-10

    In healthcare change interventions, on-the-ground learning about the implementation process is often lost because of a primary focus on outcome improvements. This paper describes the Learning Evaluation, a methodological approach that blends quality improvement and implementation research methods to study healthcare innovations. Learning Evaluation is an approach to multi-organization assessment. Qualitative and quantitative data are collected to conduct real-time assessment of implementation processes while also assessing changes in context, facilitating quality improvement using run charts and audit and feedback, and generating transportable lessons. Five principles are the foundation of this approach: (1) gather data to describe changes made by healthcare organizations and how changes are implemented; (2) collect process and outcome data relevant to healthcare organizations and to the research team; (3) assess multi-level contextual factors that affect implementation, process, outcome, and transportability; (4) assist healthcare organizations in using data for continuous quality improvement; and (5) operationalize common measurement strategies to generate transportable results. Learning Evaluation principles are applied across organizations by the following: (1) establishing a detailed understanding of the baseline implementation plan; (2) identifying target populations and tracking relevant process measures; (3) collecting and analyzing real-time quantitative and qualitative data on important contextual factors; (4) synthesizing data and emerging findings and sharing with stakeholders on an ongoing basis; and (5) harmonizing and fostering learning from process and outcome data. Application to a multi-site program focused on primary care and behavioral health integration shows the feasibility and utility of Learning Evaluation for generating real-time insights into evolving implementation processes. Learning Evaluation generates systematic and rigorous cross

  17. Kaizen method for esophagectomy patients: improved quality control, outcomes, and decreased costs.

    Science.gov (United States)

    Iannettoni, Mark D; Lynch, William R; Parekh, Kalpaj R; McLaughlin, Kelley A

    2011-04-01

    The majority of costs associated with esophagectomy are related to the initial 3 days of hospital stay requiring intensive care unit stays, ventilator support, and intraoperative time. Additional costs arise from hospital-based services. The major cost increases are related to complications associated with the procedure. We attempted to define these costs and identify expense management by streamlining care through strict adherence to patient care maps, operative standardization, and rapid discharge planning to reduce variability. Utilizing methods of Kaizen philosophy we evaluated all processes related to the entire experience of esophageal resection. This process has taken over 5 years to achieve, with quality and cost being tracked over this time period. Cost analysis included expenses related to intensive care unit, anesthesia, disposables, and hospital services. Quality improvement measures were related to intraoperative complications, in-hospital complications, and postoperative outcomes. The Institutional Review Board approved the use of anonymous data from standard clinical practice because no additional treatment was planned (observational study). Utilizing a continuous process improvement methodology, a 43% reduction in cost per case has been achieved with a significant increase in contribution margin for esophagectomy. The length of stay has been reduced from 14 days to 5. With intraoperative and postoperative standardization the leak rate has dropped from 12% to less than 3% to no leaks in our current Kaizen modification of care in our last 64 patients. Utilizing lean manufacturing techniques and continuous process evaluation we have attempted to eliminate variability, standardized the phases of care resulting in improved outcomes, decreased length of stay, and improved contribution margins. These Kaizen improvements require continuous interventions, strict adherence to care maps, and input from all levels for quality improvements. Copyright © 2011 The

  18. Improved quality monitoring of multi-center acupuncture clinical trials in China

    Directory of Open Access Journals (Sweden)

    Zheng Hui

    2009-12-01

    Full Text Available Abstract Background In 2007, the Chinese Science Division of the State Administration of Traditional Chinese Medicine(TCM convened a special conference to discuss quality control for TCM clinical research. Control and assurance standards were established to guarantee the quality of clinical research. This paper provides practical guidelines for implementing strict and reproducible quality control for acupuncture randomized controlled trials (RCTs. Methods A standard quality control program (QCP was established to monitor the quality of acupuncture trials. Case report forms were designed; qualified investigators, study personnel and data management personnel were trained. Monitors, who were directly appointed by the project leader, completed the quality control programs. They guaranteed data accuracy and prevented or detected protocol violations. Clinical centers and clinicians were audited, the randomization system of the centers was inspected, and the treatment processes were audited as well. In addition, the case report forms were reviewed for completeness and internal consistency, the eligibility and validity of the patients in the study was verified, and data was monitored for compliance and accuracy. Results and discussion The monitors complete their reports and submit it to quality assurance and the sponsors. Recommendations and suggestions are made for improving performance. By holding regular meetings to discuss improvements in monitoring standards, the monitors can improve quality and efficiency. Conclusions Supplementing and improving the existed guidelines for quality monitoring will ensure that large multi-centre acupuncture clinical trials will be considered as valid and scientifically stringent as pharmaceutical clinical trials. It will also develop academic excellence and further promote the international recognition of acupuncture.

  19. Improving Accuracy of Processing Through Active Control

    Directory of Open Access Journals (Sweden)

    N. N. Barbashov

    2016-01-01

    Full Text Available An important task of modern mathematical statistics with its methods based on the theory of probability is a scientific estimate of measurement results. There are certain costs under control, and under ineffective control when a customer has got defective products these costs are significantly higher because of parts recall.When machining the parts, under the influence of errors a range scatter of part dimensions is offset towards the tolerance limit. To improve a processing accuracy and avoid defective products involves reducing components of error in machining, i.e. to improve the accuracy of machine and tool, tool life, rigidity of the system, accuracy of the adjustment. In a given time it is also necessary to adapt machine.To improve an accuracy and a machining rate there, currently  become extensively popular various the in-process gaging devices and controlled machining that uses adaptive control systems for the process monitoring. Improving the accuracy in this case is compensation of a majority of technological errors. The in-cycle measuring sensors (sensors of active control allow processing accuracy improvement by one or two quality and provide a capability for simultaneous operation of several machines.Efficient use of in-cycle measuring sensors requires development of methods to control the accuracy through providing the appropriate adjustments. Methods based on the moving average, appear to be the most promising for accuracy control since they include data on the change in some last measured values of the parameter under control.

  20. Does enhanced regulation improve EIA report quality? Lessons from South Africa

    Energy Technology Data Exchange (ETDEWEB)

    Sandham, L.A., E-mail: luke.sandham@nwu.ac.za [Environmental Assessment Research Group, School of Geo and Spatial Sciences, North-West University, Private Bag X6001, Potchefstroom, 2520 (South Africa); Heerden, A.J. van [Environmental Assessment Research Group, School of Geo and Spatial Sciences, North-West University, Private Bag X6001, Potchefstroom, 2520 (South Africa); Jones, C.E. [School of Environment and Development, University of Manchester, Oxford Road, Manchester, M13 9PL (United Kingdom); Retief, F.P.; Morrison-Saunders, A.N. [Environmental Assessment Research Group, School of Geo and Spatial Sciences, North-West University, Private Bag X6001, Potchefstroom, 2520 (South Africa)

    2013-01-15

    Recently, various EIA systems have been subjected to system review processes with a view to improve performance. Many of these reviews resulted in some form of legislative reform. The South African Environmental Impact Assessment (EIA) regulations were modified in 2006 with the express intent to improve EIA effectiveness. In order to evaluate to what extent the desired outcome was achieved, the quality of EIA reports produced under the 2006 regulations was investigated for comparative analysis with the preceding regime. A sample of EIA reports from the two legislative regimes was reviewed using an adapted version of a well established method known colloquially as the 'Lee and Colley' review package. Despite some improvements in certain aspects, overall report quality has decreased slightly from the 1997 EIA regime. It therefore appears that the modifications to the regulations, often heralded as the solution to improvements in performance have not resulted in improved quality of EIA reports. - Highlights: Black-Right-Pointing-Pointer EIA regulations in South Africa were revised and became more comprehensive in 2006. Black-Right-Pointing-Pointer The report quality of a sample of EIAs was reviewed using the Lee and Colley review package. Black-Right-Pointing-Pointer Report quality showed a slight decline from the previous regulatory regime. Black-Right-Pointing-Pointer EIA good practice needs flexibility rather than over-detailed regulation.

  1. Reducing RN Vacancy Rate: A Nursing Recruitment Office Process Improvement Project.

    Science.gov (United States)

    Hisgen, Stephanie A; Page, Nancy E; Thornlow, Deirdre K; Merwin, Elizabeth I

    2018-06-01

    The aim of this study was to reduce the RN vacancy rate at an academic medical center by improving the hiring process in the Nursing Recruitment Office. Inability to fill RN positions can lead to higher vacancy rates and negatively impact staff and patient satisfaction, quality outcomes, and the organization's bottom line. The Model for Improvement was used to design and implement a process improvement project to improve the hiring process from time of interview through the position being filled. Number of days to interview and check references decreased significantly, but no change in overall time to hire and time to fill positions was noted. RN vacancy rate also decreased significantly. Nurse manager satisfaction with the hiring process increased significantly. Redesigning the recruitment process supported operational efficiencies of the organization related to RN recruitment.

  2. A lean six sigma approach to improve municipal service processes

    Directory of Open Access Journals (Sweden)

    Engelbert Zefaj

    2017-03-01

    Full Text Available This research aims to identify the current state of process management for municipal subsidies and is proposing to improve the process by reducing motion, deadlines and excessive actions and to eliminate duplication of processes and overproduction. Time spent and process effi ciency is the subject tackled in this research. Several areas of action that affect the overall process as departments, regulations, time, experts, movements, materials and procedures have been explored. Lean six sigma/DMAIC model is used as an adequate mechanism for the implementation of this project which aims to improve the quality of service. The result of the research is not limited to only one specific process, the applied model in this study can be used to improve many processes in municipalities. Currently, in order to receive a fi nal response from municipal authorities for subsidies allocation, 41hr of process time, 160 hr of calendar time and 232 hr of wait time are needed. The process effi ciency is only 10%.

  3. Coping with transition: improving the management process

    International Nuclear Information System (INIS)

    Griffin, J.; McAlister, J.

    1985-01-01

    It goes without saying that the industry is indeed in transition. Not only do expectations from regulators and the public continue to grow in intensity and complexity, but out ability to make appropriate responses seems to be becoming exceedingly more difficult as well. At AP and L, the energy supply department has some 2,000 employees and operates (in addition to providing general office engineering, technical, and administrative support) all of AP and L's power plants. These include two nuclear units and four coal units as well as hydro, oil and gas plants. In January 1984 the company began an effort with our senior departmental management to try and improve the management process itself. The ultimate goal is to create a climate conductive to improved productivity and quality without the initial (and sometimes risky) across-the-board implementation of techniques such as quality circles

  4. Quality improvement of pyrolysis oil from waste rubber by adding sawdust

    International Nuclear Information System (INIS)

    Wang, Wen-liang; Chang, Jian-min; Cai, Li-ping; Shi, Sheldon Q.

    2014-01-01

    Highlights: • Rubber-pyrolysis oil is difficult to be fuel due to high proportion of PAHs. • The efficiency of pyrolysis was increased as the percentage of sawdust increased. • The adding of sawdust improved pyrolysis oil quality by reducing the PAHs content. • Adding sawdust reduced nitrogen/sulfur in oil and was easier to convert to diesel. - Abstract: This work was aimed at improving the pyrolysis oil quality of waste rubber by adding larch sawdust. Using a 1 kg/h stainless pyrolysis reactor, the contents of sawdust in rubber were gradually increased from 0%, 50%, 100% and 200% (wt%) during the pyrolysis process. Using a thermo-gravimetric (TG) analyzer coupled with Fourier transform infrared (FTIR) analysis of evolving products (TG–FTIR), the weight loss characteristics of the heat under different mixtures of sawdust/rubber were observed. Using the pyrolysis–gas chromatography (GC)–mass spectrometry (Py–GC/MS), the vapors from the pyrolysis processes were collected and the compositions of the vapors were examined. During the pyrolysis process, the recovery of the pyrolysis gas and its composition were measured in-situ at a reaction temperature of 450 °C and a retaining time of 1.2 s. The results indicated that the efficiency of pyrolysis was increased and the residual carbon was reduced as the percentage of sawdust increased. The adding of sawdust significantly improved the pyrolysis oil quality by reducing the polycyclic aromatic hydrocarbons (PAHs) and nitrogen and sulfur compounds contents, resulting in an improvement in the combustion efficiency of the pyrolysis oil

  5. Quality improvement of pyrolysis oil from waste rubber by adding sawdust

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Wen-liang [MOE Key Laboratory of Wooden Material Science and Application, College of Material Science and Technology, Wood Science and Technology, Beijing Forestry University, 100083 Beijing (China); Chang, Jian-min, E-mail: cjianmin@bjfu.edu.cn [MOE Key Laboratory of Wooden Material Science and Application, College of Material Science and Technology, Wood Science and Technology, Beijing Forestry University, 100083 Beijing (China); Cai, Li-ping [Mechanical and Energy Engineering Department, University of North Texas, 3940 N. Elm, Denton 72076, TX (United States); Shi, Sheldon Q., E-mail: Sheldon.Shi@unt.edu [Mechanical and Energy Engineering Department, University of North Texas, 3940 N. Elm, Denton 72076, TX (United States)

    2014-12-15

    Highlights: • Rubber-pyrolysis oil is difficult to be fuel due to high proportion of PAHs. • The efficiency of pyrolysis was increased as the percentage of sawdust increased. • The adding of sawdust improved pyrolysis oil quality by reducing the PAHs content. • Adding sawdust reduced nitrogen/sulfur in oil and was easier to convert to diesel. - Abstract: This work was aimed at improving the pyrolysis oil quality of waste rubber by adding larch sawdust. Using a 1 kg/h stainless pyrolysis reactor, the contents of sawdust in rubber were gradually increased from 0%, 50%, 100% and 200% (wt%) during the pyrolysis process. Using a thermo-gravimetric (TG) analyzer coupled with Fourier transform infrared (FTIR) analysis of evolving products (TG–FTIR), the weight loss characteristics of the heat under different mixtures of sawdust/rubber were observed. Using the pyrolysis–gas chromatography (GC)–mass spectrometry (Py–GC/MS), the vapors from the pyrolysis processes were collected and the compositions of the vapors were examined. During the pyrolysis process, the recovery of the pyrolysis gas and its composition were measured in-situ at a reaction temperature of 450 °C and a retaining time of 1.2 s. The results indicated that the efficiency of pyrolysis was increased and the residual carbon was reduced as the percentage of sawdust increased. The adding of sawdust significantly improved the pyrolysis oil quality by reducing the polycyclic aromatic hydrocarbons (PAHs) and nitrogen and sulfur compounds contents, resulting in an improvement in the combustion efficiency of the pyrolysis oil.

  6. The experience factory: Can it make you a 5? or what is its relationship to other quality and improvement concepts?

    Science.gov (United States)

    Basili, Victor R.

    1992-01-01

    The concepts of quality improvements have permeated many businesses. It is clear that the nineties will be the quality era for software and there is a growing need to develop or adapt quality improvement approaches to the software business. Thus we must understand software as an artifact and software as a business. Since the business we are dealing with is software, we must understand the nature of software and software development. The software discipline is evolutionary and experimental; it is a laboratory science. Software is development not production. The technologies of the discipline are human based. There is a lack of models that allow us to reason about the process and the product. All software is not the same; process is a variable, goals are variable, etc. Packaged, reusable, experiences require additional resources in the form of organization, processes, people, etc. There have been a variety of organizational frameworks proposed to improve quality for various businesses. The ones discussed in this presentation include: Plan-Do-Check-Act, a quality improvement process based upon a feedback cycle for optimizing a single process model/production line; the Experience Factory/Quality Improvement Paradigm, continuous improvements through the experimentation, packaging, and reuse of experiences based upon a business's needs; Total Quality Management, a management approach to long term success through customer satisfaction based on the participation of all members of an organization; the SEI capability maturity model, a staged process improvement based upon assessment with regard to a set of key process areas until you reach a level 5 which represents a continuous process improvement; and Lean (software) Development, a principle supporting the concentration of the production on 'value added' activities and the elimination of reduction of 'not value added' activities.

  7. Quality improvement of fingerprints of decayed corpses by local thanatopractical processing (Thanatoprint

    Directory of Open Access Journals (Sweden)

    Gahr, Britta

    2013-11-01

    Full Text Available Thanatopractical processing allows morphological reconstruction of even advanced decayed bodies. By extracting fluids from the body’s tissue antemortem tenseness and volume can be restored. If bodies are partly subject to thanatopractical processing in the hand region (“Thanatoprint”, fingerprints of high quality can be gathered even in cases of advanced decay. Without this treatment fingerprinting can be extremely difficult, if not impossible. Thanatopractical processing could be applied successfully in cases of partial to subtotal detachment of the epidermis as well. In an interdisciplinary study 400 fingerprints of bodies in various states of decay were examined after application of Thanatoprint. In 76.75% fingerprints were applicable for data entry into AFIS (Automated Fingerprint Identification System; another 11.00% of the fingerprints could be used for the process of non-elimination. Further advantages of the method are low invasivity while maintaining the integrity of the corpse, less time- and material requirement as well as its long-lasting effect.

  8. Improving Construction Process through Integration and Concurrent Engineering

    Directory of Open Access Journals (Sweden)

    Malik Khalfan

    2012-11-01

    Full Text Available In an increasingly competitive business environment, improvedtime-to-market, reduced production cost, quality of the productand customer involvement are rapidly becoming the key successfactors for any product development process. Consequently, mostorganisations are moving towards the adoption of latest technologyand new management concepts and philosophies such as totalquality management and concurrent engineering (CE to bringimprovement in their product development process. This paperdiscusses the adoption of integrated processes and CE withinthe construction industry to enable construction organisations toimprove their project development process. It also discusses aproposed integrated database model for the construction projects,which should enable the construction process to improve, becomemore effective and more efficient.

  9. Product quality considerations for mammalian cell culture process development and manufacturing.

    Science.gov (United States)

    Gramer, Michael J

    2014-01-01

    The manufacturing of a biologic drug from mammalian cells results in not a single substance, but an array of product isoforms, also known as variants. These isoforms arise due to intracellular or extracellular events as a result of biological or chemical modification. The most common examples related to biomanufacturing include amino acid modifications (glycosylation, isomerization, oxidation, adduct formation, pyroglutamate formation, phosphorylation, sulfation, amidation), amino acid sequence variants (genetic mutations, amino acid misincorporation, N- and C-terminal heterogeneity, clipping), and higher-order structure modifications (misfolding, aggregation, disulfide pairing). Process-related impurities (HCP, DNA, media components, viral particles) are also important quality attributes related to product safety. The observed ranges associated with each quality attribute define the product quality profile. A biologic drug must have a correct and consistent quality profile throughout clinical development and scale-up to commercial production to ensure product safety and efficacy. In general, the upstream process (cell culture) defines the quality of product-related substances, whereas the downstream process (purification) defines the residual level of process- and product-related impurities. The purpose of this chapter is to review the impact of the cell culture process on product quality. Emphasis is placed on studies with industrial significance and where the direct mechanism of product quality impact was determined. Where possible, recommendations for maintaining consistent or improved quality are provided.

  10. Biospecimen Reporting for Improved Study Quality (BRISQ)

    Energy Technology Data Exchange (ETDEWEB)

    National Cancer Institute; Jewell, Ph.D., Scott D.; Seijo, M.S., Edward; Kelly, Ph.D., Andrea; Somiari, Ph.D., Stella; B.Chir., M.B.; McShane, Ph.D., Lisa M.; Clark, M.D., Douglas; Greenspan, M.D., Renata; Hayes, M.D., Daniel F.; Hainaut, Ph.D., M.S., Pierre; Kim, Paula; Mansfield, Ph.D., Elizabeth; Potapova, Ph.D., Olga; Riegman, Ph.D., Peter; Rubinstein, Ph.D., Yaffa; Weier, Ph.D., Heinz-Ulrich; Zhu, Ph.D., Claire; Moore, Ph.D., Helen M.; Vaught, Ph.D., Jim; Watson, Peter

    2010-09-02

    Human biospecimens are subjected to collection, processing, and storage that can significantly alter their molecular composition and consistency. These biospecimen preanalytical factors, in turn, influence experimental outcomes and the ability to reproduce scientific results. Currently, the extent and type of information specific to the biospecimen preanalytical conditions reported in scientific publications and regulatory submissions varies widely. To improve the quality of research that uses human tissues, it is crucial that information on the handling of biospecimens be reported in a thorough, accurate, and standardized manner. The Biospecimen Reporting for Improved Study Quality (BRISQ) recommendations outlined herein are intended to apply to any study in which human biospecimens are used. The purpose of reporting these details is to supply others, from researchers to regulators, with more consistent and standardized information to better evaluate, interpret, compare, and reproduce the experimental results. The BRISQ guidelines are proposed as an important and timely resource tool to strengthen communication and publications on biospecimen-related research and to help reassure patient contributors and the advocacy community that their contributions are valued and respected.

  11. Biospecimen Reporting for Improved Study Quality (BRISQ)

    Energy Technology Data Exchange (ETDEWEB)

    Moore, Ph.D., Helen M.; Kelly Ph.D., Andrea; Jewell Ph.D., Scott D.; McShane Ph.D., Lisa M.; Clark M.D., Douglas P.; Greenspan M.D., Renata; Hayes M.D., Daniel F.; Hainaut Ph.D.,, Pierre; Kim, Paula; Mansfield Ph.D., Elizabeth; Potapova Ph.D., Olga; Riegman Ph.D., Peter; Rubinstein Ph.D., Yaffa; Seijo M.S., Edward; Somiari Ph.D., Stella; Watson M.B., Peter; Weier Ph.D., Heinz-Ulrich; Zhu Ph.D., Claire; Vaught Ph.D., Jim

    2011-04-26

    Human biospecimens are subject to a number of different collection, processing, and storage factors that can significantly alter their molecular composition and consistency. These biospecimen preanalytical factors, in turn, influence experimental outcomes and the ability to reproduce scientific results. Currently, the extent and type of information specific to the biospecimen preanalytical conditions reported in scientific publications and regulatory submissions varies widely. To improve the quality of research utilizing human tissues it is critical that information regarding the handling of biospecimens be reported in a thorough, accurate, and standardized manner. The Biospecimen Reporting for Improved Study Quality (BRISQ) recommendations outlined herein are intended to apply to any study in which human biospecimens are used. The purpose of reporting these details is to supply others, from researchers to regulators, with more consistent and standardized information to better evaluate, interpret, compare, and reproduce the experimental results. The BRISQ guidelines are proposed as an important and timely resource tool to strengthen communication and publications around biospecimen-related research and help reassure patient contributors and the advocacy community that the contributions are valued and respected.

  12. Improvement of radiology services based on the process management approach

    International Nuclear Information System (INIS)

    Amaral, Creusa Sayuri Tahara; Rozenfeld, Henrique; Costa, Janaina Mascarenhas Hornos; Magon, Maria de Fatima de Andrade; Mascarenhas, Yvone Maria

    2011-01-01

    The health sector requires continuous investments to ensure the improvement of products and services from a technological standpoint, the use of new materials, equipment and tools, and the application of process management methods. Methods associated with the process management approach, such as the development of reference models of business processes, can provide significant innovations in the health sector and respond to the current market trend for modern management in this sector (Gunderman et al. (2008) ). This article proposes a process model for diagnostic medical X-ray imaging, from which it derives a primary reference model and describes how this information leads to gains in quality and improvements.

  13. Par-baked Bread Technology: Formulation and Process Studies to Improve Quality.

    Science.gov (United States)

    Almeida, Eveline Lopes; Steel, Caroline Joy; Chang, Yoon Kil

    2016-01-01

    Extending the shelf-life of bakery products has been an important requirement resulting from the mechanization of this industry and the need to increase the distance for the distribution of final products, caused by the increase in production and consumer demand. Technologies based on the interruption of the breadmaking process represent an alternative to overcome product staling and microbiological deterioration. The production of par-baked breads is one of these technologies. It consists of baking the bread in two stages, and due to the possibility of retarding the second stage, it can be said that the bread can always be offered fresh to the consumer. The technology inserts logistics as part of the production process and creates the "hot point" concept, these being the locations where the bread is finalized, such as in the consumers' homes or sales locations. In this work, a review of the papers published on this subject was carried out, and aspects related to both the formulation and the process were considered. This technology still faces a few challenges, such as solving bread quality problems that appear due to process modifications, and these will also be considered. The market for these breads has grown rapidly and the bakery industry searches innovations related to par-baked bread technology.

  14. Improving Healthcare Logistics Processes

    DEFF Research Database (Denmark)

    Feibert, Diana Cordes

    logistics processes in hospitals and aims to provide theoretically and empirically based evidence for improving these processes to both expand the knowledge base of healthcare logistics and provide a decision tool for hospital logistics managers to improve their processes. Case studies were conducted...... processes. Furthermore, a method for benchmarking healthcare logistics processes was developed. Finally, a theoretically and empirically founded framework was developed to support managers in making an informed decision on how to improve healthcare logistics processes. This study contributes to the limited...... literature concerned with the improvement of logistics processes in hospitals. Furthermore, the developed framework provides guidance for logistics managers in hospitals on how to improve their processes given the circumstances in which they operate....

  15. Procedural justice and quality of life in compensation processes.

    Science.gov (United States)

    Elbers, Nieke A; Akkermans, Arno J; Cuijpers, Pim; Bruinvels, David J

    2013-11-01

    There is considerable evidence that being involved in compensation processes has a negative impact on claimants' health. Previous studies suggested that this negative effect is caused by a stressful compensation process: claimants suffered from a lack of communication, a lack of information, and feelings of distrust. However, these rather qualitative findings have not been quantitatively investigated yet. This observational study aimed to fill this gap of knowledge, investigating the claimants' perceived fairness of the compensation process, the provided information, and the interaction with lawyers and insurance companies, in relation to the claimants' quality of life. Participants were individuals injured in traffic accidents, older than 18 years, who were involved in a compensation process in the Netherlands. They were recruited by three claims settlement offices. Outcome measures were procedural, interactional, and informational justice, and quality of life. Participants (n=176) perceived the interaction with lawyers to be fairer than the interaction with insurance companies (pquality of life (rs=.22, p=.004). The finding that the interaction with insurance companies was considered less fair than the interaction with lawyers may imply that insurers could improve their interaction with claimants, e.g. by communicating more directly. The result that claimants with mild injuries and with trunk/back injuries considered the compensation process to be less fair than those with respectively severe injuries and injuries to other body parts suggests that especially the former two require an attentive treatment. Finally, the fact that procedural justice was positively correlated with quality of life could implicate that it is possible to improve claimants' health in compensation processes by enhancing procedural justice, e.g. by increasing the ability for claimants to express their views and feelings and by involving claimants in the decision-making process. Copyright

  16. Stakeholder-Driven Quality Improvement: A Compelling Force for Clinical Practice Guidelines.

    Science.gov (United States)

    Rosenfeld, Richard M; Wyer, Peter C

    2018-01-01

    Clinical practice guideline development should be driven by rigorous methodology, but what is less clear is where quality improvement enters the process: should it be a priority-guiding force, or should it enter only after recommendations are formulated? We argue for a stakeholder-driven approach to guideline development, with an overriding goal of quality improvement based on stakeholder perceptions of needs, uncertainties, and knowledge gaps. In contrast, the widely used topic-driven approach, which often makes recommendations based only on randomized controlled trials, is driven by epidemiologic purity and evidence rigor, with quality improvement a downstream consideration. The advantages of a stakeholder-driven versus a topic-driven approach are highlighted by comparisons of guidelines for otitis media with effusion, thyroid nodules, sepsis, and acute bacterial rhinosinusitis. These comparisons show that stakeholder-driven guidelines are more likely to address the quality improvement needs and pressing concerns of clinicians and patients, including understudied populations and patients with multiple chronic conditions. Conversely, a topic-driven approach often addresses "typical" patients, based on research that may not reflect the needs of high-risk groups excluded from studies because of ethical issues or a desire for purity of research design.

  17. Making process improvement 'stick'.

    Science.gov (United States)

    Studer, Quint

    2014-06-01

    To sustain gains from a process improvement initiative, healthcare organizations should: Explain to staff why a process improvement initiative is needed. Encourage leaders within the organization to champion the process improvement, and tie their evaluations to its outcomes. Ensure that both leaders and employees have the skills to help sustain the sought-after process improvements.

  18. Effectiveness of the Spirometry 360 Quality Improvement Program for Improving Asthma Care: A Cluster Randomized Trial.

    Science.gov (United States)

    Mangione-Smith, Rita; Zhou, Chuan; Corwin, Michael J; Taylor, James A; Rice, Fiona; Stout, James W

    To determine the effectiveness of the Spirometry 360 distance learning quality improvement (QI) program for enhancing the processes and outcomes of care for children with asthma. Cluster randomized controlled trial involving 25 matched pairs of pediatric primary care practices. Practices were recruited from 2 practice-based research networks: the Slone Center Office-based Research Network at Boston University, Boston, Mass, and the Puget Sound Pediatric Research Network, Seattle, Wash. Study participants included providers from one of the 50 enrolled pediatric practices and 626 of their patients with asthma. Process measures assessed included spirometry test quality and appropriate prescription of asthma controller medications. Outcome measures included asthma-specific health-related quality of life, and outpatient, emergency department, and inpatient utilization for asthma. At baseline, 25.4% of spirometry tests performed in control practices and 50.4% of tests performed in intervention practices were of high quality. During the 6-month postintervention period, 28.7% of spirometry tests performed in control practices and 49.9% of tests performed in intervention practices were of high quality. The adjusted difference-of-differences analysis revealed no intervention effect on spirometry test quality. Adjusted differences-of-differences analysis also revealed no intervention effect on appropriate use of controller medications or any of the parent- or patient-reported outcomes examined. In this study, the Spirometry 360 distance learning QI program was ineffective in improving spirometry test quality or parent- or patient-reported outcomes. QI programs like the one assessed here may need to focus on practices with lower baseline performance levels or may need to be tailored for those with higher baseline performance. Copyright © 2017 Academic Pediatric Association. Published by Elsevier Inc. All rights reserved.

  19. Assessment of and Improvement Strategies for the Housing of Healthy Elderly: Improving Quality of Life

    Directory of Open Access Journals (Sweden)

    I-Ming Feng

    2018-03-01

    Full Text Available Global population is aging, particularly in developed countries and cities. Through the “Friendly Elderly Cities” and “Aging in Place” initiatives, various countries are making great efforts to improve the welfare and quality of life of the elderly, with the aim of catering to the physical and spiritual health as well as social welfare of the elderly. In this regard, the improvement of the housing environment of the elderly is one of the key factors in their quality of life and health. This study aims to effectively assess and improve the housing environment of the elderly in order to enhance their quality of life; it also aims to contribute the knowledge about improving elderly housing by applying an assessment framework using expert interviews and data collected from relevant literature. Using a mixed Multi-Criteria Decision Analysis model, a combination of the DEMATEL (Decision Making Trial and Evaluation Laboratory-based ANP (Analytic Network Process (DANP and the modified VlseKriterijumska Optimizacija I Kompromisno Resenje (VIKOR methods, and the investigations and assessments in the case study, this study proposes strategies and directions for improving elderly housing. The study results indicate that, as distinct from using traditional methodologies where the hypothesis criteria are mutually independent, the proposed hybrid model (examining real-life problems by considering the mutual influences of factors identifies a priority sequence wherein emphasis is placed on improving ventilation and air quality rather than adjustment of temperature. The systemic way of thinking shifts the focus from the most apparent problems to the root cause of the problems. Doing so avoids any mismatch of resource allocation in decision-making and thus maximizes the efficiency and sustainability of the improvement.

  20. Process Improvement Essentials

    CERN Document Server

    Persse, James R

    2006-01-01

    Process Improvement Essentials combines the foundation needed to understand process improvement theory with the best practices to help individuals implement process improvement initiatives in their organization. The three leading programs: ISO 9001:2000, CMMI, and Six Sigma--amidst the buzz and hype--tend to get lumped together under a common label. This book delivers a combined guide to all three programs, compares their applicability, and then sets the foundation for further exploration.

  1. Mathematical modelling of the process of quality control of construction products

    Directory of Open Access Journals (Sweden)

    Pogorelov Vadim

    2017-01-01

    Full Text Available The study presents the results of years of research in the field of quality management of industrial production construction production, based on mathematical modelling techniques, process and results of implementing the developed programme of monitoring and quality control in the production process of the enterprise. The aim of this work is the presentation of scientific community of the practical results of mathematical modelling in application programs. In the course of the research addressed the description of the applied mathematical models, views, practical results of its application in the applied field to assess quality control. The authors used this mathematical model in practice. The article presents the results of applying this model. The authors developed the experimental software management and quality assessment by using mathematical modeling methods. The authors continue research in this direction to improve the diagnostic systems and quality management systems based on mathematical modeling methods prognostic and diagnostic processes.

  2. Statistical methods for quality assurance basics, measurement, control, capability, and improvement

    CERN Document Server

    Vardeman, Stephen B

    2016-01-01

    This undergraduate statistical quality assurance textbook clearly shows with real projects, cases and data sets how statistical quality control tools are used in practice. Among the topics covered is a practical evaluation of measurement effectiveness for both continuous and discrete data. Gauge Reproducibility and Repeatability methodology (including confidence intervals for Repeatability, Reproducibility and the Gauge Capability Ratio) is thoroughly developed. Process capability indices and corresponding confidence intervals are also explained. In addition to process monitoring techniques, experimental design and analysis for process improvement are carefully presented. Factorial and Fractional Factorial arrangements of treatments and Response Surface methods are covered. Integrated throughout the book are rich sets of examples and problems that help readers gain a better understanding of where and how to apply statistical quality control tools. These large and realistic problem sets in combination with the...

  3. Involving vendors in continuous quality improvement efforts.

    Science.gov (United States)

    McDevitt, M C

    1995-03-01

    In the hospital environment, vendors supply a wide range of items, from surgical sutures to the latest in high-cost technological equipment. Also, many clinical and support services, such as respiratory therapy, transcription, and computer databanks are now outsourced to commercial vendors. Interaction with such vendors is often less than satisfactory, with prolonged timelines and disruption of an important process that is being computerized. Although hospitals deal with very few vendors in long-term relationships, such as those seen in manufacturing, this should not preclude the formation of a supplier-customer relationship that goes beyond management's interaction with the sales representative in response to a request for proposal. This is especially true when a process improvement team has studied an internal process and defined a key quality characteristic.

  4. Promoting Continuous Quality Improvement in the Alabama Child Health Improvement Alliance Through Q-Sort Methodology and Learning Collaboratives.

    Science.gov (United States)

    Fifolt, Matthew; Preskitt, Julie; Rucks, Andrew; Corvey, Kathryn; Benton, Elizabeth Cason

    Q-sort methodology is an underutilized tool for differentiating among multiple priority measures. The authors describe steps to identify, delimit, and sort potential health measures and use selected priority measures to establish an overall agenda for continuous quality improvement (CQI) activities within learning collaboratives. Through an iterative process, the authors vetted a list of potential child and adolescent health measures. Multiple stakeholders, including payers, direct care providers, and organizational representatives sorted and prioritized measures, using Q-methodology. Q-methodology provided the Alabama Child Health Improvement Alliance (ACHIA) an objective and rigorous approach to system improvement. Selected priority measures were used to design learning collaboratives. An open dialogue among stakeholders about state health priorities spurred greater organizational buy-in for ACHIA and increased its credibility as a statewide provider of learning collaboratives. The integrated processes of Q-sort methodology, learning collaboratives, and CQI offer a practical yet innovative way to identify and prioritize state measures for child and adolescent health and establish a learning agenda for targeted quality improvement activities.

  5. Development of an equipment management model to improve effectiveness of processes

    International Nuclear Information System (INIS)

    Chang, H. S.; Ju, T. Y.; Song, T. Y.

    2012-01-01

    The nuclear industries have developed and are trying to create a performance model to improve effectiveness of the processes implemented at nuclear plants in order to enhance performance. Most high performing nuclear stations seek to continually improve the quality of their operations by identifying and closing important performance gaps. Thus, many utilities have implemented performance models adjusted to their plant's configuration and have instituted policies for such models. KHNP is developing a standard performance model to integrate the engineering processes and to improve the inter-relation among processes. The model, called the Standard Equipment Management Model (SEMM), is under development first by focusing on engineering processes and performance improvement processes related to plant equipment used at the site. This model includes performance indicators for each process that can allow evaluating and comparing the process performance among 21 operating units. The model will later be expanded to incorporate cost and management processes. (authors)

  6. A new method for wafer quality monitoring using semiconductor process big data

    Science.gov (United States)

    Sohn, Younghoon; Lee, Hyun; Yang, Yusin; Jun, Chungsam

    2017-03-01

    In this paper we proposed a new semiconductor quality monitoring methodology - Process Sensor Log Analysis (PSLA) - using process sensor data for the detection of wafer defectivity and quality monitoring. We developed exclusive key parameter selection algorithm and user friendly system which is able to handle large amount of big data very effectively. Several production wafers were selected and analyzed based on the risk analysis of process driven defects, for example alignment quality of process layers. Thickness of spin-coated material can be measured using PSLA without conventional metrology process. In addition, chip yield impact was verified by matching key parameter changes with electrical die sort (EDS) fail maps at the end of the production step. From this work, we were able to determine that process robustness and product yields could be improved by monitoring the key factors in the process big data.

  7. Quality assessment of the digitalization process of analog x-ray images

    International Nuclear Information System (INIS)

    Georgieva, D.

    2014-01-01

    Computer-assisted diagnosis enabled doctors for a second point-of-view on the test results. This improves the diseases' early detection and significantly reduces the chance of errors. These methods very nicely complemented the possibilities of digital medical imaging apparatus, but in analog images their applicability and results entirely depend on the quality of analog images digitalisation. Today many standards and remarks for good practices discuss the digital apparatus image quality but the digitalisation process of analog medical images is not a part of them. Medical imaging apparatus have become digital, but within an entirely digital medical environment is necessary for their ability to blend with the old analog medical imaging carriers. The life of patients doesn't start with the beginning of digital era and for the aim of tracking diseases it is necessary to use the new digital images as well as older analog ones. For the generation of 40-50 years a large archive of images is piled up, which should be accounted of in the diagnosis process. This article is the author's study of the digitalized image quality problem. It offers a new approach to the x-ray image digitalisation - getting the HDR-image by optical sensor. After the HDR-image generation method offers to be used a digital signal processing to improve the quality of the final 16 bit gray scale medical image. The new method for medical image enhancement is proposed - it improves the image contrast, it increases or preserves the dynamic range and it doesn't lead to the loss of small low contrast structures in the image. Key words: Quality of Digital X-Rays Images

  8. A modified Continuous Quality Improvement approach to improve culturally and socially inclusive care within rural health services.

    Science.gov (United States)

    Mitchell, Olivia; Malatzky, Christina; Bourke, Lisa; Farmer, Jane

    2018-03-23

    The sickest Australians are often those belonging to non-privileged groups, including Indigenous Australians, gay, lesbian, bisexual, transsexual, intersex and queer people, people from culturally and linguistically diverse backgrounds, socioeconomically disadvantaged groups, and people with disabilities and low English literacy. These consumers are not always engaged by, or included within, mainstream health services, particularly in rural Australia where health services are limited in number and tend to be generalist in nature. The aim of this study was to present a new approach for improving the sociocultural inclusivity of mainstream, generalist, rural, health care organisations. This approach combines a modified Continuous Quality Improvement framework with Participatory Action Research principles and Foucault's concepts of power, discourse and resistance to develop a change process that deconstructs the power relations that currently exclude marginalised rural health consumers from mainstream health services. It sets up processes for continuous learning and consumer responsiveness. The approach proposed could provide a Continuous Quality Improvement process for creating more inclusive mainstream health institutions and fostering better engagement with many marginalised groups in rural communities to improve their access to health care. The approach to improving cultural inclusion in mainstream rural health services presented in this article builds on existing initiatives. This approach focuses on engaging on-the-ground staff in the need for change and preparing the service for genuine community consultation and responsive change. It is currently being trialled and evaluated. © 2018 National Rural Health Alliance Ltd.

  9. INNOVATIVE USE OF QUALITY MANAGEMENT METHODS FOR PRODUCT IMPROVEMENT

    Directory of Open Access Journals (Sweden)

    Katarzyna MIDOR

    2016-10-01

    Full Text Available Organisations constantly look for new, innovative solutions and methods which could be used to improve their efficiency and increase the quality of their products. Identifying the causes for returns is an important issue for modern compa-nies, as returns are the cause for the increase in production costs and, most importantly, the loss of credibility in the eyes of the client. Therefore, for the company to be able to sustain or strengthen its position on the market, it has to follow the rules of quality management. Especially important is the rule of constant improvement. This rule is primarily connected with preventing errors and defects from occurring at all the stages of the production process. To achieve that, one must, among other things, use quality management tools. The article presents an analysis of causes for returns of a vibrating screen produced by a company which manufactures machinery and equipment for the extractive industry, using quality management tools such as the Ishikawa diagram and Pareto analysis. The analysis allowed for the identifi-cation of the causes of client returns which could not be previously identified, and proposing solutions for them.

  10. Innovative Use of Quality Management Methods for Product Improvement

    Science.gov (United States)

    Midor, Katarzyna; Žarnovský, Jozef

    2016-12-01

    Organisations constantly look for new, innovative solutions and methods which could be used to improve their efficiency and increase the quality of their products. Identifying the causes for returns is an important issue for modern companies, as returns are the cause for the increase in production costs and, most importantly, the loss of credibility in the eyes of the client. Therefore, for the company to be able to sustain or strengthen its position on the market, it has to follow the rules of quality management. Especially important is the rule of constant improvement. This rule is primarily connected with preventing errors and defects from occurring at all the stages of the production process. To achieve that, one must, among other things, use quality management tools. The article presents an analysis of causes for returns of a vibrating screen produced by a company which manufactures machinery and equipment for the extractive industry, using quality management tools such as the Ishikawa diagram and Pareto analysis. The analysis allowed for the identification of the causes of client returns which could not be previously identified, and proposing solutions for them.

  11. Assessing local resources and culture before instituting quality improvement projects.

    Science.gov (United States)

    Hawkins, C Matthew

    2014-12-01

    The planning phases of quality improvement projects are commonly overlooked. Disorganized planning and implementation can escalate chaos, intensify resistance to change, and increase the likelihood of failure. Two important steps in the planning phase are (1) assessing local resources available to aid in the quality improvement project and (2) evaluating the culture in which the desired change is to be implemented. Assessing local resources includes identifying and engaging key stakeholders and evaluating if appropriate expertise is available for the scope of the project. This process also involves engaging informaticists and gathering available IT tools to plan and automate (to the extent possible) the data-gathering, analysis, and feedback steps. Culture in a department is influenced by the ability and willingness to manage resistance to change, build consensus, span boundaries between stakeholders, and become a learning organization. Allotting appropriate time to perform these preparatory steps will increase the odds of successfully performing a quality improvement project and implementing change. Copyright © 2014 American College of Radiology. Published by Elsevier Inc. All rights reserved.

  12. Harmonization activities of Noklus - a quality improvement organization for point-of-care laboratory examinations.

    Science.gov (United States)

    Stavelin, Anne; Sandberg, Sverre

    2018-05-16

    Noklus is a non-profit quality improvement organization that focuses to improve all elements in the total testing process. The aim is to ensure that all medical laboratory examinations are ordered, performed and interpreted correctly and in accordance with the patients' needs for investigation, treatment and follow-up. For 25 years, Noklus has focused on point-of-care (POC) testing in primary healthcare laboratories and has more than 3100 voluntary participants. The Noklus quality system uses different tools to obtain harmonization and improvement: (1) external quality assessment for the pre-examination, examination and postexamination phase to monitor the harmonization process and to identify areas that need improvement and harmonization, (2) manufacturer-independent evaluations of the analytical quality and user-friendliness of POC instruments and (3) close interactions and follow-up of the participants through site visits, courses, training and guidance. Noklus also recommends which tests that should be performed in the different facilities like general practitioner offices, nursing homes, home care, etc. About 400 courses with more than 6000 delegates are organized annually. In 2017, more than 21,000 e-learning programs were completed.

  13. Assessing the Organizational Characteristics Influencing Quality Improvement Implementation in Saudi Hospitals.

    Science.gov (United States)

    Shamsuddin Alaraki, Mohammad

    The health care system in Saudi Arabia has serious problems with quality and safety that can be reduced through systematic quality improvement (QI) activities. Despite the use of different QI models to improve health care in Saudi hospitals during the last 2 decades, consistent improvements have not yet been achieved and the results are still far below expectations. This may reflect a problem in introducing and implementing the QI models in the local contexts. The objective of this study is to assess the extent of QI implementation in Saudi hospitals and to identify the organizational characteristics that make Saudi hospitals particularly challenging for QI. Understanding these characteristics can inform efforts to improve them and may lead to more successful implementation. A mixed-methods approach was conducted using 2 data collection tools: questionnaires and interviews. The quantitative phase (questionnaires) aimed to uncover the current level of QI implementation in Saudi hospital as measured by 7 critical dimensions adapted from the literature. The qualitative phase (interviews) aimed to understand the organizational characteristics that impede or underpin QI in Saudi hospitals. The QI implementation was found to be significantly poor across the 7 dimensions with average score ranging between 22.80 ± 0.57 and 2.11 ± 0.69 on a 5-point Likert scale and with P value of less than .05. We also found that the current level of QI implementation helped Saudi hospitals neither to improve "customer satisfaction" nor to achieve measurable improvements in "quality results" scoring significantly low at 2.11 ± 0.69 with P value of .000 and 2.47 ± 0.57 with P value of .000, respectively. Our study confirms the presence of a multitude of organizational barriers that impede QI in Saudi hospitals. These are related to organizational culture, human resources management, processes and systems, and structure. These 4 were found to have the strongest impact on QI in Saudi

  14. [Process management in the hospital pharmacy for the improvement of the patient safety].

    Science.gov (United States)

    Govindarajan, R; Perelló-Juncá, A; Parès-Marimòn, R M; Serrais-Benavente, J; Ferrandez-Martí, D; Sala-Robinat, R; Camacho-Calvente, A; Campabanal-Prats, C; Solà-Anderiu, I; Sanchez-Caparrós, S; Gonzalez-Estrada, J; Martinez-Olalla, P; Colomer-Palomo, J; Perez-Mañosas, R; Rodríguez-Gallego, D

    2013-01-01

    To define a process management model for a hospital pharmacy in order to measure, analyse and make continuous improvements in patient safety and healthcare quality. In order to implement process management, Igualada Hospital was divided into different processes, one of which was the Hospital Pharmacy. A multidisciplinary management team was given responsibility for each process. For each sub-process one person was identified to be responsible, and a working group was formed under his/her leadership. With the help of each working group, a risk analysis using failure modes and effects analysis (FMEA) was performed, and the corresponding improvement actions were implemented. Sub-process indicators were also identified, and different process management mechanisms were introduced. The first risk analysis with FMEA produced more than thirty preventive actions to improve patient safety. Later, the weekly analysis of errors, as well as the monthly analysis of key process indicators, permitted us to monitor process results and, as each sub-process manager participated in these meetings, also to assume accountability and responsibility, thus consolidating the culture of excellence. The introduction of different process management mechanisms, with the participation of people responsible for each sub-process, introduces a participative management tool for the continuous improvement of patient safety and healthcare quality. Copyright © 2012 SECA. Published by Elsevier Espana. All rights reserved.

  15. Process-Based Quality (PBQ) Tools Development; TOPICAL

    International Nuclear Information System (INIS)

    Cummins, J.L.

    2001-01-01

    The objective of this effort is to benchmark the development of process-based quality tools for application in CAD (computer-aided design) model-based applications. The processes of interest are design, manufacturing, and quality process applications. A study was commissioned addressing the impact, current technologies, and known problem areas in application of 3D MCAD (3-dimensional mechanical computer-aided design) models and model integrity on downstream manufacturing and quality processes. The downstream manufacturing and product quality processes are profoundly influenced and dependent on model quality and modeling process integrity. The goal is to illustrate and expedite the modeling and downstream model-based technologies for available or conceptual methods and tools to achieve maximum economic advantage and advance process-based quality concepts

  16. A magnet nursing service approach to nursing's role in quality improvement.

    Science.gov (United States)

    Bolton, Linda Burnes; Goodenough, Anne

    2003-01-01

    The heightened focus on quality and the rise of health care consumerism are manifestations of numerous interrelated dynamics, especially including the aging of the "baby boomers" and greater prevalence of chronic conditions, the explosion of biomedical scientific knowledge and technology, changes in prevailing methods of health care financing, a recent prolonged period of economic prosperity, widespread concerns about patient safety, return of disproportionate health care cost, and the democratization of medical knowledge consequent to widespread use of the Internet. Quality improvement in nursing was first introduced by Florence Nightingale during the Crimean War. Today, nursing quality continues to look at process, but has evolved to an emphasis on patient care outcomes. This article discusses nursing quality structure, processes, and outcomes at a large, teaching, tertiary medical center in Los Angeles, California. The medical center is one of two designated magnet nursing services in California. Nursing's role in achieving clinical and service quality for patients, communities, and staff are essential characteristics of magnet-designated nursing service organizations.

  17. 42 CFR 441.474 - Quality assurance and improvement plan.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 4 2010-10-01 2010-10-01 false Quality assurance and improvement plan. 441.474... improvement plan. (a) The State must provide a quality assurance and improvement plan that describes the State... pursue opportunities for system improvement. (b) The quality assurance and improvement plan shall also...

  18. Improvement of radiology services based on the process management approach.

    Science.gov (United States)

    Amaral, Creusa Sayuri Tahara; Rozenfeld, Henrique; Costa, Janaina Mascarenhas Hornos; Magon, Maria de Fátima de Andrade; Mascarenhas, Yvone Maria

    2011-06-01

    The health sector requires continuous investments to ensure the improvement of products and services from a technological standpoint, the use of new materials, equipment and tools, and the application of process management methods. Methods associated with the process management approach, such as the development of reference models of business processes, can provide significant innovations in the health sector and respond to the current market trend for modern management in this sector (Gunderman et al. (2008) [4]). This article proposes a process model for diagnostic medical X-ray imaging, from which it derives a primary reference model and describes how this information leads to gains in quality and improvements. Copyright © 2010 Elsevier Ireland Ltd. All rights reserved.

  19. Methodology of quality improvement projects for the Texas Medicare population.

    Science.gov (United States)

    Pendergrass, P W; Abel, R L; Bing, M; Vaughn, R; McCauley, C

    1998-07-01

    The Texas Medical Foundation, the quality improvement organization for the state of Texas, develops local quality improvement projects for the Medicare population. These projects are developed as part of the Health Care Quality Improvement Program undertaken by the Health Care Financing Administration. The goal of a local quality improvement project is to collaborate with providers to identify and reduce the incidence of unintentional variations in the delivery of care that negatively impact outcomes. Two factors are critical to the success of a quality improvement project. First, as opposed to peer review that is based on implicit criteria, quality improvement must be based on explicit criteria. These criteria represent key steps in the delivery of care that have been shown to improve outcomes for a specific disease. Second, quality improvement must be performed in partnership with the health care community. As such, the health care community must play an integral role in the design and evaluation of a quality improvement project and in the design and implementation of the resulting quality improvement plan. Specifically, this article provides a historical perspective for the transition from peer review to quality improvement. It discusses key steps used in developing and implementing local quality improvement projects including topic selection, quality indicator development, collaborator recruitment, and measurement of performance/improvement. Two Texas Medical Foundation projects are described to highlight the current methodology and to illustrate the impact of quality improvement projects.

  20. Identifying continuous quality improvement publications: what makes an improvement intervention 'CQI'?

    Science.gov (United States)

    O'Neill, Sean M; Hempel, Susanne; Lim, Yee-Wei; Danz, Marjorie S; Foy, Robbie; Suttorp, Marika J; Shekelle, Paul G; Rubenstein, Lisa V

    2011-12-01

    The term continuous quality improvement (CQI) is often used to refer to a method for improving care, but no consensus statement exists on the definition of CQI. Evidence reviews are critical for advancing science, and depend on reliable definitions for article selection. As a preliminary step towards improving CQI evidence reviews, this study aimed to use expert panel methods to identify key CQI definitional features and develop and test a screening instrument for reliably identifying articles with the key features. We used a previously published method to identify 106 articles meeting the general definition of a quality improvement intervention (QII) from 9427 electronically identified articles from PubMed. Two raters then applied a six-item CQI screen to the 106 articles. Per cent agreement ranged from 55.7% to 75.5% for the six items, and reviewer-adjusted intra-class correlation ranged from 0.43 to 0.62. 'Feedback of systematically collected data' was the most common feature (64%), followed by being at least 'somewhat' adapted to local conditions (61%), feedback at meetings involving participant leaders (46%), using an iterative development process (40%), being at least 'somewhat' data driven (34%), and using a recognised change method (28%). All six features were present in 14.2% of QII articles. We conclude that CQI features can be extracted from QII articles with reasonable reliability, but only a small proportion of QII articles include all features. Further consensus development is needed to support meaningful use of the term CQI for scientific communication.

  1. Software Process Improvement for SMEs using OMM

    OpenAIRE

    Rodríguez, Jessica

    2010-01-01

    Software Process Improvement initiatives have been implemented by many companies in order to achieve quality of products and practices. Many models like CMMI and IDEAL have been adopted as a means to gain competitive advantages among competitors and trustworthiness of customers. Although these models have proved successful results, the inherent characteristics of SMEs make it difficult and in many cases unfeasible to implement such models, without meaning that those companies are less capable...

  2. Infrared pre-drying and dry-dehulling of walnuts for improved processing efficiency and product quality

    Science.gov (United States)

    The walnut industry is faced with an urgent need to improve post-harvest processing efficiency, particularly drying and dehulling operations. This research investigated the feasibility of dry-dehulling and infrared (IR) pre-drying of walnuts for improved processing efficiency and dried product quali...

  3. Process Improvement Tools, Commitment to Change Lead to Serious Turnaround.

    Science.gov (United States)

    Birznieks, Derek; Zane, Richard

    2017-05-01

    The ED at the University of Colorado Hospital (UCH) has undergone a dramatic transformation in recent years, doubling in size while also using process improvement methods to dramatically reduce wait times, eliminate ambulance diversion, and boost patient satisfaction. Throughout this period, volume has continued to increase while the cost per patient and avoidable hospital admissions have experienced steady declines. Guiding the effort has been a series of core principles, with a particular focus on making sure that all processes are patient-centered. . To begin the improvement effort, ED leaders established a leadership team, and hired a process improvement chief with no previous experience in healthcare to provide fresh, outside perspective on processes. . In addition to mandating that all processes be patient-centered, the other guiding principles included a commitment to use and track data, to speak with one voice, to value everyone's perspective, to deliver high-quality care to all patients, and to set a standard for other academic medical centers. . To get points on the board early and win approval from staff, one of the first changes administrators implemented was to hire scribes for every physician so they wouldn't be bogged down with data input. The approach has essentially paid for itself. . Among the biggest changes was the elimination of triage, a process that improvement teams found no longer added value or quality to the patient experience. . Leadership also has moved to equilibrate the size and staff of the various zones in the ED so that they are more generic and less specialized. The move has facilitated patient flow, enabling patients in zones with resuscitation bays to connect with providers quickly.

  4. Economy of Force: Continuous Process Improvement And The Air Service

    Science.gov (United States)

    2017-06-01

    CPI to fix the delinquent areas. Paragraph 1.5.11.3 states that “Functional Managers will . . . Take appropriate actions to correct identified...the power of data-driven decisions to include Statistical Quality Control (SQL), Acceptable Quality Level (AQL), and the Plan-Do-Check-Act (PDCA... statistical analysis and process improvement from Shewhart while working at Bell Telephone Laboratories, and Deming put his mark on the world when he

  5. Narrative review of provider behavior in primary care behavioral health: How process data can inform quality improvement.

    Science.gov (United States)

    Beehler, Gregory P; Lilienthal, Kaitlin R; Possemato, Kyle; Johnson, Emily M; King, Paul R; Shepardson, Robyn L; Vair, Christina L; Reyner, Jacqueline; Funderburk, Jennifer S; Maisto, Stephen A; Wray, Laura O

    2017-09-01

    Primary care behavioral health (PCBH) is a population-based approach to delivering mental and behavioral health care in the primary care setting. Implementation of the PCBH model varies across practice settings, which can impact how PCBH providers deliver services to patients and in turn may predict a variety of important outcomes. This article aims to characterize PCBH provider engagement in key processes of integrated care as demonstrated in results from empirical studies of real-world clinical practice. For this narrative review of published studies on PCBH provider engagement in processes of care, PubMed and PsycINFO databases were searched from January 1990 through May 2016 to identify relevant articles. Provider adherence to the brief, time-limited treatment model appears suboptimal. Common mental health conditions, such as depression, were often the primary focus of provider attention, with less consistent emphasis on behavioral medicine concerns. Whereas providers regularly conducted qualitative functional assessments with patients, routine use of standardized measures was low. Engagement in interprofessional collaboration with the primary care team was also low, but engagement in behaviors that fostered therapeutic relationships was high. This review identified several strengths and weaknesses of typical PCBH provider practices. Results are discussed in relation to their value as areas for future quality improvement initiatives that can improve PCBH service delivery and, ultimately, patient outcomes. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  6. Application of kaizen methodology to foster departmental engagement in quality improvement.

    Science.gov (United States)

    Knechtges, Paul; Decker, Michael Christopher

    2014-12-01

    The Toyota Production System, also known as Lean, is a structured approach to continuous quality improvement that has been developed over the past 50 years to transform the automotive manufacturing process. In recent years, these techniques have been successfully applied to quality and safety improvement in the medical field. One of these techniques is kaizen, which is the Japanese word for "good change." The central tenant of kaizen is the quick analysis of the small, manageable components of a problem and the rapid implementation of a solution with ongoing, real-time reassessment. Kaizen adds an additional "human element" that all stakeholders, not just management, must be involved in such change. Because of the small size of the changes involved in a kaizen event and the inherent focus on human factors and change management, a kaizen event can serve as good introduction to continuous quality improvement for a radiology department. Copyright © 2014. Published by Elsevier Inc.

  7. Benchmarking as an Instrument for Improvement of Quality Management in Higher Education

    Directory of Open Access Journals (Sweden)

    Narimantas Kazimieras Paliulis

    2015-06-01

    Full Text Available Conditioned by globalisation and constant change, higher education institutions (HEIs are forced to pursue new instruments for quality assurance in higher education. States seem to pursue this aim by attempting to create an efficiently operating system of higher education that satisfies needs of diverse societal groups. Quality dimension is the most important element of efficient and effective higher education. From the perspective of a state, assessment and monitoring of quality are instruments for the management of processes of higher education. The article substantiates these statements using the evolution of the dimension of quality in the European and Lithuanian higher education in the course of the Bologna Process. The article also presents a benchmarking method and discusses its development and application tendencies in business organisations. Also, it looks at possibilities to apply this method in higher education. The main aim of this article is to explore benchmarking as an effective instrument for the improvement of performance quality in HEIs and complement the already implemented quality management systems. Another aim is to suggest this method to national agencies for quality assurance in higher education for monitoring and analysis of qualitative changes on the systematic level. The object of the article is the improvement of performance quality in HEIs. Benchmarking is proposed for the use in higher education on the institutional level as an instrument that complements presently introduced quality management systems in Lithuanian HEIs. This way, it will contribute to the formation of the culture of quality in higher education.

  8. Perfusion seed cultures improve biopharmaceutical fed-batch production capacity and product quality.

    Science.gov (United States)

    Yang, William C; Lu, Jiuyi; Kwiatkowski, Chris; Yuan, Hang; Kshirsagar, Rashmi; Ryll, Thomas; Huang, Yao-Ming

    2014-01-01

    Volumetric productivity and product quality are two key performance indicators for any biopharmaceutical cell culture process. In this work, we showed proof-of-concept for improving both through the use of alternating tangential flow perfusion seed cultures coupled with high-seed fed-batch production cultures. First, we optimized the perfusion N-1 stage, the seed train bioreactor stage immediately prior to the production bioreactor stage, to minimize the consumption of perfusion media for one CHO cell line and then successfully applied the optimized perfusion process to a different CHO cell line. Exponential growth was observed throughout the N-1 duration, reaching >40 × 10(6) vc/mL at the end of the perfusion N-1 stage. The cultures were subsequently split into high-seed (10 × 10(6) vc/mL) fed-batch production cultures. This strategy significantly shortened the culture duration. The high-seed fed-batch production processes for cell lines A and B reached 5 g/L titer in 12 days, while their respective low-seed processes reached the same titer in 17 days. The shortened production culture duration potentially generates a 30% increase in manufacturing capacity while yielding comparable product quality. When perfusion N-1 and high-seed fed-batch production were applied to cell line C, higher levels of the active protein were obtained, compared to the low-seed process. This, combined with correspondingly lower levels of the inactive species, can enhance the overall process yield for the active species. Using three different CHO cell lines, we showed that perfusion seed cultures can optimize capacity utilization and improve process efficiency by increasing volumetric productivity while maintaining or improving product quality. © 2014 American Institute of Chemical Engineers.

  9. Serving the Customer – Do Patient Feedback and Questionnaires Improve Quality?

    Science.gov (United States)

    Keshtgar, Asma; D’Cruz, Len

    2017-01-01

    This review article aims to analyse whether patient feedback and questionnaires improve quality of care. It is recognized that patients cannot assess the medical competence of the clinician, yet patient experience provides an insight into the process of care through the patients’ eyes. Patient experience measures are more reliable for use to assess quality than patient satisfaction surveys. It is inappropriate to use patient satisfaction surveys as a basis for remuneration of dentists within the NHS. Patient Reported Outcome Measures (PROMs) have been a successful measure of patient experience in medicine and their introduction to dentistry needs to be considered. Clinical relevance: This article will enable clinicians to understand the importance of patient experience measures as a more reliable way of improving the quality of clinical care than patient satisfaction surveys.

  10. Lean thinking in emergency departments: concepts and tools for quality improvement.

    Science.gov (United States)

    Bruno, Frances

    2017-10-12

    The lean approach is a viable framework for reducing costs and enhancing the quality of patient care in emergency departments (EDs). Reports on lean-inspired quality improvement initiatives are rapidly growing but there is little emphasis on the philosophy behind the processes, which is the essential ingredient in sustaining transformation. This article describes lean philosophy, also referred to as lean, lean thinking and lean healthcare, and its main concepts, to enrich the knowledge and vocabulary of nurses involved or interested in quality improvement in EDs. The article includes examples of lean strategies to illustrate their practical application in EDs. ©2012 RCN Publishing Company Ltd. All rights reserved. Not to be copied, transmitted or recorded in any way, in whole or part, without prior permission of the publishers.

  11. [Interventions to improve quality of life in oncological patients].

    Science.gov (United States)

    Klinkhammer-Schalke, Monika; Steinger, Brunhilde; Koller, Michael; Lindberg, Patricia

    2017-05-01

    The assessment of quality of life is a central aspect in the current debate in support groups, certified cancer centres, benefit assessment, and also in palliative care. Accordingly, quality of life has become an essential part of clinical trials for more than two decades. But most of the time results are presented in a descriptive manner without any concrete therapeutic consequences for the improvement of quality of life. Likewise, there are no uniform recommendations for considering quality of life data in the decision-making process. Therefore, a guide with recommendations for the assessment of quality of life in trials has been developed. Its implementation is illustrated by a complex intervention for a targeted diagnosis and therapy of quality of life in patients with breast cancer or colorectal cancer. The basis is a standardised quality of life assessment and the presentation of results in an intelligible fashion as well as the close collaboration of all healthcare providers to create regional network structures for the targeted support of patients in both the inpatient and outpatient sector. Copyright © 2017. Published by Elsevier GmbH.

  12. Crystal quality analysis and improvement using x-ray topography

    International Nuclear Information System (INIS)

    Maj, J.; Goetze, K.; Macrander, A.; Zhong, Y.; Huang, X.; Maj, L.

    2008-01-01

    The Topography X-ray Laboratory of the Advanced Photon Source (APS) at Argonne National Laboratory operates as a collaborative effort with APS users to produce high performance crystals for APS X-ray beamline experiments. For many years the topography laboratory has worked closely with an on-site optics shop to help ensure the production of crystals with the highest quality, most stress-free surface finish possible. It has been instrumental in evaluating and refining methods used to produce high quality crystals. Topographical analysis has shown to be an effective method to quantify and determine the distribution of stresses, to help identify methods that would mitigate the stresses and improve the Rocking curve, and to create CCD images of the crystal. This paper describes the topography process and offers methods for reducing crystal stresses in order to substantially improve the crystal optics.

  13. Can Quality Improvement System Improve Childcare Site Performance in School Readiness?

    Science.gov (United States)

    Ma, Xin; Shen, Jianping; Lu, Xuejin; Brandi, Karen; Goodman, Jeff; Watson, Grace

    2013-01-01

    The authors evaluated the effectiveness of the Quality Improvement System (QIS) developed and implemented by Children's Services Council of Palm Beach County (Florida) as a voluntary initiative to improve the quality of childcare and education. They adopted a growth model approach to investigate whether childcare sites that participated in QIS…

  14. Continuous quality improvement for the clinical decision unit.

    Science.gov (United States)

    Mace, Sharon E

    2004-01-01

    Clinical decision units (CDUs) are a relatively new and growing area of medicine in which patients undergo rapid evaluation and treatment. Continuous quality improvement (CQI) is important for the establishment and functioning of CDUs. CQI in CDUs has many advantages: better CDU functioning, fulfillment of Joint Commission on Accreditation of Healthcare Organizations mandates, greater efficiency/productivity, increased job satisfaction, better performance improvement, data availability, and benchmarking. Key elements include a database with volume indicators, operational policies, clinical practice protocols (diagnosis specific/condition specific), monitors, benchmarks, and clinical pathways. Examples of these important parameters are given. The CQI process should be individualized for each CDU and hospital.

  15. Continuous Quality Improvement and Comprehensive Primary Health Care: A Systems Framework to Improve Service Quality and Health Outcomes.

    Science.gov (United States)

    McCalman, Janya; Bailie, Ross; Bainbridge, Roxanne; McPhail-Bell, Karen; Percival, Nikki; Askew, Deborah; Fagan, Ruth; Tsey, Komla

    2018-01-01

    Continuous quality improvement (CQI) processes for improving clinical care and health outcomes have been implemented by primary health-care services, with resultant health-care impacts. But only 10-20% of gain in health outcomes is contributed by health-care services; a much larger share is determined by social and cultural factors. This perspective paper argues that health care and health outcomes can be enhanced through applying CQI as a systems approach to comprehensive primary health care. Referring to the Aboriginal and Torres Strait Islander Australian context as an example, the authors provide a systems framework that includes strategies and conditions to facilitate evidence-based and local decision making by primary health-care services. The framework describes the integration of CQI vertically to improve linkages with governments and community members and horizontally with other sectors to influence the social and cultural determinants of health. Further, government and primary health-care service investment is required to support and extend integration and evaluation of CQI efforts vertically and horizontally.

  16. Improving Indoor Air Quality

    Science.gov (United States)

    Usually the most effective way to improve indoor air quality is to eliminate individual sources of pollution or to reduce their emissions. Some sources, like those that contain asbestos, can be sealed or enclosed.

  17. Comprehensive Clinical Audits of Diagnostic Radiology Practices: A Tool for Quality Improvement. Quality Assurance Audit for Diagnostic Radiology Improvement and Learning (QUAADRIL)

    International Nuclear Information System (INIS)

    2011-01-01

    Interest in quality assurance processes and quality improvement in diagnostic radiology is being driven by a number of factors. These include the high cost and complexity of radiological equipment, acknowledgement of the possibility of increasing doses to patients, and the importance of radiological diagnosis to patient management within the health care environment. To acknowledge these interests, clinical audits have been introduced and, in Europe, mandated under a European Directive (Council Directive 97/47/EURATOM). Comprehensive clinical audits focus on clinical management and infrastructure, patient related and technical procedures, and education and research. This publication includes a structured set of standards appropriate for diagnostic radiology, an audit guide to their clinical review, and data collection sheets for the rapid production of reports in audit situations. It will be a useful guide for diagnostic radiology facilities wishing to improve their service to patients through timely diagnosis with minimal radiation dose.

  18. Comprehensive Clinical Audits of Diagnostic Radiology Practices: A Tool for Quality Improvement. Quality Assurance Audit for Diagnostic Radiology Improvement and Learning (QUAADRIL)

    International Nuclear Information System (INIS)

    2010-01-01

    Interest in quality assurance processes and quality improvement in diagnostic radiology is being driven by a number of factors. These include the high cost and complexity of radiological equipment, acknowledgement of the possibility of increasing doses to patients, and the importance of radiological diagnosis to patient management within the health care environment. To acknowledge these interests, clinical audits have been introduced and, in Europe, mandated under a European Directive (Council Directive 97/47/EURATOM). Comprehensive clinical audits focus on clinical management and infrastructure, patient related and technical procedures, and education and research. This publication includes a structured set of standards appropriate for diagnostic radiology, an audit guide to their clinical review, and data collection sheets for the rapid production of reports in audit situations. It will be a useful guide for diagnostic radiology facilities wishing to improve their service to patients through timely diagnosis with minimal radiation dose.

  19. [Development of whole process quality control and management system of traditional Chinese medicine decoction pieces based on traditional Chinese medicine quality tree].

    Science.gov (United States)

    Yu, Wen-Kang; Dong, Ling; Pei, Wen-Xuan; Sun, Zhi-Rong; Dai, Jun-Dong; Wang, Yun

    2017-12-01

    The whole process quality control and management of traditional Chinese medicine (TCM) decoction pieces is a system engineering, involving the base environment, seeds and seedlings, harvesting, processing and other multiple steps, so the accurate identification of factors in TCM production process that may induce the quality risk, as well as reasonable quality control measures are very important. At present, the concept of quality risk is mainly concentrated in the aspects of management and regulations, etc. There is no comprehensive analysis on possible risks in the quality control process of TCM decoction pieces, or analysis summary of effective quality control schemes. A whole process quality control and management system for TCM decoction pieces based on TCM quality tree was proposed in this study. This system effectively combined the process analysis method of TCM quality tree with the quality risk management, and can help managers to make real-time decisions while realizing the whole process quality control of TCM. By providing personalized web interface, this system can realize user-oriented information feedback, and was convenient for users to predict, evaluate and control the quality of TCM. In the application process, the whole process quality control and management system of the TCM decoction pieces can identify the related quality factors such as base environment, cultivation and pieces processing, extend and modify the existing scientific workflow according to their own production conditions, and provide different enterprises with their own quality systems, to achieve the personalized service. As a new quality management model, this paper can provide reference for improving the quality of Chinese medicine production and quality standardization. Copyright© by the Chinese Pharmaceutical Association.

  20. Donabedian's structure-process-outcome quality of care model: Validation in an integrated trauma system.

    Science.gov (United States)

    Moore, Lynne; Lavoie, André; Bourgeois, Gilles; Lapointe, Jean

    2015-06-01

    According to Donabedian's health care quality model, improvements in the structure of care should lead to improvements in clinical processes that should in turn improve patient outcome. This model has been widely adopted by the trauma community but has not yet been validated in a trauma system. The objective of this study was to assess the performance of an integrated trauma system in terms of structure, process, and outcome and evaluate the correlation between quality domains. Quality of care was evaluated for patients treated in a Canadian provincial trauma system (2005-2010; 57 centers, n = 63,971) using quality indicators (QIs) developed and validated previously. Structural performance was measured by transposing on-site accreditation visit reports onto an evaluation grid according to American College of Surgeons criteria. The composite process QI was calculated as the average sum of proportions of conformity to 15 process QIs derived from literature review and expert opinion. Outcome performance was measured using risk-adjusted rates of mortality, complications, and readmission as well as hospital length of stay (LOS). Correlation was assessed with Pearson's correlation coefficients. Statistically significant correlations were observed between structure and process QIs (r = 0.33), and process and outcome QIs (r = -0.33 for readmission, r = -0.27 for LOS). Significant positive correlations were also observed between outcome QIs (r = 0.37 for mortality-readmission; r = 0.39 for mortality-LOS and readmission-LOS; r = 0.45 for mortality-complications; r = 0.34 for readmission-complications; 0.63 for complications-LOS). Significant correlations between quality domains observed in this study suggest that Donabedian's structure-process-outcome model is a valid model for evaluating trauma care. Trauma centers that perform well in terms of structure also tend to perform well in terms of clinical processes, which in turn has a favorable influence on patient outcomes

  1. Does adding clinical data to administrative data improve agreement among hospital quality measures?

    Science.gov (United States)

    Hanchate, Amresh D; Stolzmann, Kelly L; Rosen, Amy K; Fink, Aaron S; Shwartz, Michael; Ash, Arlene S; Abdulkerim, Hassen; Pugh, Mary Jo V; Shokeen, Priti; Borzecki, Ann

    2017-09-01

    Hospital performance measures based on patient mortality and readmission have indicated modest rates of agreement. We examined if combining clinical data on laboratory tests and vital signs with administrative data leads to improved agreement with each other, and with other measures of hospital performance in the nation's largest integrated health care system. We used patient-level administrative and clinical data, and hospital-level data on quality indicators, for 2007-2010 from the Veterans Health Administration (VA). For patients admitted for acute myocardial infarction (AMI), heart failure (HF) and pneumonia we examined changes in hospital performance on 30-d mortality and 30-d readmission rates as a result of adding clinical data to administrative data. We evaluated whether this enhancement yielded improved measures of hospital quality, based on concordance with other hospital quality indicators. For 30-d mortality, data enhancement improved model performance, and significantly changed hospital performance profiles; for 30-d readmission, the impact was modest. Concordance between enhanced measures of both outcomes, and with other hospital quality measures - including Joint Commission process measures, VA Surgical Quality Improvement Program (VASQIP) mortality and morbidity, and case volume - remained poor. Adding laboratory tests and vital signs to measure hospital performance on mortality and readmission did not improve the poor rates of agreement across hospital quality indicators in the VA. Efforts to improve risk adjustment models should continue; however, evidence of validation should precede their use as reliable measures of quality. Published by Elsevier Inc.

  2. Modalities of hemodialysis: Quality improvement

    Directory of Open Access Journals (Sweden)

    Ayman Karkar

    2012-01-01

    Full Text Available Hemodialysis (HD treatment had, over many years, improved the survival rate of patients with end-stage renal disease. However, standard or conventional HD prescription is far from being optimal in replacing the function of normal kidneys. Its unphysiologic clearance pattern and inability to remove all types and sizes of uremic toxins results in inter- and intra-dialysis complications and an unacceptably high rate of cardiovascular morbidity and mortality. Efficiency of HD can be improved by increasing blood and dialysate flow rates, dialyzer size and surface area and duration and frequency of dialysis sessions. Home HD, where short daily or long slow nocturnal HD sessions can conveniently be performed, provides an excellent option for quality of life improvement and reduction in morbidity and mortality. Recent innovations in the specifications of HD machines and improvement in dialysis membranes characteristics and water treatment technology paved the way for achieving quality HD. These advancements have resulted in efficient implementation of adsorption, diffusion and/or convection principles using adsorption HD, hemofiltration, hemodiafiltration (HDF and online HDF modalities in order to achieve optimum HD. Implementation of these innovations resulted in better quality care achievements in clinical practice and reduction in morbidity and mortality rates among HD patients.

  3. Process correlation analysis model for process improvement identification.

    Science.gov (United States)

    Choi, Su-jin; Kim, Dae-Kyoo; Park, Sooyong

    2014-01-01

    Software process improvement aims at improving the development process of software systems. It is initiated by process assessment identifying strengths and weaknesses and based on the findings, improvement plans are developed. In general, a process reference model (e.g., CMMI) is used throughout the process of software process improvement as the base. CMMI defines a set of process areas involved in software development and what to be carried out in process areas in terms of goals and practices. Process areas and their elements (goals and practices) are often correlated due to the iterative nature of software development process. However, in the current practice, correlations of process elements are often overlooked in the development of an improvement plan, which diminishes the efficiency of the plan. This is mainly attributed to significant efforts and the lack of required expertise. In this paper, we present a process correlation analysis model that helps identify correlations of process elements from the results of process assessment. This model is defined based on CMMI and empirical data of improvement practices. We evaluate the model using industrial data.

  4. Modeling regional air quality and climate: improving organic aerosol and aerosol activation processes in WRF/Chem version 3.7.1

    Science.gov (United States)

    Yahya, Khairunnisa; Glotfelty, Timothy; Wang, Kai; Zhang, Yang; Nenes, Athanasios

    2017-06-01

    Air quality and climate influence each other through the uncertain processes of aerosol formation and cloud droplet activation. In this study, both processes are improved in the Weather, Research and Forecasting model with Chemistry (WRF/Chem) version 3.7.1. The existing Volatility Basis Set (VBS) treatments for organic aerosol (OA) formation in WRF/Chem are improved by considering the following: the secondary OA (SOA) formation from semi-volatile primary organic aerosol (POA), a semi-empirical formulation for the enthalpy of vaporization of SOA, and functionalization and fragmentation reactions for multiple generations of products from the oxidation of VOCs. Over the continental US, 2-month-long simulations (May to June 2010) are conducted and results are evaluated against surface and aircraft observations during the Nexus of Air Quality and Climate Change (CalNex) campaign. Among all the configurations considered, the best performance is found for the simulation with the 2005 Carbon Bond mechanism (CB05) and the VBS SOA module with semivolatile POA treatment, 25 % fragmentation, and the emissions of semi-volatile and intermediate volatile organic compounds being 3 times the original POA emissions. Among the three gas-phase mechanisms (CB05, CB6, and SAPRC07) used, CB05 gives the best performance for surface ozone and PM2. 5 concentrations. Differences in SOA predictions are larger for the simulations with different VBS treatments (e.g., nonvolatile POA versus semivolatile POA) compared to the simulations with different gas-phase mechanisms. Compared to the simulation with CB05 and the default SOA module, the simulations with the VBS treatment improve cloud droplet number concentration (CDNC) predictions (normalized mean biases from -40.8 % to a range of -34.6 to -27.7 %), with large differences between CB05-CB6 and SAPRC07 due to large differences in their OH and HO2 predictions. An advanced aerosol activation parameterization based on the Fountoukis and Nenes

  5. Speaking the right language: the scientific method as a framework for a continuous quality improvement program within academic medical research compliance units.

    Science.gov (United States)

    Nolte, Kurt B; Stewart, Douglas M; O'Hair, Kevin C; Gannon, William L; Briggs, Michael S; Barron, A Marie; Pointer, Judy; Larson, Richard S

    2008-10-01

    The authors developed a novel continuous quality improvement (CQI) process for academic biomedical research compliance administration. A challenge in developing a quality improvement program in a nonbusiness environment is that the terminology and processes are often foreign. Rather than training staff in an existing quality improvement process, the authors opted to develop a novel process based on the scientific method--a paradigm familiar to all team members. The CQI process included our research compliance units. Unit leaders identified problems in compliance administration where a resolution would have a positive impact and which could be resolved or improved with current resources. They then generated testable hypotheses about a change to standard practice expected to improve the problem, and they developed methods and metrics to assess the impact of the change. The CQI process was managed in a "peer review" environment. The program included processes to reduce the incidence of infections in animal colonies, decrease research protocol-approval times, improve compliance and protection of animal and human research subjects, and improve research protocol quality. This novel CQI approach is well suited to the needs and the unique processes of research compliance administration. Using the scientific method as the improvement paradigm fostered acceptance of the project by unit leaders and facilitated the development of specific improvement projects. These quality initiatives will allow us to improve support for investigators while ensuring that compliance standards continue to be met. We believe that our CQI process can readily be used in other academically based offices of research.

  6. Progressing beyond SLMTA: Are internal audits and corrective action the key drivers of quality improvement?

    Science.gov (United States)

    Maina, Robert N; Mengo, Doris M; Mohamud, Abdikher D; Ochieng, Susan M; Milgo, Sammy K; Sexton, Connie J; Moyo, Sikhulile; Luman, Elizabeth T

    2014-01-01

    Kenya has implemented the Strengthening Laboratory Management Toward Accreditation (SLMTA) programme to facilitate quality improvement in medical laboratories and to support national accreditation goals. Continuous quality improvement after SLMTA completion is needed to ensure sustainability and continue progress toward accreditation. Audits were conducted by qualified, independent auditors to assess the performance of five enrolled laboratories using the Stepwise Laboratory Quality Improvement Process Towards Accreditation (SLIPTA) checklist. End-of-programme (exit) and one year post-programme (surveillance) audits were compared for overall score, star level (from zero to five, based on scores) and scores for each of the 12 Quality System Essential (QSE) areas that make up the SLIPTA checklist. All laboratories improved from exit to surveillance audit (median improvement 38 percentage points, range 5-45 percentage points). Two laboratories improved from zero to one star, two improved from zero to three stars and one laboratory improved from three to four stars. The lowest median QSE scores at exit were: internal audit; corrective action; and occurrence management and process improvement (service, internal audit and information management (≥ 50 percentage points). The two laboratories with the greatest overall improvement focused heavily on the internal audit and corrective action QSEs. Whilst all laboratories improved from exit to surveillance audit, those that focused on the internal audit and corrective action QSEs improved substantially more than those that did not; internal audits and corrective actions may have acted as catalysts, leading to improvements in other QSEs. Systematic identification of core areas and best practices to address them is a critical step toward strengthening public medical laboratories.

  7. The Ontario Psychosocial Oncology Framework: a quality improvement tool.

    Science.gov (United States)

    Li, Madeline; Green, Esther

    2013-05-01

    To overview the newly developed Psychosocial Health Care for Cancer Patients and Their Families: A Framework to Guide Practice in Ontario and Guideline Recommendations in the context of Canadian psychosocial oncology care and propose strategies for guideline uptake and implementation. Recommendations from the 2008 Institute of Medicine standard Cancer Care for the Whole Patient: Meeting Psychosocial Health Needs were adapted into the Ontario Psychosocial Oncology (PSO) Framework. Existing practice guidelines developed by the Canadian Partnership against Cancer and Cancer Care Ontario and standards developed by the Canadian Association of Psychosocial Oncology are supporting resources for adopting a quality improvement (QI) approach to the implementation of the framework in Ontario. The developed PSO Framework, including 31 specific actionable recommendations, is intended to improve the quality of comprehensive cancer care at both the provider and system levels. Important QI change management processes are described as Educate - raising awareness among medical teams of the significance of psychosocial needs of patients, Evidence - developing a research evidence base for patient care benefits from psychosocial interventions, and Electronics - using technology to collect patient reported outcomes of both physical and emotional symptoms. The Ontario PSO Framework is unique and valuable in providing actionable recommendations that can be implemented through QI processes. Overall, the result will be improved psychosocial health care for the cancer population. Copyright © 2012 John Wiley & Sons, Ltd.

  8. Integrating Quality and Safety Competencies to Improve Outcomes: Application in Infusion Therapy Practice.

    Science.gov (United States)

    Sherwood, Gwen; Nickel, Barbara

    Despite intense scrutiny and process improvement initiatives, patient harm continues to occur in health care with alarming frequency. The Quality and Safety Education for Nursing (QSEN) project provides a roadmap to transform nursing by integrating 6 competencies: patient-centered care, teamwork and collaboration, evidence-based practice, quality improvement, safety, and informatics. As front-line caregivers, nurses encounter inherent risks in their daily work. Infusion therapy is high risk with multiple potential risks for patient harm. This study examines individual and system application of the QSEN competencies and the Infusion Nurses Society's 2016 Infusion Therapy Standards of Practice in the improvement of patient outcomes.

  9. Evaluating supplier quality performance using analytical hierarchy process

    Science.gov (United States)

    Kalimuthu Rajoo, Shanmugam Sundram; Kasim, Maznah Mat; Ahmad, Nazihah

    2013-09-01

    This paper elaborates the importance of evaluating supplier quality performance to an organization. Supplier quality performance evaluation reflects the actual performance of the supplier exhibited at customer's end. It is critical in enabling the organization to determine the area of improvement and thereafter works with supplier to close the gaps. Success of the customer partly depends on supplier's quality performance. Key criteria as quality, cost, delivery, technology support and customer service are categorized as main factors in contributing to supplier's quality performance. 18 suppliers' who were manufacturing automotive application parts evaluated in year 2010 using weight point system. There were few suppliers with common rating which led to common ranking observed by few suppliers'. Analytical Hierarchy Process (AHP), a user friendly decision making tool for complex and multi criteria problems was used to evaluate the supplier's quality performance challenging the weight point system that was used for 18 suppliers'. The consistency ratio was checked for criteria and sub-criteria. Final results of AHP obtained with no overlap ratings, therefore yielded a better decision making methodology as compared to weight point rating system.

  10. Improving the medical records department processes by lean management.

    Science.gov (United States)

    Ajami, Sima; Ketabi, Saeedeh; Sadeghian, Akram; Saghaeinnejad-Isfahani, Sakine

    2015-01-01

    Lean management is a process improvement technique to identify waste actions and processes to eliminate them. The benefits of Lean for healthcare organizations are that first, the quality of the outcomes in terms of mistakes and errors improves. The second is that the amount of time taken through the whole process significantly improves. The purpose of this paper is to improve the Medical Records Department (MRD) processes at Ayatolah-Kashani Hospital in Isfahan, Iran by utilizing Lean management. This research was applied and an interventional study. The data have been collected by brainstorming, observation, interview, and workflow review. The study population included MRD staff and other expert staff within the hospital who were stakeholders and users of the MRD. The MRD were initially taught the concepts of Lean management and then formed into the MRD Lean team. The team then identified and reviewed the current processes subsequently; they identified wastes and values, and proposed solutions. The findings showed that the MRD units (Archive, Coding, Statistics, and Admission) had 17 current processes, 28 wastes, and 11 values were identified. In addition, they offered 27 comments for eliminating the wastes. The MRD is the critical department for the hospital information system and, therefore, the continuous improvement of its services and processes, through scientific methods such as Lean management, are essential. The study represents one of the few attempts trying to eliminate wastes in the MRD.

  11. The role of human resource management in the process of total quality management implementation

    Directory of Open Access Journals (Sweden)

    Milovanović Vesna

    2014-01-01

    Full Text Available Total quality management (TQM is a holistic philosophy of striving for continuous quality improvement of all processes in the company. This requires the involvement of employees and encouraging them to make proposals, take responsibility and make decisions. Successful implementation of TQM is largely determined by the quality of human resources management (HRM, which should ensure employees' commitment to continuous process improvement. Previous studies worldwide have shown that the effects of this sophisticated philosophy depend on the motivation of employees, which is created through training and rewards, financial and non-financial. The aim of this paper is to present the way in which HRM determines the effectiveness of TQM implementation, and to provide guidelines for improving such effectiveness. The paper presents the results of empirical analysis in hotels in Serbia in order to determine the value of TQM factors related to human resources. The results show that hotels must firstly improve TQM staff factors before taking action to implement TQM philosophy.

  12. Assessment and improvement of sound quality in cochlear implant users.

    Science.gov (United States)

    Caldwell, Meredith T; Jiam, Nicole T; Limb, Charles J

    2017-06-01

    Cochlear implants (CIs) have successfully provided speech perception to individuals with sensorineural hearing loss. Recent research has focused on more challenging acoustic stimuli such as music and voice emotion. The purpose of this review is to evaluate and describe sound quality in CI users with the purposes of summarizing novel findings and crucial information about how CI users experience complex sounds. Here we review the existing literature on PubMed and Scopus to present what is known about perceptual sound quality in CI users, discuss existing measures of sound quality, explore how sound quality may be effectively studied, and examine potential strategies of improving sound quality in the CI population. Sound quality, defined here as the perceived richness of an auditory stimulus, is an attribute of implant-mediated listening that remains poorly studied. Sound quality is distinct from appraisal, which is generally defined as the subjective likability or pleasantness of a sound. Existing studies suggest that sound quality perception in the CI population is limited by a range of factors, most notably pitch distortion and dynamic range compression. Although there are currently very few objective measures of sound quality, the CI-MUSHRA has been used as a means of evaluating sound quality. There exist a number of promising strategies to improve sound quality perception in the CI population including apical cochlear stimulation, pitch tuning, and noise reduction processing strategies. In the published literature, sound quality perception is severely limited among CI users. Future research should focus on developing systematic, objective, and quantitative sound quality metrics and designing therapies to mitigate poor sound quality perception in CI users. NA.

  13. 45 CFR 1304.60 - Deficiencies and quality improvement plans.

    Science.gov (United States)

    2010-10-01

    ... 45 Public Welfare 4 2010-10-01 2010-10-01 false Deficiencies and quality improvement plans. 1304... must correct the deficiency either immediately or pursuant to a Quality Improvement Plan. (c) An Early... Improvement Plan must submit to the responsible HHS official a Quality Improvement Plan specifying, for each...

  14. Implementation of a Quality Improvement Initiative: Improved Congenital Muscular Torticollis Outcomes in a Large Hospital Setting.

    Science.gov (United States)

    Strenk, Mariann L; Kiger, Michelle; Hawke, Jesse L; Mischnick, Amy; Quatman-Yates, Catherine

    2017-06-01

    The American Physical Therapy Association (APTA) published a guideline for congenital muscular torticollis (CMT) in 2013. Our division adopted the guideline as the institutional practice standard and engaged in a quality improvement (QI) initiative to increase the percentage of patients who achieved resolution of CMT within 6 months of evaluation. The aims of this report are to describe the QI activities conducted to improve patient outcomes and discuss the results and implications for other institutions and patient populations. This was a quality improvement study. In alignment with the Chronic Care Model and Model of Improvement, an aim and operationally defined key outcome and process measures were established. Interventions were tested using Plan-Do-Study-Act cycles. A CMT registry was established to store and manage data extracted from the electronic record over the course of testing. Statistical process control charts were used to monitor progress over time. The QI initiative resulted in an increase in the percentage of patients who achieved full resolution of CMT within a 6-month episode of care from 42% to 61% over an 18-month period. Themes that emerged as key drivers of improvement included: (1) timely, optimal access to care, (2) effective audit and clinician feedback, and (3) accurate, timely documentation. The initiative took place at a single institution with a supportive culture and strong QI resources, which may limit direct translation of interventions and findings to other institutions and patient populations. Improvement science methodologies provided the tools and structure to improve division-wide workflow and increase consistency in the implementation of the APTA CMT guideline. In doing so, significant CMT population outcome improvements were achieved. © 2017 American Physical Therapy Association

  15. Application of quality function deployment (QFD and analytic hierarchy process (AHP techniques to improve the quality of postgraduate training

    Directory of Open Access Journals (Sweden)

    Antonio Pastor Sanmillán

    2016-05-01

    Full Text Available The importance of ensuring quality in higher education is evident in the number of local, national and transnational organizations dedicated to this end. The impressive volume of works published on quality in education is further testimony to its importance. All university, traditional or on-line, has a department dedicated to the study of quality, and the academic literature in this area is extremely broad in scope. Yet in this abundant wealth of studies, methodologies, techniques, fantasies and realities in which professors and university administrators, teachers, psychologists, marketing experts and quality professionals focus their attention, there is in our opinion something basic and elemental which is frequently forgotten: to ask the student, the end user (who should be the fundamental object of our interest what aspects of postgraduate study he truly values. The goal of the present study will be to verify the usefulness of multi-criteria analysis, and of the analytic hierarchy process (AHP in particular, attached to quality function deployment (QFD, for performing this task in multicultural environments. The population chosen for our study is comprised of the students enrolled in on-site postgraduate programs at the CEF School of Business.

  16. Software quality testing process analysis

    OpenAIRE

    Mera Paz, Julián

    2016-01-01

    Introduction: This article is the result of reading, review, analysis of books, magazines and articles well known for their scientific and research quality, which have addressed the software quality testing process. The author, based on his work experience in software development companies, teaching and other areas, has compiled and selected information to argue and substantiate the importance of the software quality testing process. Methodology: the existing literature on the software qualit...

  17. The association between event learning and continuous quality improvement programs and culture of patient safety.

    Science.gov (United States)

    Mazur, Lukasz; Chera, Bhishamjit; Mosaly, Prithima; Taylor, Kinley; Tracton, Gregg; Johnson, Kendra; Comitz, Elizabeth; Adams, Robert; Pooya, Pegah; Ivy, Julie; Rockwell, John; Marks, Lawrence B

    2015-01-01

    To present our approach and results from our quality and safety program and to report their possible impact on our culture of patient safety. We created an event learning system (termed a "good catch" program) and encouraged staff to report any quality or safety concerns in real time. Events were analyzed to assess the utility of safety barriers. A formal continuous quality improvement program was created to address these reported events and make improvements. Data on perceptions of the culture of patient safety were collected using the Agency for Health Care Research and Quality survey administered before, during, and after the initiatives. Of 560 good catches reported, 367 could be ascribed to a specific step on our process map. The calculated utility of safety barriers was highest for those embedded into the pretreatment quality assurance checks performed by physicists and dosimetrists (utility score 0.53; 93 of 174) and routine checks done by therapists on the initial day of therapy. Therapists and physicists reported the highest number of good catches (24% each). Sixty-four percent of events were caused by performance issues (eg, not following standardized processes, including suboptimal communications). Of 31 initiated formal improvement events, 26 were successfully implemented and sustained, 4 were discontinued, and 1 was not implemented. Most of the continuous quality improvement program was conducted by nurses (14) and therapists (7). Percentages of positive responses in the patient safety culture survey appear to have increased on all dimensions (p continuous quality improvement programs can be successfully implemented and that there are contemporaneous improvements in the culture of safety. Copyright © 2015 American Society for Radiation Oncology. Published by Elsevier Inc. All rights reserved.

  18. Quality improvement of pyrolysis oil from waste rubber by adding sawdust.

    Science.gov (United States)

    Wang, Wen-liang; Chang, Jian-min; Cai, Li-ping; Shi, Sheldon Q

    2014-12-01

    This work was aimed at improving the pyrolysis oil quality of waste rubber by adding larch sawdust. Using a 1 kg/h stainless pyrolysis reactor, the contents of sawdust in rubber were gradually increased from 0%, 50%, 100% and 200% (wt%) during the pyrolysis process. Using a thermo-gravimetric (TG) analyzer coupled with Fourier transform infrared (FTIR) analysis of evolving products (TG-FTIR), the weight loss characteristics of the heat under different mixtures of sawdust/rubber were observed. Using the pyrolysis-gas chromatography (GC)-mass spectrometry (Py-GC/MS), the vapors from the pyrolysis processes were collected and the compositions of the vapors were examined. During the pyrolysis process, the recovery of the pyrolysis gas and its composition were measured in-situ at a reaction temperature of 450 °C and a retaining time of 1.2s. The results indicated that the efficiency of pyrolysis was increased and the residual carbon was reduced as the percentage of sawdust increased. The adding of sawdust significantly improved the pyrolysis oil quality by reducing the polycyclic aromatic hydrocarbons (PAHs) and nitrogen and sulfur compounds contents, resulting in an improvement in the combustion efficiency of the pyrolysis oil. Copyright © 2014 Elsevier Ltd. All rights reserved.

  19. Process air quality data

    Science.gov (United States)

    Butler, C. M.; Hogge, J. E.

    1978-01-01

    Air quality sampling was conducted. Data for air quality parameters, recorded on written forms, punched cards or magnetic tape, are available for 1972 through 1975. Computer software was developed to (1) calculate several daily statistical measures of location, (2) plot time histories of data or the calculated daily statistics, (3) calculate simple correlation coefficients, and (4) plot scatter diagrams. Computer software was developed for processing air quality data to include time series analysis and goodness of fit tests. Computer software was developed to (1) calculate a larger number of daily statistical measures of location, and a number of daily monthly and yearly measures of location, dispersion, skewness and kurtosis, (2) decompose the extended time series model and (3) perform some goodness of fit tests. The computer program is described, documented and illustrated by examples. Recommendations are made for continuation of the development of research on processing air quality data.

  20. QUALITY IMPROVEMENT INITIATIVES FOR SUPPORT FUNCTIONS IN AN INDUSTRY: TWO CASES

    Directory of Open Access Journals (Sweden)

    Shirshendu Roy

    2011-09-01

    Full Text Available The concept of quality improvement in industry has originated from the involvement of inspector which has become the most important part of manufacturing process or development activity. Over years, this initiative is migrated to various support functions of the industry. In this paper, emphasis has been given particularly in the areas related to support functions where improvement project s can be effectively done and hence organization wide impact is assessed. Two case studies are presented here in this context. The first study shows how smaller change in content structure and delivery met hod can drastically improve the training feedback and the second one demonstrates minimizing lead time to recruitment with a cost-effective process modification.

  1. Quality of Electronic Nursing Records: The Impact of Educational Interventions During a Hospital Accreditation Process.

    Science.gov (United States)

    Nomura, Aline Tsuma Gaedke; Pruinelli, Lisiane; da Silva, Marcos Barragan; Lucena, Amália de Fátima; Almeida, Miriam de Abreu

    2018-03-01

    Hospital accreditation is a strategy for the pursuit of quality of care and safety for patients and professionals. Targeted educational interventions could help support this process. This study aimed to evaluate the quality of electronic nursing records during the hospital accreditation process. A retrospective study comparing 112 nursing records during the hospital accreditation process was conducted. Educational interventions were implemented, and records were evaluated preintervention and postintervention. Mann-Whitney and χ tests were used for data analysis. Results showed that there was a significant improvement in the nursing documentation quality postintervention. When comparing records preintervention and postintervention, results showed a statistically significant difference (P educational interventions performed by nurses led to a positive change that improved nursing documentation and, consequently, better care practices.

  2. Improving organizational climate for quality and quality of care: does membership in a collaborative help?

    Science.gov (United States)

    Nembhard, Ingrid M; Northrup, Veronika; Shaller, Dale; Cleary, Paul D

    2012-11-01

    The lack of quality-oriented organizational climates is partly responsible for deficiencies in patient-centered care and poor quality more broadly. To improve their quality-oriented climates, several organizations have joined quality improvement collaboratives. The effectiveness of this approach is unknown. To evaluate the impact of collaborative membership on organizational climate for quality and service quality. Twenty-one clinics, 4 of which participated in a collaborative sponsored by the Institute for Clinical Systems Improvement. Pre-post design. Preassessments occurred 2 months before the collaborative began in January 2009. Postassessments of service quality and climate occurred about 6 months and 1 year, respectively, after the collaborative ended in January 2010. We surveyed clinic employees (eg, physicians, nurses, receptionists, etc.) about the organizational climate and patients about service quality. Prioritization of quality care, high-quality staff relationships, and open communication as indicators of quality-oriented climate and timeliness of care, staff helpfulness, doctor-patient communication, rating of doctor, and willingness to recommend doctor's office as indicators of service quality. There was no significant effect of collaborative membership on quality-oriented climate and mixed effects on service quality. Doctors' ratings improved significantly more in intervention clinics than in control clinics, staff helpfulness improved less, and timeliness of care declined more. Ratings of doctor-patient communication and willingness to recommend doctor were not significantly different between intervention and comparison clinics. Membership in the collaborative provided no significant advantage for improving quality-oriented climate and had equivocal effects on service quality.

  3. Feasibility study of using statistical process control to customized quality assurance in proton therapy.

    Science.gov (United States)

    Rah, Jeong-Eun; Shin, Dongho; Oh, Do Hoon; Kim, Tae Hyun; Kim, Gwe-Ya

    2014-09-01

    To evaluate and improve the reliability of proton quality assurance (QA) processes and, to provide an optimal customized tolerance level using the statistical process control (SPC) methodology. The authors investigated the consistency check of dose per monitor unit (D/MU) and range in proton beams to see whether it was within the tolerance level of the daily QA process. This study analyzed the difference between the measured and calculated ranges along the central axis to improve the patient-specific QA process in proton beams by using process capability indices. The authors established a customized tolerance level of ±2% for D/MU and ±0.5 mm for beam range in the daily proton QA process. In the authors' analysis of the process capability indices, the patient-specific range measurements were capable of a specification limit of ±2% in clinical plans. SPC methodology is a useful tool for customizing the optimal QA tolerance levels and improving the quality of proton machine maintenance, treatment delivery, and ultimately patient safety.

  4. Feasibility study of using statistical process control to customized quality assurance in proton therapy

    International Nuclear Information System (INIS)

    Rah, Jeong-Eun; Oh, Do Hoon; Shin, Dongho; Kim, Tae Hyun; Kim, Gwe-Ya

    2014-01-01

    Purpose: To evaluate and improve the reliability of proton quality assurance (QA) processes and, to provide an optimal customized tolerance level using the statistical process control (SPC) methodology. Methods: The authors investigated the consistency check of dose per monitor unit (D/MU) and range in proton beams to see whether it was within the tolerance level of the daily QA process. This study analyzed the difference between the measured and calculated ranges along the central axis to improve the patient-specific QA process in proton beams by using process capability indices. Results: The authors established a customized tolerance level of ±2% for D/MU and ±0.5 mm for beam range in the daily proton QA process. In the authors’ analysis of the process capability indices, the patient-specific range measurements were capable of a specification limit of ±2% in clinical plans. Conclusions: SPC methodology is a useful tool for customizing the optimal QA tolerance levels and improving the quality of proton machine maintenance, treatment delivery, and ultimately patient safety

  5. Improving Health Promotion Using Quality Improvement Techniques in Australian Indigenous Primary Health Care

    Science.gov (United States)

    Percival, Nikki; O’Donoghue, Lynette; Lin, Vivian; Tsey, Komla; Bailie, Ross Stewart

    2016-01-01

    Although some areas of clinical health care are becoming adept at implementing continuous quality improvement (CQI) projects, there has been limited experimentation of CQI in health promotion. In this study, we examined the impact of a CQI intervention on health promotion in four Australian Indigenous primary health care centers. Our study objectives were to (a) describe the scope and quality of health promotion activities, (b) describe the status of health center system support for health promotion activities, and (c) introduce a CQI intervention and examine the impact on health promotion activities and health centers systems over 2 years. Baseline assessments showed suboptimal health center systems support for health promotion and significant evidence-practice gaps. After two annual CQI cycles, there were improvements in staff understanding of health promotion and systems for planning and documenting health promotion activities had been introduced. Actions to improve best practice health promotion, such as community engagement and intersectoral partnerships, were inhibited by the way health center systems were organized, predominately to support clinical and curative services. These findings suggest that CQI can improve the delivery of evidence-based health promotion by engaging front line health practitioners in decision-making processes about the design/redesign of health center systems to support the delivery of best practice health promotion. However, further and sustained improvements in health promotion will require broader engagement of management, senior staff, and members of the local community to address organizational and policy level barriers. PMID:27066470

  6. Improving health promotion using quality improvement techniques in Australian Indigenous primary health care

    Directory of Open Access Journals (Sweden)

    Nikki ePercival

    2016-03-01

    Full Text Available While some areas of clinical health care are becoming adept at implementing continuous quality improvement (CQI projects, there has been limited experimentation of CQI in health promotion. In this study, we examined the impact of a CQI intervention on health promotion in four Australian Indigenous primary health care centres. Our study objectives were to: (a describe the scope and quality of health promotion activities; (b describe the status of health centre system support for health promotion activities; and (c introduce a CQI intervention and examine the impact on health promotion activities and health centres systems over two years. Baseline assessments showed sub-optimal health centre systems support for health promotion and significant evidence-practice gaps. After two annual CQI cycles, there were improvements in staff understanding of health promotion and systems for planning and documenting health promotion activities had been introduced. Actions to improve best practice health promotion, such as community engagement and intersectoral partnerships, were inhibited by the way health centre systems were organized, predominately to support clinical and curative services. These findings suggest that CQI can improve the delivery of evidence based health promotion by engaging front line health practitioners in decision making processes about the design/redesign of health centre systems to support the delivery of best practice health promotion. However, further and sustained improvements in health promotion will require broader engagement of management, senior staff and members of the local community to address organisational and policy level barriers.

  7. Improving Health Promotion Using Quality Improvement Techniques in Australian Indigenous Primary Health Care.

    Science.gov (United States)

    Percival, Nikki; O'Donoghue, Lynette; Lin, Vivian; Tsey, Komla; Bailie, Ross Stewart

    2016-01-01

    Although some areas of clinical health care are becoming adept at implementing continuous quality improvement (CQI) projects, there has been limited experimentation of CQI in health promotion. In this study, we examined the impact of a CQI intervention on health promotion in four Australian Indigenous primary health care centers. Our study objectives were to (a) describe the scope and quality of health promotion activities, (b) describe the status of health center system support for health promotion activities, and (c) introduce a CQI intervention and examine the impact on health promotion activities and health centers systems over 2 years. Baseline assessments showed suboptimal health center systems support for health promotion and significant evidence-practice gaps. After two annual CQI cycles, there were improvements in staff understanding of health promotion and systems for planning and documenting health promotion activities had been introduced. Actions to improve best practice health promotion, such as community engagement and intersectoral partnerships, were inhibited by the way health center systems were organized, predominately to support clinical and curative services. These findings suggest that CQI can improve the delivery of evidence-based health promotion by engaging front line health practitioners in decision-making processes about the design/redesign of health center systems to support the delivery of best practice health promotion. However, further and sustained improvements in health promotion will require broader engagement of management, senior staff, and members of the local community to address organizational and policy level barriers.

  8. Quality stabilisation of synthetic sand containing bentonite in process lines

    OpenAIRE

    A. Fedoryszyn

    2010-01-01

    Stabilisation of sand quality requires the monitoring and control of sand moisture contents and its other parameters at each stage of sandprocessing, i.e. during the preparation of return sand mix and rebonding processes. Stabilisation of sand quality necessitates the use of reliable control equipment and evaluation procedures. This study outlines the scope and results of research work aimed to improve the control equipment to enhance the performance of turbine mixers. The paper reviews the m...

  9. Enhanced process understanding and multivariate prediction of the relationship between cell culture process and monoclonal antibody quality.

    Science.gov (United States)

    Sokolov, Michael; Ritscher, Jonathan; MacKinnon, Nicola; Souquet, Jonathan; Broly, Hervé; Morbidelli, Massimo; Butté, Alessandro

    2017-09-01

    This work investigates the insights and understanding which can be deduced from predictive process models for the product quality of a monoclonal antibody based on designed high-throughput cell culture experiments performed at milliliter (ambr-15 ® ) scale. The investigated process conditions include various media supplements as well as pH and temperature shifts applied during the process. First, principal component analysis (PCA) is used to show the strong correlation characteristics among the product quality attributes including aggregates, fragments, charge variants, and glycans. Then, partial least square regression (PLS1 and PLS2) is applied to predict the product quality variables based on process information (one by one or simultaneously). The comparison of those two modeling techniques shows that a single (PLS2) model is capable of revealing the interrelationship of the process characteristics to the large set product quality variables. In order to show the dynamic evolution of the process predictability separate models are defined at different time points showing that several product quality attributes are mainly driven by the media composition and, hence, can be decently predicted from early on in the process, while others are strongly affected by process parameter changes during the process. Finally, by coupling the PLS2 models with a genetic algorithm first the model performance can be further improved and, most importantly, the interpretation of the large-dimensioned process-product-interrelationship can be significantly simplified. The generally applicable toolset presented in this case study provides a solid basis for decision making and process optimization throughout process development. © 2017 American Institute of Chemical Engineers Biotechnol. Prog., 33:1368-1380, 2017. © 2017 American Institute of Chemical Engineers.

  10. Project Hanford management contract quality improvement project management plan; TOPICAL

    International Nuclear Information System (INIS)

    ADAMS, D.E.

    1999-01-01

    On July 13, 1998, the U.S. Department of Energy, Richland Operations Office (DOE-RL) Manager transmitted a letter to Fluor Daniel Hanford, Inc. (FDH) describing several DOE-RL identified failed opportunities for FDH to improve the Quality Assurance (QA) Program and its implementation. In addition, DOE-RL identified specific Quality Program performance deficiencies. FDH was requested to establish a periodic reporting mechanism for the corrective action program. In a July 17, 1998 response to DOE-RL, FDH agreed with the DOE concerns and committed to perform a comprehensive review of the Project Hanford Management Contract (PHMC) QA Program during July and August, 1998. As a result, the Project Hanford Management Contract Quality Improvement Plan (QIP) (FDH-3508) was issued on October 21, 1998. The plan identified corrective actions based upon the results of an in-depth Quality Program Assessment. Immediately following the scheduled October 22, 1998, DOE Office of Enforcement and Investigation (EH-10) Enforcement Conference, FDH initiated efforts to effectively implement the QIP corrective actions. A Quality Improvement Project (QI Project) leadership team was assembled to prepare a Project Management Plan for this project. The management plan was specifically designed to engage a core team and the support of representatives from FDH and the major subcontractors (MSCs) to implement the QIP initiatives; identify, correct, and provide feedback as to the root cause for deficiency; and close out the corrective actions. The QI Project will manage and communicate progress of the process

  11. Toyota A3 report: a tool for process improvement in healthcare.

    Science.gov (United States)

    Lee, Te-Shu; Kuo, Mu-Hsing

    2009-01-01

    It is proposed that the A3 problem solving process be used by hospital staff to improve its healthcare workflow. A hypothetical case study is given to demonstrate the applicability and benefits of the methodology. The research results show that A3 is a useful tool for healthcare organizations seeking to continuously improve their healthcare service quality.

  12. Electronic health records improve clinical note quality.

    Science.gov (United States)

    Burke, Harry B; Sessums, Laura L; Hoang, Albert; Becher, Dorothy A; Fontelo, Paul; Liu, Fang; Stephens, Mark; Pangaro, Louis N; O'Malley, Patrick G; Baxi, Nancy S; Bunt, Christopher W; Capaldi, Vincent F; Chen, Julie M; Cooper, Barbara A; Djuric, David A; Hodge, Joshua A; Kane, Shawn; Magee, Charles; Makary, Zizette R; Mallory, Renee M; Miller, Thomas; Saperstein, Adam; Servey, Jessica; Gimbel, Ronald W

    2015-01-01

    The clinical note documents the clinician's information collection, problem assessment, clinical management, and its used for administrative purposes. Electronic health records (EHRs) are being implemented in clinical practices throughout the USA yet it is not known whether they improve the quality of clinical notes. The goal in this study was to determine if EHRs improve the quality of outpatient clinical notes. A five and a half year longitudinal retrospective multicenter quantitative study comparing the quality of handwritten and electronic outpatient clinical visit notes for 100 patients with type 2 diabetes at three time points: 6 months prior to the introduction of the EHR (before-EHR), 6 months after the introduction of the EHR (after-EHR), and 5 years after the introduction of the EHR (5-year-EHR). QNOTE, a validated quantitative instrument, was used to assess the quality of outpatient clinical notes. Its scores can range from a low of 0 to a high of 100. Sixteen primary care physicians with active practices used QNOTE to determine the quality of the 300 patient notes. The before-EHR, after-EHR, and 5-year-EHR grand mean scores (SD) were 52.0 (18.4), 61.2 (16.3), and 80.4 (8.9), respectively, and the change in scores for before-EHR to after-EHR and before-EHR to 5-year-EHR were 18% (pquality scores significantly improved over the 5-year time interval. The EHR significantly improved the overall quality of the outpatient clinical note and the quality of all its elements, including the core and non-core elements. To our knowledge, this is the first study to demonstrate that the EHR significantly improves the quality of clinical notes. © The Author 2014. Published by Oxford University Press on behalf of the American Medical Informatics Association.

  13. A framework of quality improvement interventions to implement evidence-based practices for pressure ulcer prevention.

    Science.gov (United States)

    Padula, William V; Mishra, Manish K; Makic, Mary Beth F; Valuck, Robert J

    2014-06-01

    To enhance the learner's competence with knowledge about a framework of quality improvement (QI) interventions to implement evidence-based practices for pressure ulcer (PrU) prevention. This continuing education activity is intended for physicians and nurses with an interest in skin and wound care. After participating in this educational activity, the participant should be better able to:1. Summarize the process of creating and initiating the best-practice framework of QI for PrU prevention.2. Identify the domains and QI interventions for the best-practice framework of QI for PrU prevention. Pressure ulcer (PrU) prevention is a priority issue in US hospitals. The National Pressure Ulcer Advisory Panel endorses an evidence-based practice (EBP) protocol to help prevent PrUs. Effective implementation of EBPs requires systematic change of existing care units. Quality improvement interventions offer a mechanism of change to existing structures in order to effectively implement EBPs for PrU prevention. The best-practice framework developed by Nelson et al is a useful model of quality improvement interventions that targets process improvement in 4 domains: leadership, staff, information and information technology, and performance and improvement. At 2 academic medical centers, the best-practice framework was shown to physicians, nurses, and health services researchers. Their insight was used to modify the best-practice framework as a reference tool for quality improvement interventions in PrU prevention. The revised framework includes 25 elements across 4 domains. Many of these elements support EBPs for PrU prevention, such as updates in PrU staging and risk assessment. The best-practice framework offers a reference point to initiating a bundle of quality improvement interventions in support of EBPs. Hospitals and clinicians tasked with quality improvement efforts can use this framework to problem-solve PrU prevention and other critical issues.

  14. Quality assurance of radiotherapy in cancer treatment. Toward improvement of patient safety and quality of care

    International Nuclear Information System (INIS)

    Ishikura, Satoshi

    2008-01-01

    The process of radiotherapy (RT) is complex and involves understanding of the principles of medical physics, radiobiology, radiation safety, dosimetry, radiation treatment planning, simulation and interaction of radiation with other treatment modalities. Each step in the integrated process of RT needs quality control and quality assurance (QA) to prevent errors and to give high confidence that patients will receive the prescribed treatment correctly. Recent advances in RT, including intensity-modulated and image-guided RT, focus on the need for a systematic RTQA program that balances patient safety and quality with available resources. It is necessary to develop more formal error mitigation and process analysis methods, such as failure mode and effect analysis, to focus available QA resources optimally on process components. External audit programs are also effective. The International Atomic Energy Agency has operated both an on-site and off-site postal dosimetry audit to improve practice and to assure the dose from RT equipment. Several countries have adopted a similar approach for national clinical auditing. In addition, clinical trial QA has a significant role in enhancing the quality of care. The Advanced Technology Consortium has pioneered the development of an infrastructure and QA method for advanced technology clinical trials, including credentialing and individual case review. These activities have an impact not only on the treatment received by patients enrolled in clinical trials, but also on the quality of treatment administered to all patients treated in each institution, and have been adopted globally; by the USA, Europe and Japan also. (author)

  15. Quality assurance of radiotherapy in cancer treatment: toward improvement of patient safety and quality of care.

    Science.gov (United States)

    Ishikura, Satoshi

    2008-11-01

    The process of radiotherapy (RT) is complex and involves understanding of the principles of medical physics, radiobiology, radiation safety, dosimetry, radiation treatment planning, simulation and interaction of radiation with other treatment modalities. Each step in the integrated process of RT needs quality control and quality assurance (QA) to prevent errors and to give high confidence that patients will receive the prescribed treatment correctly. Recent advances in RT, including intensity-modulated and image-guided RT, focus on the need for a systematic RTQA program that balances patient safety and quality with available resources. It is necessary to develop more formal error mitigation and process analysis methods, such as failure mode and effect analysis, to focus available QA resources optimally on process components. External audit programs are also effective. The International Atomic Energy Agency has operated both an on-site and off-site postal dosimetry audit to improve practice and to assure the dose from RT equipment. Several countries have adopted a similar approach for national clinical auditing. In addition, clinical trial QA has a significant role in enhancing the quality of care. The Advanced Technology Consortium has pioneered the development of an infrastructure and QA method for advanced technology clinical trials, including credentialing and individual case review. These activities have an impact not only on the treatment received by patients enrolled in clinical trials, but also on the quality of treatment administered to all patients treated in each institution, and have been adopted globally; by the USA, Europe and Japan also.

  16. How Quality Improvement Practice Evidence Can Advance the Knowledge Base.

    Science.gov (United States)

    OʼRourke, Hannah M; Fraser, Kimberly D

    2016-01-01

    Recommendations for the evaluation of quality improvement interventions have been made in order to improve the evidence base of whether, to what extent, and why quality improvement interventions affect chosen outcomes. The purpose of this article is to articulate why these recommendations are appropriate to improve the rigor of quality improvement intervention evaluation as a research endeavor, but inappropriate for the purposes of everyday quality improvement practice. To support our claim, we describe the differences between quality improvement interventions that occur for the purpose of practice as compared to research. We then carefully consider how feasibility, ethics, and the aims of evaluation each impact how quality improvement interventions that occur in practice, as opposed to research, can or should be evaluated. Recommendations that fit the evaluative goals of practice-based quality improvement interventions are needed to support fair appraisal of the distinct evidence they produce. We describe a current debate on the nature of evidence to assist in reenvisioning how quality improvement evidence generated from practice might complement that generated from research, and contribute in a value-added way to the knowledge base.

  17. Statistical process control: An approach to quality assurance in the production of vitrified nuclear waste

    International Nuclear Information System (INIS)

    Pulsipher, B.A.; Kuhn, W.L.

    1987-01-01

    Current planning for liquid high-level nuclear wastes existing in the United States includes processing in a liquid-fed ceramic melter to incorporate it into a high-quality glass, and placement in a deep geologic repository. The nuclear waste vitrification process requires assurance of a quality product with little or no final inspection. Statistical process control (SPC) is a quantitative approach to one quality assurance aspect of vitrified nuclear waste. This method for monitoring and controlling a process in the presence of uncertainties provides a statistical basis for decisions concerning product quality improvement. Statistical process control is shown to be a feasible and beneficial tool to help the waste glass producers demonstrate that the vitrification process can be controlled sufficiently to produce an acceptable product. This quantitative aspect of quality assurance could be an effective means of establishing confidence in the claims to a quality product

  18. Statistical process control: An approach to quality assurance in the production of vitrified nuclear waste

    International Nuclear Information System (INIS)

    Pulsipher, B.A.; Kuhn, W.L.

    1987-02-01

    Current planning for liquid high-level nuclear wastes existing in the US includes processing in a liquid-fed ceramic melter to incorporate it into a high-quality glass, and placement in a deep geologic repository. The nuclear waste vitrification process requires assurance of a quality product with little or no final inspection. Statistical process control (SPC) is a quantitative approach to one quality assurance aspect of vitrified nuclear waste. This method for monitoring and controlling a process in the presence of uncertainties provides a statistical basis for decisions concerning product quality improvement. Statistical process control is shown to be a feasible and beneficial tool to help the waste glass producers demonstrate that the vitrification process can be controlled sufficiently to produce an acceptable product. This quantitative aspect of quality assurance could be an effective means of establishing confidence in the claims to a quality product. 2 refs., 4 figs

  19. Framing quality improvement tools and techniques in healthcare the case of improvement leaders' guides.

    Science.gov (United States)

    Millar, Ross

    2013-01-01

    The purpose of this paper is to present a study of how quality improvement tools and techniques are framed within healthcare settings. The paper employs an interpretive approach to understand how quality improvement tools and techniques are mobilised and legitimated. It does so using a case study of the NHS Modernisation Agency Improvement Leaders' Guides in England. Improvement Leaders' Guides were framed within a service improvement approach encouraging the use of quality improvement tools and techniques within healthcare settings. Their use formed part of enacting tools and techniques across different contexts. Whilst this enactment was believed to support the mobilisation of tools and techniques, the experience also illustrated the challenges in distributing such approaches. The paper provides an important contribution in furthering our understanding of framing the "social act" of quality improvement. Given the ongoing emphasis on quality improvement in health systems and the persistent challenges involved, it also provides important information for healthcare leaders globally in seeking to develop, implement or modify similar tools and distribute leadership within health and social care settings.

  20. Integrating empowerment evaluation and quality improvement to achieve healthcare improvement outcomes

    Science.gov (United States)

    Wandersman, Abraham; Alia, Kassandra Ann; Cook, Brittany; Ramaswamy, Rohit

    2015-01-01

    While the body of evidence-based healthcare interventions grows, the ability of health systems to deliver these interventions effectively and efficiently lags behind. Quality improvement approaches, such as the model for improvement, have demonstrated some success in healthcare but their impact has been lessened by implementation challenges. To help address these challenges, we describe the empowerment evaluation approach that has been developed by programme evaluators and a method for its application (Getting To Outcomes (GTO)). We then describe how GTO can be used to implement healthcare interventions. An illustrative healthcare quality improvement example that compares the model for improvement and the GTO method for reducing hospital admissions through improved diabetes care is described. We conclude with suggestions for integrating GTO and the model for improvement. PMID:26178332

  1. [Quality process control system of Chinese medicine preparation based on "holistic view"].

    Science.gov (United States)

    Wang, Ya-Qi; Jiao, Jiao-Jiao; Wu, Zhen-Feng; Zheng, Qin; Yang, Ming

    2018-01-01

    "High quality, safety and effectiveness" are the primary principles for the pharmaceutical research and development process in China. The quality of products relies not only on the inspection method, but also on the design and development, process control and standardized management. The quality depends on the process control level. In this paper, the history and current development of quality control of traditional Chinese medicine (TCM) preparations are reviewed systematically. Based on the development model of international drug quality control and the misunderstanding of quality control of TCM preparations, the reasons for impacting the homogeneity of TCM preparations are analyzed and summarized. According to TCM characteristics, efforts were made to control the diversity of TCM, make "unstable" TCM into "stable" Chinese patent medicines, put forward the concepts of "holistic view" and "QbD (quality by design)", so as to create the "holistic, modular, data, standardized" model as the core of TCM preparation quality process control model. Scientific studies shall conform to the actual production of TCM preparations, and be conducive to supporting advanced equipment and technology upgrade, thoroughly applying the scientific research achievements in Chinese patent medicines, and promoting the cluster application and transformation application of TCM pharmaceutical technology, so as to improve the quality and effectiveness of the TCM industry and realize the green development. Copyright© by the Chinese Pharmaceutical Association.

  2. The process approach to service quality management

    OpenAIRE

    Kamila Kowalik; Dorota Klimecka-Tatar

    2018-01-01

    In this paper a model of service quality management based on the process approach has been presented. The first part of the article contains the theoretical framework of service quality and the process approach in management. Next, quality of service process has been presented in reference to a process-based definition in quoted literature. Finally, the outcomes of a customer questionnaire concerning the validity of particular quality attributes has been presented. The collected data in relat...

  3. Improving the quality of manually acquired data: Applying the theory of planned behaviour to data quality

    International Nuclear Information System (INIS)

    Murphy, Glen D.

    2009-01-01

    The continued reliance of manual data capture in engineering asset intensive organisations highlights the critical role played by those responsible for recording raw data. The potential for data quality variance across individual operators also exposes the need to better manage this particular group. This paper evaluates the relative importance of the human factors associated with data quality. Using the theory of planned behaviour this paper considers the impact of attitudes, perceptions and behavioural intentions on the data collection process in an engineering asset context. Two additional variables are included, those of time pressure and operator feedback. Time pressure is argued to act as a moderator between intention and data collection behaviour, while perceived behavioural control will moderate the relationship between feedback and data collection behaviour. Overall the paper argues that the presence of best practice procedures or threats of disciplinary sanction are insufficient controls to determine data quality. Instead those concerned with improving the data collection performance of operators should consider the operator's perceptions of group attitude towards data quality, the level of feedback provided to data collectors and the impact of time pressures on procedure compliance. A range of practical recommendations are provided to those wishing to improve the quality of their manually acquired data.

  4. Audit filters for improving processes of care and clinical outcomes in trauma systems.

    Science.gov (United States)

    Evans, Christopher; Howes, Daniel; Pickett, William; Dagnone, Luigi

    2009-10-07

    Traumatic injuries represent a considerable public health burden with significant personal and societal costs. The care of the severely injured patient in a trauma system progresses along a continuum that includes numerous interventions being provided by a multidisciplinary group of healthcare personnel. Despite the recent emphasis on quality of care in medicine, there has been little research to direct trauma clinicians and administrators on how optimally to monitor and improve upon the quality of care delivered within a trauma system. Audit filters are one mechanism for improving quality of care and are defined as specific clinical processes or outcomes of care that, when they occur, represent unfavorable deviations from an established norm and which prompt review and feedback. Although audit filters are widely utilized for performance improvement in trauma systems they have not been subjected to systematic review of their effectiveness. To determine the effectiveness of using audit filters for improving processes of care and clinical outcomes in trauma systems. Our search strategy included an electronic search of the Cochrane Injuries Group Specialized Register, the Cochrane EPOC Group Specialized Register, CENTRAL (The Cochrane Library 2008, Issue 4), MEDLINE, PubMed, EMBASE, CINAHL, and ISI Web of Science: (SCI-EXPANDED and CPCI-S). We handsearched the Journal of Trauma, Injury, Annals of Emergency Medicine, Academic Emergency Medicine, and Injury Prevention. We searched two clinical trial registries: 1) The World Health Organization International Clinical Trials Registry Platform and, 2) Clinical Trials.gov. We also contacted content experts for further articles. The most recent electronic search was completed in December 2008 and the handsearch was completed up to February 2009. We searched for randomized controlled trials, controlled clinical trials, controlled before-and-after studies, and interrupted time series studies that used audit filters as an

  5. Yoga for improving sleep quality and quality of life for older adults.

    Science.gov (United States)

    Halpern, Jonathan; Cohen, Marc; Kennedy, Gerard; Reece, John; Cahan, Clement; Baharav, Armanda

    2014-01-01

    The aging process is associated with physiological changes that affect sleep. In older adults, undiagnosed and untreated insomnia may cause impaired daily function and reduced quality of life (QoL). Insomnia is also a risk factor for accidents and falls that are the main cause of accidental deaths in older adults and, therefore, is associated with higher morbidity and mortality rates in older populations. The research team aimed to (1) examine the efficacy of a yoga intervention (YI) for the treatment of insomnia in older adults, (2) determine the ability of yoga to enhance the QoL of older adults, and (3) establish the applicability of yoga practice for older people in a Western cultural setting. A waiting-list controlled trial. Settings • The study took place in Jerusalem, Israel, from 2008-2009. Participants were older men and women (age ≥ 60 y) with insomnia. The YI group participated in 12 wk of classes, held 2 ×/wk, incorporating yoga postures, meditative yoga, and daily home practice of meditative yoga. The study used self-report assessments of sleep quality using the following: (1) sleep quality-the Karolinska Sleepiness Scale (KSS), the Epworth Sleepiness Scale (ESS), and the Pittsburgh Sleep Quality Index (PSQI), and daily sleep and practice logs; (2) mood states-the Depression Anxiety Stress Scale long form (DASS-42) and the Profile of Mood States short form (POMS-SF); (3) a health survey (SF-36); and (4) mobile at-home sleep studies. Compared with controls, the YI group showed significant improvements in a range of subjective factors, including overall sleep quality; sleep efficiency; sleep latency and duration; self-assessed sleep quality; fatigue; general well-being; depression; anxiety; stress; tension; anger; vitality; and function in physical, emotional, and social roles. Yoga was shown to be safe and improved sleep and QoL in a group of older adults with insomnia. Outcomes depended on practice compliance.

  6. Benchmarking: A Process for Improvement.

    Science.gov (United States)

    Peischl, Thomas M.

    One problem with the outcome-based measures used in higher education is that they measure quantity but not quality. Benchmarking, or the use of some external standard of quality to measure tasks, processes, and outputs, is partially solving that difficulty. Benchmarking allows for the establishment of a systematic process to indicate if outputs…

  7. [Method for the quality assessment of data collection processes in epidemiological studies].

    Science.gov (United States)

    Schöne, G; Damerow, S; Hölling, H; Houben, R; Gabrys, L

    2017-10-01

    For a quantitative evaluation of primary data collection processes in epidemiological surveys based on accompaniments and observations (in the field), there is no description of test criteria and methodologies in relevant literature and thus no known application in practice. Therefore, methods need to be developed and existing procedures adapted. The aim was to identify quality-relevant developments within quality dimensions by means of inspection points (quality indicators) during the process of data collection. As a result we seek to implement and establish a methodology for the assessment of overall survey quality supplementary to standardized data analyses. Monitors detect deviations from standard primary data collection during site visits by applying standardized checklists. Quantitative results - overall and for each dimension - are obtained by numerical calculation of quality indicators. Score results are categorized and color coded. This visual prioritization indicates necessity for intervention. The results obtained give clues regarding the current quality of data collection. This allows for the identification of such sections where interventions for quality improvement are needed. In addition, process quality development can be shown over time on an intercomparable basis. This methodology for the evaluation of data collection quality can identify deviations from norms, focalize quality analyses and help trace causes for significant deviations.

  8. Quality Indicators for the Total Testing Process.

    Science.gov (United States)

    Plebani, Mario; Sciacovelli, Laura; Aita, Ada

    2017-03-01

    ISO 15189:2012 requires the use of quality indicators (QIs) to monitor and evaluate all steps of the total testing process, but several difficulties dissuade laboratories from effective and continuous use of QIs in routine practice. An International Federation of Clinical Chemistry and Laboratory Medicine working group addressed this problem and implemented a project to develop a model of QIs to be used in clinical laboratories worldwide to monitor and evaluate all steps of the total testing process, and decrease error rates and improve patient services in laboratory testing. All laboratories are invited, at no cost, to enroll in the project and contribute to harmonized management at the international level. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. The quality process as a management tool for public transport operators. The example of the EFQM Model through the franchise bidding process in the United Kingdom

    OpenAIRE

    Jérémy Piraux

    2008-01-01

    The quality process is a fashionable concept in public transport. Operators try to improve service quality and customer satisfaction, while public authorities impose the implementation of new quality processes in franchise contracts. EFQM differs from other quality models because of its global and integrated approach. In the UK, it has become the reference in the railway franchising process. Keolis, established in the UK for 10 years, developed its own EFQM approach. This study brings methodo...

  10. A Simulation-Based Quality Improvement Initiative Improves Pediatric Readiness in Community Hospitals.

    Science.gov (United States)

    Whitfill, Travis; Gawel, Marcie; Auerbach, Marc

    2017-07-17

    The National Pediatric Readiness Project Pediatric Readiness Survey (PRS) measured pediatric readiness in 4149 US emergency departments (EDs) and noted an average score of 69 on a 100-point scale. This readiness score consists of 6 domains: coordination of pediatric patient care (19/100), physician/nurse staffing and training (10/100), quality improvement activities (7/100), patient safety initiatives (14/100), policies and procedures (17/100), and availability of pediatric equipment (33/100). We aimed to assess and improve pediatric emergency readiness scores across Connecticut's hospitals. The aim of this study was to compare the National Pediatric Readiness Project readiness score before and after an in situ simulation-based assessment and quality improvement program in Connecticut hospitals. We leveraged in situ simulations to measure the quality of resuscitative care provided by interprofessional teams to 3 simulated patients (infant septic shock, infant seizure, and child cardiac arrest) presenting to their ED resuscitation bay. Assessments of EDs were made based on a composite quality score that was measured as the sum of 4 distinct domains: (1) adherence to sepsis guidelines, (2) adherence to cardiac arrest guidelines, (3) performance on seizure resuscitation, and (4) teamwork. After the simulation, a detailed report with scores, comparisons to other EDs, and a gap analysis were provided to sites. Based on this report, a regional children's hospital team worked collaboratively with each ED to develop action items and a timeline for improvements. The National Pediatric Readiness Project PRS scores, the primary outcome of this study, were measured before and after participation. Twelve community EDs in Connecticut participated in this project. The PRS scores were assessed before and after the intervention (simulation-based assessment and gap analysis/report-out). The average time between PRS assessments was 21 months. The PRS scores significantly improved 12

  11. SafeCare: An Innovative Approach for Improving Quality Through Standards, Benchmarking, and Improvement in Low- and Middle- Income Countries.

    Science.gov (United States)

    Johnson, Michael C; Schellekens, Onno; Stewart, Jacqui; van Ostenberg, Paul; de Wit, Tobias Rinke; Spieker, Nicole

    2016-08-01

    In low- and middle-income countries (LMICs), patients often have limited access to high-quality care because of a shortage of facilities and human resources, inefficiency of resource allocation, and limited health insurance. SafeCare was developed to provide innovative health care standards; surveyor training; a grading system for quality of care; a quality improvement process that is broken down into achievable, measurable steps to facilitate incremental improvement; and a private sector-supported health financing model. Three organizations-PharmAccess Foundation, Joint Commission International, and the Council for Health Service Accreditation of Southern Africa-launched SafeCare in 2011 as a formal partnership. Five SafeCare levels of improvement are allocated on the basis of an algorithm that incorporates both the overall score and weighted criteria, so that certain high-risk criteria need to be in place before a facility can move to the next SafeCare certification level. A customized quality improvement plan based on the SafeCare assessment results lists the specific, measurable activities that should be undertaken to address gaps in quality found during the initial assessment and to meet the nextlevel SafeCare certificate. The standards have been implemented in more than 800 primary and secondary facilities by qualified local surveyors, in partnership with various local public and private partner organizations, in six sub-Saharan African countries (Ghana, Kenya, Nigeria, Namibia, Tanzania, and Zambia). Expanding access to care and improving health care quality in LMICs will require a coordinated effort between institutions and other stakeholders. SafeCare's standards and assessment methodology can help build trust between stakeholders and lay the foundation for country-led quality monitoring systems.

  12. Improvement in the quality of the catering service of a rehabilitation hospital.

    Science.gov (United States)

    Donini, L M; Castellaneta, E; De Guglielmi, S; De Felice, M R; Savina, C; Coletti, C; Paolini, M; Cannella, C

    2008-02-01

    Malnutrition due to undernutrition or overnutrition is highly prevalent in hospital in-patients and it decisively conditions patients clinical outcome. One of the most influencing factors of malnutrition in hospitalized patients is--at least in part--the Catering Service Quality. Is to verify, over a 5 year period, the course of the quality of the institutional Catering Service, verifying the effectiveness of the quality improvement process used. Quality control was performed by objective (meal order accuracy, proper distribution of food in trolleys, route time from the kitchen to the ward and time of food distribution, food weight and temperature, waste assessment) and subjective assessment (quality was measured by giving the patients a questionnaire after meals). The survey included: 572 meals and 591 interviews. A significant amount of "qualitative" errors (lack of respect for patient preferences or at the moment of supplying the food trolley) have been found. Over the time and the amount of patients that wasted a considerable amount of the portion served was considerably reduced food temperature have been improved. Also patient satisfaction with menu variability, portion size, temperature and cooking quality improved over time. The overall ratings of meals under observation improved too in fact, positive opinions ranged from 18% in 2002 to 48.3% in 2006. Ongoing research and quality verification, which include all catering service workers, yields a constant improvement in quality. Patients in healthcare settings should receive a service they appreciates, but it should be--at the same time--correct from a nutritional point of view. For this reason, it is necessary a continuous mediation between customers satisfaction and nutritionists work, dieticians and nursing staff. From this point of view the educational approach becomes essential to feed patient compliance to dietetic treatment that will continue after discharge.

  13. THE STUDY OF ACTIVITY REGARDING THE PROCESSES QUALITY ACCORDING TO ISO STANDARD 9004-2.1

    Directory of Open Access Journals (Sweden)

    OANA Ioan – Pavel

    2016-05-01

    Full Text Available Among the activities regarding processes quality according to ISO 9004-2.1 there is one refering to planning to keep under control the processes, achieved by: documentation of current activities, development of documented work instructions, establishing checkpoints and quality inspection techniques, assess the potential effectiveness of technological processes. A first step in this direction represents the presentation of the general elements that contribute to insuring the quality of each stage of manufacturing processes, the data being possible to suit for individual cases. It shall be noted that in industrial practice these quality assurance measures are designed interdependent. Preventive control during the technological processes has an important role in improving the technological processes in textiles and also increasing the quality performance of products in order to improve production and creating a recognizable brand in the world market. Quality of products manufactured in textiles has a decisive role in the present, when facing with an excess of production in this domain and a special competition between firms in the world market. A company that wants to continue to exist and develop must regularly check the quality/satisfaction degree obtained for the products manufactured. The application of quality control system implies a reference system compared to what can be measured by which we obtain “the quality level required and expected.” The referential system can be formed in a set of performance indicators so we can say that a company continues to be competitive as far as it established and achieved a series of performance indicators and in addition it controls these indicators every day.

  14. Software Process Improvement Defined

    DEFF Research Database (Denmark)

    Aaen, Ivan

    2002-01-01

    This paper argues in favor of the development of explanatory theory on software process improvement. The last one or two decades commitment to prescriptive approaches in software process improvement theory may contribute to the emergence of a gulf dividing theorists and practitioners....... It is proposed that this divide be met by the development of theory evaluating prescriptive approaches and informing practice with a focus on the software process policymaking and process control aspects of improvement efforts...

  15. CONTINUOUS QUALITY IMPROVEMENT (CQI FRAMEWORK: A CASE OF INDUSTRIAL ENGINEERING DEPARTMENT

    Directory of Open Access Journals (Sweden)

    Tooba Sikander

    2017-06-01

    Full Text Available This paper aims to present an educational framework for outcomes based continuous quality improvement. Well defined program outcomes, program educational objectives and assessment process have been developed to ensure graduates’ outcomes achievement. Direct and indirect tools have been used for assessment process. Course evaluation surveys, alumni surveys, and employer surveys have been deployed for indirect outcome assessment. Exams, quizzes, assignments and projects, on the other hand, have been used for direct outcome assessment. In developed framework, the educational processes committees and facilities committees have been integrated to continuously evaluate and monitor the educational processes. Furthermore, program outcomes and course learning outcomes are proposed to be evaluated and continuously monitored by programs goals committee and continuous course improvement committee respectively. Forms and procedures have been developed to assess student outcomes.

  16. Improving Quality of Seal Leak Test Product using Six Sigma

    Science.gov (United States)

    Luthfi Malik, Abdullah; Akbar, Muhammad; Irianto, Dradjad

    2016-02-01

    Seal leak test part is a polyurethane material-based product. Based on past data, defect level of this product was 8%, higher than the target of 5%. Quality improvement effort was done using six sigma method that included phases of define, measure, analyse, improve, and control. In the design phase, a Delphi method was used to identify factors that were critical to quality. In the measure phase, stability and process capability was measured. Fault tree analysis (FTA) and failure mode and effect analysis (FMEA) were used in the next phase to analize the root cause and to determine the priority issues. Improve phase was done by compiling, selecting, and designing alternative repair. Some improvement efforts were identified, i.e. (i) making a checklist for maintenance schedules, (ii) making written reminder form, (iii) modifying the SOP more detail, and (iv) performing a major service to the vacuum machine. To ensure the continuity of improvement efforts, some control activities were executed, i.e. (i) controlling, monitoring, documenting, and setting target frequently, (ii) implementing reward and punishment system, (iii) adding cleaning tool, and (iv) building six sigma organizational structure.

  17. Improving Quality of Seal Leak Test Product using Six Sigma

    International Nuclear Information System (INIS)

    Malik, Abdullah Luthfi; Akbar, Muhammad; Irianto, Dradjad

    2016-01-01

    Seal leak test part is a polyurethane material-based product. Based on past data, defect level of this product was 8%, higher than the target of 5%. Quality improvement effort was done using six sigma method that included phases of define, measure, analyse, improve, and control. In the design phase, a Delphi method was used to identify factors that were critical to quality. In the measure phase, stability and process capability was measured. Fault tree analysis (FTA) and failure mode and effect analysis (FMEA) were used in the next phase to analize the root cause and to determine the priority issues. Improve phase was done by compiling, selecting, and designing alternative repair. Some improvement efforts were identified, i.e. (i) making a checklist for maintenance schedules, (ii) making written reminder form, (iii) modifying the SOP more detail, and (iv) performing a major service to the vacuum machine. To ensure the continuity of improvement efforts, some control activities were executed, i.e. (i) controlling, monitoring, documenting, and setting target frequently, (ii) implementing reward and punishment system, (iii) adding cleaning tool, and (iv) building six sigma organizational structure. (paper)

  18. Improving Malaysian cocoa quality through the use of dehumidified air under mild drying conditions.

    Science.gov (United States)

    Hii, Ching L; Law, Chung L; Cloke, Michael; Sharif, Suzannah

    2011-01-30

    Various studies have been conducted in the past to improve the quality of Malaysian cocoa beans. However, the processing methods still remain crude and lack technological advancement. In terms of drying, no previous study has attempted to apply advanced drying technology to improve bean quality. This paper presents the first attempt to improve the quality of cocoa beans through heat pump drying using constant air (28.6 and 40.4 °C) and stepwise (step-up 30.7-43.6-56.9 °C and step-down 54.9-43.9 °C) drying profiles. Comparison was made against hot air drying at 55.9 °C. Product quality assessment showed significant improvement in the quality of Malaysian cocoa beans. Quality was found to be better in terms of lower acidity (higher pH) and higher degree of browning (cut test) for cocoa beans dried using the step-up profile. All heat pump-dried samples showed flavour quality comparable to that of Ghanaian and better than that of Malaysian and Indonesian commercial samples. Step-up-dried samples showed the best flavour profile with high level of cocoa flavour, low in sourness and not excessive in bitterness and astringency. Dried cocoa samples from the step-up drying profile showed the best overall quality as compared with commercial samples from Malaysia, Indonesia and Ghana. The improvement of Malaysian cocoa bean quality is thus achievable through heat pump drying. 2010 Society of Chemical Industry.

  19. Improving Safety, Quality and Efficiency through the Management of Emerging Processes: The TenarisDalmine Experience

    Science.gov (United States)

    Bonometti, Patrizia

    2012-01-01

    Purpose: The aim of this contribution is to describe a new complexity-science-based approach for improving safety, quality and efficiency and the way it was implemented by TenarisDalmine. Design/methodology/approach: This methodology is called "a safety-building community". It consists of a safety-behaviour social self-construction…

  20. Quality Rating and Improvement System State Evaluations and Research

    Science.gov (United States)

    Ferguson, Daniel

    2016-01-01

    A quality rating and improvement system (QRIS) is a method used by states and local jurisdictions to assess the level of quality of child care and early education programs, improve quality, and convey quality ratings to parents and other consumers. A typical QRIS incorporates the following components: quality standards for participating providers;…