WorldWideScience

Sample records for protecting layer deposited

  1. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  2. Protective silicon coating for nanodiamonds using atomic layer deposition

    International Nuclear Information System (INIS)

    Lu, J.; Wang, Y.H.; Zang, J.B.; Li, Y.N.

    2007-01-01

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH 4 ). The coating was performed by sequential reaction of SiH 4 saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability

  3. Protective silicon coating for nanodiamonds using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, J. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Wang, Y.H. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Zang, J.B. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China) and College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)]. E-mail: diamondzjb@163.com; Li, Y.N. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)

    2007-01-30

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH{sub 4}). The coating was performed by sequential reaction of SiH{sub 4} saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability.

  4. UV protective zinc oxide coating for biaxially oriented polypropylene packaging film by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lahtinen, Kimmo, E-mail: kimmo.lahtinen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kääriäinen, Tommi, E-mail: tommi.kaariainen@colorado.edu [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Johansson, Petri, E-mail: petri.johansson@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Kotkamo, Sami, E-mail: sami.kotkamo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Seppänen, Tarja, E-mail: tarja.seppanen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Cameron, David C., E-mail: david.cameron@miktech.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland)

    2014-11-03

    Biaxially oriented polypropylene (BOPP) packaging film was coated with zinc oxide (ZnO) coatings by atomic layer deposition (ALD) in order to protect the film from UV degradation. The coatings were made at a process temperature of 100 °C using diethylzinc and water as zinc and oxygen precursors, respectively. The UV protective properties of the coatings were tested by using UV–VIS and infrared spectrometry, differential scanning calorimetry (DSC) and a mechanical strength tester, which characterised the tensile and elastic properties of the film. The results obtained with 36 and 67 nm ZnO coatings showed that the ZnO UV protective layer is able to provide a significant decrease in photodegradation of the BOPP film under UV exposure. While the uncoated BOPP film suffered a complete degradation after a 4-week UV exposure, the 67 nm ZnO coated BOPP film was able to preserve half of its original tensile strength and 1/3 of its elongation at break after a 6-week exposure period. The infrared analysis and DSC measurements further proved the UV protection of the ZnO coatings. The results show that a nanometre scale ZnO coating deposited by ALD is a promising option when a transparent UV protection layer is sought for polymer substrates. - Highlights: • Atomic layer deposited zinc oxide coatings were used as UV protection layers. • Biaxially oriented polypropylene (BOPP) film was well protected against UV light. • Formation of UV degradation products in BOPP was significantly reduced. • Mechanical properties of the UV exposed BOPP film were significantly improved.

  5. Evaluation of atomic layer deposited alumina as a protective layer for domestic silver articles: Anti-corrosion test in artificial sweat

    Science.gov (United States)

    Park, Suk Won; Han, Gwon Deok; Choi, Hyung Jong; Prinz, Fritz B.; Shim, Joon Hyung

    2018-05-01

    This study evaluated the effectiveness of alumina fabricated by atomic layer deposition (ALD) as a protective coating for silver articles against the corrosion caused by body contact. An artificial sweat solution was used to simulate body contact. ALD alumina layers of varying thicknesses ranging from 20 to 80 nm were deposited on sputtered silver samples. The stability of the protective layer was evaluated by immersing the coated samples in the artificial sweat solution at 25 and 35 °C for 24 h. We confirmed that a sufficiently thick layer of ALD alumina is effective in protecting the shape and light reflectance of the underlying silver, whereas the uncoated bare silver is severely degraded by the artificial sweat solution. Inductively coupled plasma mass spectrometry and X-ray photoelectron spectroscopy were used for in-depth analyses of the chemical stability of the ALD-coated silver samples after immersion in the sweat solution.

  6. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  7. Protective coatings of hafnium dioxide by atomic layer deposition for microelectromechanical systems applications

    Energy Technology Data Exchange (ETDEWEB)

    Berdova, Maria, E-mail: maria.berdova@aalto.fi [Aalto University, Department of Materials Science and Engineering, 02150, Espoo (Finland); Wiemer, Claudia; Lamperti, Alessio; Tallarida, Grazia; Cianci, Elena [Laboratorio MDM, IMM CNR, Via C. Olivetti 2, 20864, Agrate Brianza, MB (Italy); Lamagna, Luca; Losa, Stefano; Rossini, Silvia; Somaschini, Roberto; Gioveni, Salvatore [STMicroelectronics, Via C. Olivetti 2, 20864, Agrate Brianza, MB (Italy); Fanciulli, Marco [Laboratorio MDM, IMM CNR, Via C. Olivetti 2, 20864, Agrate Brianza, MB (Italy); Università degli studi di Milano Bicocca, Dipartimento di Scienza dei Materiali, 20126, Milano (Italy); Franssila, Sami, E-mail: sami.franssila@aalto.fi [Aalto University, Department of Materials Science and Engineering, 02150, Espoo (Finland)

    2016-04-15

    Graphical abstract: - Highlights: • Atomic layer deposition of HfO{sub 2} from (CpMe){sub 2}Hf(OMe)Me or Hf(NMeEt){sub 4} and ozone for potential applications in microelectromechanical systems. • ALD HfO{sub 2} protects aluminum substrates from degradation in moist environment and at the same time retains good reflectance properties of the underlying material. • The resistance of hafnium dioxide to moist environment is independent of chosen precursors. - Abstract: This work presents the investigation of HfO{sub 2} deposited by atomic layer deposition (ALD) from either HfD-CO4 or TEMAHf and ozone for microelectromechanical systems (MEMS) applications, in particular, for environmental protection of aluminum micromirrors. This work shows that HfO{sub 2} films successfully protect aluminum in moist environment and at the same time retain good reflectance properties of underlying material. In our experimental work, the chemical composition, crystal structure, electronic density and roughness of HfO{sub 2} films remained the same after one week of humidity treatment (relative humidity of 85%, 85 °C). The reflectance properties underwent only minor changes. The observed shift in reflectance was only from 80–90% to 76–85% in 400–800 nm spectral range when coated with ALD HfO{sub 2} films grown with Hf(NMeEt){sub 4} and no shift (remained in the range of 68–83%) for films grown from (CpMe){sub 2}Hf(OMe)Me.

  8. Interface control of atomic layer deposited oxide coatings by filtered cathodic arc deposited sublayers for improved corrosion protection

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, Emma, E-mail: emma.harkonen@helsinki.fi [Laboratory of Inorganic Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland); Tervakangas, Sanna; Kolehmainen, Jukka [DIARC-Technology Inc., Espoo (Finland); Díaz, Belén; Światowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe [Laboratoire de Physico-Chimie des Surfaces, CNRS (UMR 7075) – Chimie ParisTech (ENSCP), F-75005 Paris (France); Fenker, Martin [FEM Research Institute, Precious Metals and Metals Chemistry, D-73525 Schwäbisch Gmünd (Germany); Tóth, Lajos; Radnóczi, György [Research Centre for Natural Sciences HAS, (MTA TKK), Budapest (Hungary); Ritala, Mikko [Laboratory of Inorganic Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland)

    2014-10-15

    Sublayers grown with filtered cathodic arc deposition (FCAD) were added under atomic layer deposited (ALD) oxide coatings for interface control and improved corrosion protection of low alloy steel. The FCAD sublayer was either Ta:O or Cr:O–Ta:O nanolaminate, and the ALD layer was Al{sub 2}O{sub 3}–Ta{sub 2}O{sub 5} nanolaminate, Al{sub x}Ta{sub y}O{sub z} mixture or graded mixture. The total thicknesses of the FCAD/ALD duplex coatings were between 65 and 120 nm. Thorough analysis of the coatings was conducted to gain insight into the influence of the FCAD sublayer on the overall coating performance. Similar characteristics as with single FCAD and ALD coatings on steel were found in the morphology and composition of the duplex coatings. However, the FCAD process allowed better control of the interface with the steel by reducing the native oxide and preventing its regrowth during the initial stages of the ALD process. Residual hydrocarbon impurities were buried in the interface between the FCAD layer and steel. This enabled growth of ALD layers with improved electrochemical sealing properties, inhibiting the development of localized corrosion by pitting during immersion in acidic NaCl and enhancing durability in neutral salt spray testing. - Highlights: • Corrosion protection properties of ALD coatings were improved by FCAD sublayers. • The FCAD sublayer enabled control of the coating-substrate interface. • The duplex coatings offered improved sealing properties and durability in NSS. • The protective properties were maintained during immersion in a corrosive solution. • The improvements were due to a more ideal ALD growth on the homogeneous FCAD oxide.

  9. Inorganic-Organic Coating via Molecular Layer Deposition Enables Long Life Sodium Metal Anode.

    Science.gov (United States)

    Zhao, Yang; Goncharova, Lyudmila V; Zhang, Qian; Kaghazchi, Payam; Sun, Qian; Lushington, Andrew; Wang, Biqiong; Li, Ruying; Sun, Xueliang

    2017-09-13

    Metallic Na anode is considered as a promising alternative candidate for Na ion batteries (NIBs) and Na metal batteries (NMBs) due to its high specific capacity, and low potential. However, the unstable solid electrolyte interphase layer caused by serious corrosion and reaction in electrolyte will lead to big challenges, including dendrite growth, low Coulombic efficiency and even safety issues. In this paper, we first demonstrate the inorganic-organic coating via advanced molecular layer deposition (alucone) as a protective layer for metallic Na anode. By protecting Na anode with controllable alucone layer, the dendrites and mossy Na formation have been effectively suppressed and the lifetime has been significantly improved. Moreover, the molecular layer deposition alucone coating shows better performances than the atomic layer deposition Al 2 O 3 coating. The novel design of molecular layer deposition protected Na metal anode may bring in new opportunities to the realization of the next-generation high energy-density NIBs and NMBs.

  10. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  11. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  12. Deposition of silica protected luminescent layers of Eu:GdVO_4 nanoparticles assisted by atmospheric pressure plasma jet

    International Nuclear Information System (INIS)

    Moretti, Elisa; Pizzol, Giorgia; Fantin, Marina; Enrichi, Francesco; Scopece, Paolo; Nuñez, Nuria O.; Ocaña, Manuel; Benedetti, Alvise; Polizzi, Stefano

    2016-01-01

    Eu:GdVO_4 nanophosphors with an average size of 60 nm, synthesized by a facile solvothermal method, were deposited on monocrystalline silicon wafers by a spray-coating technique with artworks anti-counterfeiting applications in mind. Atmospheric pressure plasma jet (APPJ) was used to deposit a silica-based layer on top of the nanometric luminescent layer, in order to improve its adhesion to the substrate and to protect it from the environment. The nanophosphors were characterized by X-ray diffraction (XRD) and transmission electron microscopy (TEM). Coating composition was investigated by Fourier transform infrared spectroscopy (FT-IR) and its morphology was characterized by scanning electron microscopy (FEG-SEM). The film thickness was evaluated by means of ellipsometry and adhesion was estimated by a peeling test. Luminescent properties of the nanophosphors deposited and fixed on silicon wafers were also measured. The whole layer resulted well-adhered to the silicon substrate, transparent and undetectable in the presence of visible light, but easily activated by UV light source. - Highlights: • Luminescent films were obtained by spray deposition of Eu:GdVO_4 nanophosphors. • Plasma jet deposition of SiO_2 fixed the nanophosphors on the substrate. • Optical properties of nanophosphors were preserved after deposition-fixing process. • Films well-adhered to the substrate, even after a scotch tape peeling test and a scratch test.

  13. Silicon protected with atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Seger, Brian; Tilley, David S.; Pedersen, Thomas

    2013-01-01

    The semiconducting materials used for photoelectrochemical (PEC) water splitting must withstand the corrosive nature of the aqueous electrolyte over long time scales in order to be a viable option for large scale solar energy conversion. Here we demonstrate that atomic layer deposited titanium di...

  14. Deposition of Chitosan Layers on NiTi Shape Memory Alloy

    Directory of Open Access Journals (Sweden)

    Kowalski P.

    2015-04-01

    Full Text Available The NiTi shape memory alloys have been known from their application in medicine for implants as well as parts of medical devices. However, nickel belongs to the family of elements, which are toxic. Apart from the fact that nickel ions are bonded with titanium into intermetallic phase, their presence may cause allergy. In order to protect human body against release of nickel ions a surface of NiTi alloy can be modified with use of titanium nitrides, oxides or diamond-like layers. On the one hand the layers can play protective role but on the other hand they may influence shape memory behavior. Too stiff or too brittle layer can lead to limiting or completely blocking of the shape recovery. It was the reason to find more elastic covers for NiTi surface protection. This feature is characteristic for polymers, especially, biocompatible ones, which originate in nature. In the reported paper, the chitosan was applied as a deposited layer on surface of the NiTi shape memory alloy. Due to the fact that nature of shape memory effect is sensitive to thermo and/or mechanical treatments, the chitosan layer was deposited with use of electrophoresis carried out at room temperature. Various deposition parameters were checked and optimized. In result of that thin chitosan layer (0.45µm was received on the NiTi alloy surface. The obtained layers were characterized by means of chemical and phase composition, as well as surface quality. It was found that smooth, elastic surface without cracks and/or inclusions can be produced applying 10V and relatively short deposition time - 30 seconds.

  15. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko; Haggren, Tuomas; Lipsanen, Harri [Department of Micro- and Nanosciences, Micronova, Aalto University, P.O. Box 13500, FI-00076 (Finland); Naureen, Shagufta; Shahid, Naeem [Research School of Physics & Engineering, Department of Electronic Materials Engineering, Australian National University, Canberra ACT 2601 (Australia); Jiang, Hua; Kauppinen, Esko [Department of Applied Physics and Nanomicroscopy Center, Aalto University, P.O. Box 15100, FI-00076 (Finland); Srinivasan, Anand [School of Information and Communication Technology, KTH Royal Institute of Technology, Electrum 229, S-164 40 Kista (Sweden)

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  16. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Veer Dhaka

    2016-01-01

    Full Text Available Low temperature (∼200 °C grown atomic layer deposition (ALD films of AlN, TiN, Al2O3, GaN, and TiO2 were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP nanowires (NWs, and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL at low temperatures (15K, and the best passivation was achieved with a few monolayer thick (2Å film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL was achieved with a capping of 2nm thick Al2O3. All other ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al2O3 layer increased the carrier decay time from 251 ps (as-etched nanopillars to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al2O3 provides moderate surface passivation as well as long term protection from oxidation and environmental attack.

  17. Deposition of silica protected luminescent layers of Eu:GdVO{sub 4} nanoparticles assisted by atmospheric pressure plasma jet

    Energy Technology Data Exchange (ETDEWEB)

    Moretti, Elisa, E-mail: elisa.moretti@unive.it [Dipartimento di Scienze Molecolari e Nanosistemi, Università Ca' Foscari Venezia, INSTM Venice Research Unit, Via Torino 155/B, 30172 Mestre, Venezia (Italy); Pizzol, Giorgia [Dipartimento di Scienze Molecolari e Nanosistemi, Università Ca' Foscari Venezia, INSTM Venice Research Unit, Via Torino 155/B, 30172 Mestre, Venezia (Italy); Fantin, Marina; Enrichi, Francesco; Scopece, Paolo [Nanofab-Veneto Nanotech, Via delle Industrie 5, 30175 Marghera, Venezia (Italy); Nuñez, Nuria O.; Ocaña, Manuel [Instituto de Ciencia de Materiales de Sevilla, CSIC-US, Americo Vespucio 49, 41092, Isla de la Cartuja, Sevilla (Spain); Benedetti, Alvise [Dipartimento di Scienze Molecolari e Nanosistemi, Università Ca' Foscari Venezia, INSTM Venice Research Unit, Via Torino 155/B, 30172 Mestre, Venezia (Italy); Polizzi, Stefano [Dipartimento di Scienze Molecolari e Nanosistemi, Università Ca' Foscari Venezia, INSTM Venice Research Unit, Via Torino 155/B, 30172 Mestre, Venezia (Italy); Centro di Microscopia Elettronica “Giovanni Stevanato”, Università Ca' Foscari Venezia, Via Torino 155/B, 30172 Mestre, Venezia (Italy)

    2016-01-01

    Eu:GdVO{sub 4} nanophosphors with an average size of 60 nm, synthesized by a facile solvothermal method, were deposited on monocrystalline silicon wafers by a spray-coating technique with artworks anti-counterfeiting applications in mind. Atmospheric pressure plasma jet (APPJ) was used to deposit a silica-based layer on top of the nanometric luminescent layer, in order to improve its adhesion to the substrate and to protect it from the environment. The nanophosphors were characterized by X-ray diffraction (XRD) and transmission electron microscopy (TEM). Coating composition was investigated by Fourier transform infrared spectroscopy (FT-IR) and its morphology was characterized by scanning electron microscopy (FEG-SEM). The film thickness was evaluated by means of ellipsometry and adhesion was estimated by a peeling test. Luminescent properties of the nanophosphors deposited and fixed on silicon wafers were also measured. The whole layer resulted well-adhered to the silicon substrate, transparent and undetectable in the presence of visible light, but easily activated by UV light source. - Highlights: • Luminescent films were obtained by spray deposition of Eu:GdVO{sub 4} nanophosphors. • Plasma jet deposition of SiO{sub 2} fixed the nanophosphors on the substrate. • Optical properties of nanophosphors were preserved after deposition-fixing process. • Films well-adhered to the substrate, even after a scotch tape peeling test and a scratch test.

  18. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    Science.gov (United States)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  19. Compositional characterization of atomic layer deposited alumina

    International Nuclear Information System (INIS)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev

    2014-01-01

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al 2 O 3 is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra

  20. Compositional characterization of atomic layer deposited alumina

    Energy Technology Data Exchange (ETDEWEB)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev [Department of Instrumentation, Cochin University of Science and Technology, Cochin-22, Kerala (India)

    2014-01-28

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al{sub 2}O{sub 3} is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra.

  1. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  2. Methanogens predominate in natural corrosion protective layers on metal sheet piles.

    NARCIS (Netherlands)

    Kip, Nardy; Jansen, S.; Leite, M.F.A.; De Hollander, M.; Afanasyev, M.; Kuramae, E.E.; van Veen, J.A.

    2017-01-01

    Microorganisms are able to cause, but also to inhibit or protect against corrosion. Corrosion inhibition by microbial processes may be due to the formation of mineral deposition layers on metal objects. Such deposition layers have been found in archaeological studies on ancient metal objects, buried

  3. Electroless atomic layer deposition

    Science.gov (United States)

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  4. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  5. Solution-deposited F:SnO₂/TiO₂ as a base-stable protective layer and antireflective coating for microtextured buried-junction H₂-evolving Si photocathodes.

    Science.gov (United States)

    Kast, Matthew G; Enman, Lisa J; Gurnon, Nicholas J; Nadarajah, Athavan; Boettcher, Shannon W

    2014-12-24

    Protecting Si photocathodes from corrosion is important for developing tandem water-splitting devices operating in basic media. We show that textured commercial Si-pn(+) photovoltaics protected by solution-processed semiconducting/conducting oxides (plausibly suitable for scalable manufacturing) and coupled to thin layers of Ir yield high-performance H2-evolving photocathodes in base. They also serve as excellent test structures to understand corrosion mechanisms and optimize interfacial electrical contacts between various functional layers. Solution-deposited TiO2 protects Si-pn(+) junctions from corrosion for ∼24 h in base, whereas junctions protected by F:SnO2 fail after only 1 h of electrochemical cycling. Interface layers consisting of Ti metal and/or the highly doped F:SnO2 between the Si and TiO2 reduce Si-emitter/oxide/catalyst contact resistance and thus increase fill factor and efficiency. Controlling the oxide thickness led to record photocurrents near 35 mA cm(-2) at 0 V vs RHE and photocathode efficiencies up to 10.9% in the best cells. Degradation, however, was not completely suppressed. We demonstrate that performance degrades by two mechanisms, (1) deposition of impurities onto the thin catalyst layers, even from high-purity base, and (2) catastrophic failure via pinholes in the oxide layers after several days of operation. These results provide insight into the design of hydrogen-evolving photoelectrodes in basic conditions, and highlight challenges.

  6. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  7. Silicon protected with atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Seger, Brian; Tilley, S. David; Pedersen, Thomas

    2013-01-01

    The present work demonstrates that tuning the donor density of protective TiO2 layers on a photocathode has dramatic consequences for electronic conduction through TiO2 with implications for the stabilization of oxidation-sensitive catalysts on the surface. Vacuum annealing at 400 °C for 1 hour o...

  8. Anti corrosion layer for stainless steel in molten carbonate fuel cell - comprises phase vapour deposition of titanium nitride, aluminium nitride or chromium nitride layer then oxidising layer in molten carbonate electrolyte

    DEFF Research Database (Denmark)

    2000-01-01

    Forming an anticorrosion protective layer on a stainless steel surface used in a molten carbonate fuel cell (MCFC) - comprises the phase vapour deposition (PVD) of a layer comprising at least one of titanium nitride, aluminium nitride or chromium nitride and then forming a protective layer in situ...

  9. Metal Surface Modification for Obtaining Nano- and Sub-Nanostructured Protective Layers

    OpenAIRE

    Ledovskykh, Volodymyr; Vyshnevska, Yuliya; Brazhnyk, Igor; Levchenko, Sergiy

    2017-01-01

    Regularities of the phase protective layer formation in multicomponent systems involving inhibitors with different mechanism of protective action have been investigated. It was shown that optimization of the composition of the inhibition mixture allows to obtain higher protective efficiency owing to improved microstructure of the phase layer. It was found that mechanism of the film formation in the presence of NaNO2-PHMG is due to deposition of slightly soluble PHMG-Fe complexes on the metal ...

  10. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  11. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  12. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  13. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  14. Pulsed laser deposited MnCo{sub 2}O{sub 4} protective layer on SS430 for solid oxide fuel cell application

    Energy Technology Data Exchange (ETDEWEB)

    Gaur, Anshu, E-mail: gauranshu20@gmail.com, E-mail: ahamed.vza@gmail.com; Mohiddon, Md. Ahamad, E-mail: gauranshu20@gmail.com, E-mail: ahamed.vza@gmail.com [National Institute of Technology Andhra Pradesh, Tadepalliguem 534101 (India); Prasad, Muvva D. [UGC Networking Centre, School of Chemistry, University of Hyderabad, Hyderabad 500046, India. Phone:+91-40-23134382, Fax:+91-40-23010227 (India)

    2016-05-23

    The growth and oxidation study of pulsed laser deposited MnCo{sub 2}O{sub 4} protective layer on SS430 substrate for solid oxide fuel cell application is demonstrated. MnCo{sub 2}O{sub 4} has been achieved in three different ways including, deposition at higher substrate temperature (700°C), and deposition at room temperature on pre-oxidized and untreated SS430 substrate followed by annealing at 700°C for 2 hrs. X-ray diffraction and Raman spectroscopy has been applied to demonstrate the kind of phases developed in each case. These three samples were subjected to heat treatment at 750°C for 5 hr. The extent of undesired Fe{sub 2}O{sub 3} phase formation in the post deposition heat treated samples is discussed based on Raman spectroscopic results.

  15. Ozone Layer Protection

    Science.gov (United States)

    ... and Research Centers Contact Us Share Ozone Layer Protection The stratospheric ozone layer is Earth’s “sunscreen” – protecting ... GreenChill Partnership Responsible Appliance Disposal (RAD) Program Ozone Protection vs. Ozone Pollution This website addresses stratospheric ozone ...

  16. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  17. Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200 °C

    Directory of Open Access Journals (Sweden)

    Farzad Sadeghi-Tohidi

    2014-01-01

    Full Text Available The fatigue properties of ultrathin protective coatings on silicon thin films were investigated. The cohesive and delamination fatigue properties of 22 nm-thick atomic-layered-deposited (ALD titania were characterized and compared to that of 25 nm-thick alumina. Both coatings were deposited at 200 °C. The fatigue rates are comparable at 30 °C, 50% relative humidity (RH while they are one order of magnitude larger for alumina compared to titania at 80 °C, 90% RH. The improved fatigue performance is believed to be related to the improved stability of the ALD titania coating with water compared to ALD alumina, which may in part be related to the fact that ALD titania is crystalline, while ALD alumina is amorphous. Static fatigue crack nucleation and propagation was not observed. The underlying fatigue mechanism is different from previously documented mechanisms, such as stress corrosion cracking, and appears to result from the presence of compressive stresses and a rough coating–substrate interface.

  18. Modifying of Cotton Fabric Surface with Nano-ZnO Multilayer Films by Layer-by-Layer Deposition Method

    Directory of Open Access Journals (Sweden)

    Sarıışık Merih

    2010-01-01

    Full Text Available Abstract ZnO nanoparticle–based multilayer nanocomposite films were fabricated on cationized woven cotton fabrics via layer-by-layer molecular self-assembly technique. For cationic surface charge, cotton fabrics were pretreated with 2,3-epoxypropyltrimethylammonium chloride (EP3MAC by pad-batch method. XPS and SEM were used to examine the deposited nano-ZnO multilayer films on the cotton fabrics. The nano-ZnO films deposited on cotton fabrics exhibited excellent antimicrobial activity against Staphylococcus aureus bacteria. The results also showed that the coated fabrics with nano-ZnO multilayer films enhanced the protection of cotton fabrics from UV radiation. Physical tests (tensile strength of weft and warp yarns, air permeability and whiteness values were performed on the fabrics before and after the treatment with ZnO nanoparticles to evaluate the effect of layer-by-layer (LbL process on cotton fabrics properties.

  19. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  20. Metal Surface Modification for Obtaining Nano- and Sub-Nanostructured Protective Layers

    Science.gov (United States)

    Ledovskykh, Volodymyr; Vyshnevska, Yuliya; Brazhnyk, Igor; Levchenko, Sergiy

    2017-03-01

    Regularities of the phase protective layer formation in multicomponent systems involving inhibitors with different mechanism of protective action have been investigated. It was shown that optimization of the composition of the inhibition mixture allows to obtain higher protective efficiency owing to improved microstructure of the phase layer. It was found that mechanism of the film formation in the presence of NaNO2-PHMG is due to deposition of slightly soluble PHMG-Fe complexes on the metal surface. On the basis of the proposed mechanism, the advanced surface engineering methods for obtaining nanoscaled and sub-nanostructured functional coatings may be developed.

  1. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  2. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware Project

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  3. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    International Nuclear Information System (INIS)

    Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics

  4. A Study of Calcareous Deposits on Cathodically Protected Mild Steel in Artificial Seawater

    Directory of Open Access Journals (Sweden)

    Yuanfeng Yang

    2015-03-01

    Full Text Available Calcareous deposits were formed on steel under conditions of cathodic protection in artificial seawater at applied constant current densities ranging from 50 to 400 mA·m−2. The calcareous layers were characterized using a Field Emission Gun Scanning Electron Microscope (FEG SEM in conjunction with Energy Dispersive X-Ray Analysis (EDX, and Electrochemical Impedance Spectroscopy (EIS. At cathodic current densities of 50–100 mA·m−2 where corrosion was still occurring, a clear correlation existed between the iron containing corrosion product and the overlying magnesium hydroxide layer. This revealed that the mapping of magnesium rich areas on a steel surface can be used in the identification of local corrosion sites. At current densities of 150–200 mA·m−2, a layered deposit was shown to occur consisting of an inner magnesium-containing layer and an outer calcium-containing layer. At current densities of 300–400 mA·m−2, intense hydrogen bubbling through macroscopic pores in the deposits gave rise to cracking of the deposited film. Under such conditions deposits do not have a well-defined double layer structure. There is also preferential formation of magnesium-rich compounds near the steel surface at the early stages of polarisation and within the developing pores and cracks of calcareous deposits later on. Based on SEM/EDX investigation of calcareous depositions the impedance model was proposed and used to monitor in situ variations in steel corrosion resistance, and to calculate the thickness of formed deposits using the length of oxygen diffusion paths.

  5. Polyelectrolyte layer-by-layer deposition in cylindrical nanopores.

    Science.gov (United States)

    Lazzara, Thomas D; Lau, K H Aaron; Abou-Kandil, Ahmed I; Caminade, Anne-Marie; Majoral, Jean-Pierre; Knoll, Wolfgang

    2010-07-27

    Layer-by-layer (LbL) deposition of polyelectrolytes within nanopores in terms of the pore size and the ionic strength was experimentally studied. Anodic aluminum oxide (AAO) membranes, which have aligned, cylindrical, nonintersecting pores, were used as a model nanoporous system. Furthermore, the AAO membranes were also employed as planar optical waveguides to enable in situ monitoring of the LbL process within the nanopores by optical waveguide spectroscopy (OWS). Structurally well-defined N,N-disubstituted hydrazine phosphorus-containing dendrimers of the fourth generation, with peripherally charged groups and diameters of approximately 7 nm, were used as the model polyelectrolytes. The pore diameter of the AAO was varied between 30-116 nm and the ionic strength was varied over 3 orders of magnitude. The dependence of the deposited layer thickness on ionic strength within the nanopores is found to be significantly stronger than LbL deposition on a planar surface. Furthermore, deposition within the nanopores can become inhibited even if the pore diameter is much larger than the diameter of the G4-polyelectrolyte, or if the screening length is insignificant relative to the dendrimer diameter at high ionic strengths. Our results will aid in the template preparation of polyelectrolyte multilayer nanotubes, and our experimental approach may be useful for investigating theories regarding the partitioning of nano-objects within nanopores where electrostatic interactions are dominant. Furthermore, we show that the enhanced ionic strength dependence of polyelectrolyte transport within the nanopores can be used to selectively deposit a LbL multilayer atop a nanoporous substrate.

  6. Protecting nickel with graphene spin-filtering membranes: A single layer is enough

    Energy Technology Data Exchange (ETDEWEB)

    Martin, M.-B.; Dlubak, B.; Piquemal-Banci, M.; Collin, S.; Petroff, F.; Anane, A.; Fert, A.; Seneor, P. [Unité Mixte de Physique CNRS/Thales, 1 Avenue Augustin Fresnel, 91767 Palaiseau, France and Université Paris Sud, 91405 Orsay (France); Weatherup, R. S.; Hofmann, S.; Robertson, J. [Department of Engineering, University of Cambridge, Cambridge CB21PZ (United Kingdom); Yang, H. [IBS Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Blume, R. [Helmholtz-Zentrum Berlin fur Materialien und Energie, 12489 Berlin (Germany); Schloegl, R. [Department of Inorganic Chemistry, Fritz Haber Institute of the Max Planck Society, Faradayweg 4-6, 14195 Berlin (Germany)

    2015-07-06

    We report on the demonstration of ferromagnetic spin injectors for spintronics which are protected against oxidation through passivation by a single layer of graphene. The graphene monolayer is directly grown by catalytic chemical vapor deposition on pre-patterned nickel electrodes. X-ray photoelectron spectroscopy reveals that even with its monoatomic thickness, monolayer graphene still efficiently protects spin sources against oxidation in ambient air. The resulting single layer passivated electrodes are integrated into spin valves and demonstrated to act as spin polarizers. Strikingly, the atom-thick graphene layer is shown to be sufficient to induce a characteristic spin filtering effect evidenced through the sign reversal of the measured magnetoresistance.

  7. Improvement and protection of niobium surface superconductivity by atomic layer deposition and heat treatment

    Energy Technology Data Exchange (ETDEWEB)

    Proslier, T.; /IIT, Chicago /Argonne; Zasadzinski, J.; /IIT, Chicago; Moore, J.; Pellin, M.; Elam, J.; /Argonne; Cooley, L.; /Fermilab; Antoine, C.; /Saclay

    2008-11-01

    A method to treat the surface of Nb is described, which potentially can improve the performance of superconducting rf cavities. We present tunneling and x-ray photoemission spectroscopy measurements at the surface of cavity-grade niobium samples coated with a 3 nm alumina overlayer deposited by atomic layer deposition. The coated samples baked in ultrahigh vacuum at low temperature degraded superconducting surface. However, at temperatures above 450 C, the tunneling conductance curves show significant improvements in the superconducting density of states compared with untreated surfaces.

  8. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  9. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  10. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  11. Stability of nanocrystalline electrochemically deposited layers

    DEFF Research Database (Denmark)

    Pantleon, Karen; Somers, Marcel A. J.

    2009-01-01

    have different microstructure and properties compared to bulk materials and the thermodynamic non-equilibrium state of as-deposited layers frequently results in changes of the microstructure as a function of time and/or temperature. The evolving microstructure affects the functionality and reliability......The technological demand for manufacturing components with complex geometries of micrometer or sub-micrometer dimensions and ambitions for ongoing miniaturization have attracted particular attention to electrochemical deposition methods. Thin layers of electrochemically deposited metals and alloys...... of electrodeposited components, which can be beneficial, as for the electrical conductivity of copper interconnect lines, or detrimental, as for reduced strength of nickel in MEMS applications. The present work reports on in-situ studies of the microstructure stability of as-deposited nanocrystalline Cu-, Ag- and Ni...

  12. Layer-by-layer deposition of nanostructured CsPbBr3 perovskite thin films

    Science.gov (United States)

    Reshetnikova, A. A.; Matyushkin, L. B.; Andronov, A. A.; Sokolov, V. S.; Aleksandrova, O. A.; Moshnikov, V. A.

    2017-11-01

    Layer-by-layer deposition of nanostructured perovskites cesium lead halide thin films is described. The method of deposition is based on alternate immersion of the substrate in the precursor solutions or colloidal solution of nanocrystals and methyl acetate/lead nitrate solution using the device for deposition of films by SILAR and dip-coating techniques. An example of obtaining a photosensitive structure based on nanostructures of ZnO nanowires and layers of CsBbBr3 nanocrystals is also shown.

  13. Mechanical characteristics of a tool steel layer deposited by using direct energy deposition

    Science.gov (United States)

    Baek, Gyeong Yun; Shin, Gwang Yong; Lee, Eun Mi; Shim, Do Sik; Lee, Ki Yong; Yoon, Hi-Seak; Kim, Myoung Ho

    2017-07-01

    This study focuses on the mechanical characteristics of layered tool steel deposited using direct energy deposition (DED) technology. In the DED technique, a laser beam bonds injected metal powder and a thin layer of substrate via melting. In this study, AISI D2 substrate was hardfaced with AISI H13 and M2 metal powders for mechanical testing. The mechanical and metallurgical characteristics of each specimen were investigated via microstructure observation and hardness, wear, and impact tests. The obtained characteristics were compared with those of heat-treated tool steel. The microstructures of the H13- and M2-deposited specimens show fine cellular-dendrite solidification structures due to melting and subsequent rapid cooling. Moreover, the cellular grains of the deposited M2 layer were smaller than those of the H13 structure. The hardness and wear resistance were most improved in the M2-deposited specimen, yet the H13-deposited specimen had higher fracture toughness than the M2-deposited specimen and heat-treated D2.

  14. Deposition and Characterization of TRISO Coating Layers

    International Nuclear Information System (INIS)

    Kim, Do Kyung; Kim, Min Woo; Lee, Hyeon Keun; Choi, Doo Jin; Kim, Jun Kyu; Cho, Sung Hyuk

    2008-03-01

    Both ZrC and SiC layers are crucial layers in TRISO coated fuel particles since they prevent diffusion of fission products and provide mechanical strength for the fuel particle. However, each layer has its own defects, so the purpose of this study is to complement such defects of these layers. In this study, we carried out thermodynamic simulations before actual experiments. With these simulation results, we deposited the ZrC layers on SiC/graphite substrates through CVD process. SiC films on graphite have different microstructures which are a hemispherical angular, domed top and faceted structure at different deposition temperature, respectively. According to the microstructures of SiC, preferred orientation, hardness and elastic modules of deposited ZrC layer were changed. TRISO particles. The fracture the SiC coating layer occurred by the tensile stress due to the traditional pressure vessel failure criteria. It is important to find fracture stress of SiC coating layer by the internal pressurization test method. The finite-element analysis was carried out to obtain the empirical equation of strength evaluation. By using this empirical equation, the mechanical properties of several types of SiC coating film with different microstructure and thicknesses will discussed

  15. Deposition and Characterization of TRISO Coating Layers

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do Kyung; Kim, Min Woo; Lee, Hyeon Keun [KAIST, Daejeon (Korea, Republic of); Choi, Doo Jin; Kim, Jun Kyu; Cho, Sung Hyuk [Younsei University, Seoul (Korea, Republic of)

    2008-03-15

    Both ZrC and SiC layers are crucial layers in TRISO coated fuel particles since they prevent diffusion of fission products and provide mechanical strength for the fuel particle. However, each layer has its own defects, so the purpose of this study is to complement such defects of these layers. In this study, we carried out thermodynamic simulations before actual experiments. With these simulation results, we deposited the ZrC layers on SiC/graphite substrates through CVD process. SiC films on graphite have different microstructures which are a hemispherical angular, domed top and faceted structure at different deposition temperature, respectively. According to the microstructures of SiC, preferred orientation, hardness and elastic modules of deposited ZrC layer were changed. TRISO particles. The fracture the SiC coating layer occurred by the tensile stress due to the traditional pressure vessel failure criteria. It is important to find fracture stress of SiC coating layer by the internal pressurization test method. The finite-element analysis was carried out to obtain the empirical equation of strength evaluation. By using this empirical equation, the mechanical properties of several types of SiC coating film with different microstructure and thicknesses will discussed.

  16. Ultraviolet/visible and Fourier transform infrared spectroscopic investigations of organic–inorganic hybrid layers for UV protection

    Energy Technology Data Exchange (ETDEWEB)

    Präfke, Christiane, E-mail: christiane.praefke@iof.fraunhofer.de [Fraunhofer Institute of Applied Optics and Precision Engineering, Optical Coatings Department, Albert-Einstein-Straße 7, 07745 Jena (Germany); Institute of Applied Physics, Abbe Center of Photonics, Friedrich-Schiller-Universität Jena (Germany); Schulz, Ulrike, E-mail: ulrike.schulz@iof.fraunhofer.de [Fraunhofer Institute of Applied Optics and Precision Engineering, Optical Coatings Department, Albert-Einstein-Straße 7, 07745 Jena (Germany); Kaiser, Norbert, E-mail: norbert.kaiser@iof.fraunhofer.de [Fraunhofer Institute of Applied Optics and Precision Engineering, Optical Coatings Department, Albert-Einstein-Straße 7, 07745 Jena (Germany); Tünnermann, Andreas, E-mail: andreas.tuennermann@iof.fraunhofer.de [Fraunhofer Institute of Applied Optics and Precision Engineering, Optical Coatings Department, Albert-Einstein-Straße 7, 07745 Jena (Germany); Institute of Applied Physics, Abbe Center of Photonics, Friedrich-Schiller-Universität Jena (Germany)

    2013-04-01

    A study of vacuum-deposited organic–inorganic hybrid coatings for ultraviolet (UV) protection of polycarbonate is presented. For this purpose, UV-absorbing organic molecules were embedded in a silica matrix by thermal co-evaporation. Typical UV absorbers, namely a benzotriazole, a hydroxyphenyltriazine, and a cyanoacrylate, were used as organic materials. The hybrid layers were investigated by means of ultraviolet/visible (UV/VIS) and Fourier transform infrared spectroscopy (FTIR) concerning their UV/VIS absorption properties and the influence of the silica network on the organic molecules. The porosity and silica–organic interactions are discussed with reference to the infrared spectra. UV irradiation experiments were carried out to demonstrate the UV protection ability of the hybrid layers. Hybrid layers containing the hydroxyphenyltriazine compound showed the best results. - Highlights: ► Vacuum deposited organic–inorganic UV protective coatings for polycarbonate ► Thermal co-evaporation of organic UV absorbing compounds with silica ► Matrix materials and the absorber concentration influence the absorption behavior. ► The coatings on PC show improved UV stability under artificial irradiation. ► The hydroxyphenyltriazine–silica layer shows best UV protection results.

  17. Improvement of oxidation resistance of copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Cheng, T.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Lin, M.C. [Research Center for Biomedical Devices and Prototyping Production, Taipei Medical University, No. 250, Wu-Hsing Street, Taipei 110, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China)

    2012-10-01

    Graphical abstract: Results of glancing incident angle diffraction (GIXD) show the bare-Cu specimen was attacked by oxidation, whereas the coated-Cu specimens prevented from this problem. Highlights: Black-Right-Pointing-Pointer Deposition of Al{sub 2}O{sub 3} films on pure copper by an atomic layer deposition (ALD) technique. Black-Right-Pointing-Pointer Analysis of properties of the films coated at various substrate temperatures using the ALD technique. Black-Right-Pointing-Pointer Identification of the improvement of oxidation resistance of pure copper by the ALD-Al{sub 2}O{sub 3} films. Black-Right-Pointing-Pointer Assessment of the durability of the ALD-Al{sub 2}O{sub 3} films by adhesion strength. - Abstract: Al{sub 2}O{sub 3} films were deposited by the atomic layer deposition (ALD) technique onto pure copper at temperatures in the range 100-200 Degree-Sign C. The chemical composition, microstructure, and mechanic properties of the ALD-deposited Al{sub 2}O{sub 3} films were systematically analyzed. The variations in the film characteristics with substrate temperature were observed. Oxidation trials revealed that 20-nm-thick Al{sub 2}O{sub 3} films deposited at a substrate temperature as low as 100 Degree-Sign C suppress oxidative attack on pure copper. The Al{sub 2}O{sub 3} films also showed excellent durability of adhesion strength, according to predictions using the Coffin-Manson model based on the results of accelerated temperature cycling tests. These features indicate that ALD-deposited Al{sub 2}O{sub 3} film is a very promising candidate to be a protective coating for pure copper.

  18. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  19. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  20. Topography and stratigraphy of Martian polar layered deposits

    Science.gov (United States)

    Blasius, K. R.; Cutts, J. A.; Howard, A. D.

    1982-01-01

    The first samples of high resolution Viking Orbiter topographic and stratigraphic data for the layered polar deposits of Mars are presented, showing that these deposits are with respect to both slopes and angular relief similar to those in the south. It is also demonstrated that, in conjunction with stereophotogrammetry, photoclinometry holds promise as a tool for detailed layered deposit studies. The spring season photography, which lends itself to photoclinometric analysis, covers the entire area of the north polar deposits. Detailed tests of layered terrain evolution hypotheses will be made, upon refinement of the data by comparison with stereo data. A more promising refining technique will make use of averaging perpendicular to selected sections to enhance SNR. Local reliefs of 200-800 m, and slopes of 1-8 deg, lead to initial calculations of average layer thickness which yields results of 14-46 m, linearly correlated with slope.

  1. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  2. Influence of PEDOT:PSS on the effectiveness of barrier layers prepared by atomic layer deposition in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Wegler, Barbara, E-mail: barbara.wegler@siemens.com [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen, Germany and Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany); Schmidt, Oliver [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Hensel, Bernhard [Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany)

    2015-01-15

    Organic light emitting diodes (OLEDs) are well suited for energy saving lighting applications, especially when thinking about highly flexible and large area devices. In order to avoid the degradation of the organic components by water and oxygen, OLEDs need to be encapsulated, e.g., by a thin sheet of glass. As the device is then no longer flexible, alternative coatings are required. Atomic layer deposition (ALD) is a very promising approach in this respect. The authors studied OLEDs that were encapsulated by 100 nm Al{sub 2}O{sub 3} deposited by ALD. The authors show that this coating effectively protects the active surface area of the OLEDs from humidity. However, secondary degradation processes still occur at sharp edges of the OLED stack where the extremely thin encapsulation layer does not provide perfect coverage. Particularly, the swelling of poly(3,4-ethylenedioxythiophene) mixed with poly(styrenesulfonate), which is a popular choice for the planarization of the bottom electrode and at the same time acts as a hole injection layer, affects the effectiveness of the encapsulation layer.

  3. Enhancement of the corrosion protection of electroless Ni–P coating by deposition of sonosynthesized ZnO nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Sharifalhoseini, Zahra [Sonochemical Research Center, Department of Chemistry, Faculty of Science, Ferdowsi University of Mashhad, 91779 Mashhad (Iran, Islamic Republic of); Entezari, Mohammad H., E-mail: entezari@um.ac.ir [Sonochemical Research Center, Department of Chemistry, Faculty of Science, Ferdowsi University of Mashhad, 91779 Mashhad (Iran, Islamic Republic of); Environmental Chemistry Research Center, Department of Chemistry, Faculty of Science, Ferdowsi University of Mashhad, 91779 Mashhad (Iran, Islamic Republic of)

    2015-10-01

    Graphical abstract: Enhancement of the corrosion protection of electroless Ni–P layer by ZnO nanoparticles deposition and the comparison with the classical and sonochemical Ni–P coatings. - Highlights: • Unique effects of ultrasound were investigated on the anticorrosive performance of electroless Ni–P coating. • Sonoynthesis of ZnO NPs and its deposition were performed on the surface of Ni–P coating. • ZnO as an anticorrosive has a critical role in the multifunctional surfaces. • Electrochemical properties of all fabricated samples were compared with each other. - Abstract: Ni–P coatings were deposited through electroless nickel plating in the presence and absence of ultrasound. The simultaneous synthesis of ZnO nanoparticle and its deposition under ultrasound were also carried out on the surface of Ni–P layer prepared by the classical method. The morphology of the surfaces and the chemical composition were determined by scanning electron microscopy(SEM) and energy dispersive spectroscopy (EDS), respectively. Electrochemical techniques were applied for the corrosion behavior studies. The Ni–P layer deposited by ultrasound showed a higher anticorrosive property than the layer deposited by the classical method. The ZnO nanoparticles deposited on the surface of Ni–P layer significantly improved the corrosion resistance.

  4. Restraint deformation and corrosion protection of gold deposited aluminum mirrors for cold optics of mid-infrared instruments

    Science.gov (United States)

    Uchiyama, Mizuho; Miyata, Takashi; Sako, Shigeyuki; Kamizuka, Takafumi; Nakamura, Tomohiko; Asano, Kentaro; Okada, Kazushi; Onaka, Takashi; Sakon, Itsuki; Kataza, Hirokazu; Sarugaku, Yuki; Kirino, Okiharu; Nakagawa, Hiroyuki; Okada, Norio; Mitsui, Kenji

    2014-07-01

    We report the restraint deformation and the corrosion protection of gold deposited aluminum mirrors for mid-infrared instruments. To evaluate the deformation of the aluminum mirrors by thermal shrinkage, monitoring measurement of the surface of a mirror has been carried out in the cooling cycles from the room temperature to 100 K. The result showed that the effect of the deformation was reduced to one fourth if the mirror was screwed with spring washers. We have explored an effective way to prevent the mirror from being galvanically corroded. A number of samples have been prepared by changing the coating conditions, such as inserting an insulation layer, making a multi-layer and overcoating water blocking layer, or carrying out precision cleaning before coating. Precision cleaning before the deposition and protecting coat with SiO over the gold layer seemed to be effective in blocking corrosion of the aluminum. The SiO over-coated mirror has survived the cooling test for the mid-infrared use and approximately 1 percent decrease in the reflectance has been detected at 6-25 microns compared to gold deposited mirror without coating.

  5. Sealing of hard CrN and DLC coatings with atomic layer deposition.

    Science.gov (United States)

    Härkönen, Emma; Kolev, Ivan; Díaz, Belén; Swiatowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe; Fenker, Martin; Toth, Lajos; Radnoczi, György; Vehkamäki, Marko; Ritala, Mikko

    2014-02-12

    Atomic layer deposition (ALD) is a thin film deposition technique that is based on alternating and saturating surface reactions of two or more gaseous precursors. The excellent conformality of ALD thin films can be exploited for sealing defects in coatings made by other techniques. Here the corrosion protection properties of hard CrN and diamond-like carbon (DLC) coatings on low alloy steel were improved by ALD sealing with 50 nm thick layers consisting of Al2O3 and Ta2O5 nanolaminates or mixtures. In cross sectional images the ALD layers were found to follow the surface morphology of the CrN coatings uniformly. Furthermore, ALD growth into the pinholes of the CrN coating was verified. In electrochemical measurements the ALD sealing was found to decrease the current density of the CrN coated steel by over 2 orders of magnitude. The neutral salt spray (NSS) durability was also improved: on the best samples the appearance of corrosion spots was delayed from 2 to 168 h. On DLC coatings the adhesion of the ALD sealing layers was weaker, but still clear improvement in NSS durability was achieved indicating sealing of the pinholes.

  6. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  7. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  8. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  9. Study of the mechanical properties of single- layer and multi-layer metallic coatings with protective-decorative applications

    Directory of Open Access Journals (Sweden)

    Cherneva Sabina

    2018-01-01

    Full Text Available Single thin coating of matt nickel (Nimat, a mirror bright copper (Cubright, a mirror bright nickel (Nibright and their combinations were electrochemically deposited on brass substrate with thickness 500 μm. The basic aim was electrodeposition of two-layer Cubright/Nimat and Nibright/Cubright systems, and three-layer Nibright Cubrigh/Nimat system, which are among the most widely applied protective and decorative systems in light and medium operating conditions of corrosion. The thicknesses of the obtained films varied from 1 μm to 3.25 μm. They were investigated via nanoindentation experiments, in order to characterize their basic physical and mechanical characteristics, related with their good adhesion and corrosion protective ability, as well as ensuring the integrity of the system “protective coating/substrate” to possible mechanical, dynamic and/or thermal stresses. As a result, load-displacement curves were obtained and indentation hardness and indentation modulus were calculated using the Oliver & Pharr approximation method. The dependence of the indentation modulus and the indentation hardness on the depth of the indentation, surface morphology and structure of the obtained coatings, their texture and surface roughness were investigated too. The obtained results showed that the three-layer Nibright/Cubright /Niimat/CuZn37 system has highest indentation modulus and indentation hardness, following by two-layer Nibright/Cubright system and single layer coatings.

  10. Deuterium trapping in tungsten deposition layers formed by deuterium plasma sputtering

    International Nuclear Information System (INIS)

    Alimov, V.Kh.; Roth, J.; Shu, W.M.; Komarov, D.A.; Isobe, K.; Yamanishi, T.

    2010-01-01

    A study of the influence of the deposition conditions on the surface morphology and deuterium (D) concentration in tungsten (W) deposition layers formed by magnetron sputtering and in the linear plasma generator has been carried out. Thick W layers (≥0.4 μm) deposited onto copper substrates demonstrate areas of pilling and, after post-deposition heating to 1300 K, flaking-off and fracturing. For thin W layers (≤80 nm) deposited onto stainless steel (SS) and W substrates, no areas of flaking-off and fracturing exist both after deposition and after post-deposition heating to 673 K for the SS substrate and to 1300 K for the W substrate. The concentration of deuterium in the W layers was found to decrease with increasing substrate temperature and with increasing tungsten deposition rate. For layers with relatively high concentration of oxygen (0.20-0.60 O/W), a decrease of the D concentration with increasing substrate temperature is more pronounced than that for layers deposited in good vacuum conditions. To describe the evolution of the D/W ratio with the substrate temperature and the tungsten deposition rate, an empirical equation proposed by De Temmerman and Doerner [J. Nucl. Mater. 389 (2009) 479] but with alternative parameters has been used.

  11. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  12. Comparison of stress in single and multiple layer depositions of plasma-deposited amorphous silicon dioxide

    International Nuclear Information System (INIS)

    Au, V; Charles, C; Boswell, R W

    2006-01-01

    The stress in a single-layer continuous deposition of amorphous silicon dioxide (SiO 2 ) film is compared with the stress within multiple-layer intermittent or 'stop-start' depositions. The films were deposited by helicon activated reactive evaporation (plasma assisted deposition with electron beam evaporation source) to a 1 μm total film thickness. The relationships for stress as a function of film thickness for single, two, four and eight layer depositions have been obtained by employing the substrate curvature technique on a post-deposition etch-back of the SiO 2 film. At film thicknesses of less than 300 nm, the stress-thickness relationships clearly show an increase in stress in the multiple-layer samples compared with the relationship for the single-layer film. By comparison, there is little variation in the film stress between the samples when it is measured at 1 μm film thickness. Localized variations in stress were not observed in the regions where the 'stop-start' depositions occurred. The experimental results are interpreted as a possible indication of the presence of unstable, strained Si-O-Si bonds in the amorphous SiO 2 film. It is proposed that the subsequent introduction of a 'stop-start' deposition process places additional strain on these bonds to affect the film structure. The experimental stress-thickness relationships were reproduced independently by assuming a linear relationship between the measured bow and film thickness. The constants of the linear model are interpreted as an indication of the density of the amorphous film structure

  13. Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition

    NARCIS (Netherlands)

    Mackus, A.J.M.; Mulders, J.J.L.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2010-01-01

    An approach for direct-write fabrication of high-purity platinum nanostructures has been developed by combining nanoscale lateral patterning by electron beam induced deposition (EBID) with area-selective deposition of high quality material by atomic layer deposition (ALD). Because virtually pure,

  14. Deposits of the Peruvian Pisco Formation compared to layered deposits on Mars

    Science.gov (United States)

    Sowe, M.; Bishop, J. L.; Gross, C.; Walter, S.

    2013-09-01

    Deposits of the Peruvian Pisco Formation are morphologically similar to the mounds of Juventae Chasma at the equatorial region on Mars (Fig. 1). By analyzing these deposits, we hope to gain information about the environmental conditions that prevailed during sediment deposition and erosion, hence conditions that might be applicable to the Martian layered and hydrated deposits. Mariner 9 data of the Martian mid-latitudes have already shown evidence of the wind-sculptured landforms that display the powerful prevailing eolian regime [1]. In addition, [2] reported on similarities between Martian erosional landforms and those of the rainless coastal desert of central Peru from the Paracas peninsula to the Rio Ica. As indicated by similar erosional patterns, hyper-arid conditions and unidirectional winds must have dominated at least after deposition of the sediments, which are intermixed volcaniclastic materials and evaporate minerals at both locations. Likewise, variations in composition are displayed by alternating layers of different competence. The Pisco formation bears yardangs on siltstones, sandstones and clays with volcaniclastic admixtures [3] whereas the presence of sulphate minerals and the omnipresent mafic mineralogy has been reported for the layered mounds of Juventae Chasma equally [4]. Likewise, a volcanic airfall deposition and lacustrine formation have been proposed for the sulphate-rich deposits of Juventae Chasma [5,6]. In order to find out about potential spectral similarities, we performed a detailed spectral analysis of the surface by using LANDSAT and Advanced Spaceborne Thermal Emission and Reflection Radiometer (ASTER) VNIR/ SWIR data (visible to near-infrared and shortwave infrared region).

  15. Improvement in high-voltage and high rate cycling performance of nickel-rich layered cathode materials via facile chemical vapor deposition with methane

    International Nuclear Information System (INIS)

    Hyuk Son, In; Park, Kwangjin; Hwan Park, Jong

    2017-01-01

    Nickel-rich layered-oxide materials are considered promising candidates for application as cathode material in high-energy lithium ion batteries. However, their cycling performance at high voltages and rate conditions require further improvement for the purpose of commercialization. Here, we report on the facile surface modification of nickel-rich layered oxide by chemical vapor deposition with methane which yields a conductive and protective artificial solid electrolyte interphase layer consisting of amorphous carbon, alkyl lithium carbonate, and lithium carbonate. We examine the mechanism of the protective layer formation and structural deformation of the nickel-rich layered oxide during chemical vapor deposition with methane. Via optimizing the reaction conditions, we improve the electrical conductivity as well as the interfacial stability of the nickel-rich layered oxide without inducing structural deformation. The surface-modified nickel-rich layered oxide exhibits an improved performance due to the resulting enhanced rate capability, high initial efficiency, and long cycle life at high voltage (>4.5 V).

  16. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Hultqvist, Adam; Bent, Stacey F. [Department of Chemical Engineering, Stanford University, Stanford, California 94305 (United States); Li, Jian V.; Kuciauskas, Darius; Dippo, Patricia; Contreras, Miguel A.; Levi, Dean H. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.

  17. Protective coating of inner surface of steel tubes via vacuum arc deposition

    Energy Technology Data Exchange (ETDEWEB)

    Maile, K.; Roos, E.; Lyutovich, A.; Boese, J.; Itskov, M. [Stuttgart Univ. (DE). Materialpruefungsanstalt (MPA); Ashurov, Kh.; Mirkarimov, A.; Kazantsev, S.; Kadirov, Kh. [Uzbek Academy of Science, Tashkent (Uzbekistan). Arifov Inst. of Electronics

    2010-07-01

    The Vacuum Arc Deposition (VAD) technique based on sputtering a chosen electrode material and its deposition via plasma allows highly-productive technology for creating a wide class of protecting coatings on complex structures. In this work, VAD was applied as a method for the protection of the inner surface of tubes for power-plant boilers against steam oxidation. For this aim, a source cathode of an alloy with high chromium and nickel content was employed in two different VAD treatment systems: a horizontal vacuum chamber (MPA) and a vertical system where the work-piece of the tubes to be protected served as a vacuum changer (Arifov Institute of Electronics). Surface coating with variation of deposition parameters and layer thickness was performed. Characterisation of coated tubes has shown that the method realised in this work allows attainment of material transfer from the cathode to the inner surface with nearly equal chemical composition. It was demonstrated that the initial martensitic structure of the tubes was kept after the vacuum-arc treatment which can provide for both the high mechanical robustness and the corrosion-resistance of the final material. (orig.)

  18. Atomic layer deposition of alternative glass microchannel plates

    Energy Technology Data Exchange (ETDEWEB)

    O' Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.; Popecki, Mark A.; Renaud, Joseph M.; Bennis, Daniel C.; Bond, Justin L.; Stochaj, Michael E.; Foley, Michael R.; Adams, Bernhard W. [Incom, Inc., 294 Southbridge Road, Charlton, Massachusetts 01507 (United States); Mane, Anil U.; Elam, Jeffrey W. [Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439 (United States); Ertley, Camden; Siegmund, Oswald H. W. [Space Sciences Laboratory, University of California, 7 Gauss Way, Berkeley, California 94720 (United States)

    2016-01-15

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstrated due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)

  19. Stratigraphy and erosional landforms of layered deposits in Valles Marineris, Mars

    Science.gov (United States)

    Komatsu, G.; Geissler, P. E.; Strom, R. G.; Singer, R. B.

    1993-01-01

    Satellite imagery is used to identify stratigraphy and erosional landforms of 13 layered deposits in the Valles Marineris region of Mars (occurring, specifically, in Gangis, Juventae, Hebes, Ophir-Candor, Melas, and Capri-Eos Chasmata), based on albedo and erosional styles. Results of stratigraphic correlations show that the stratigraphy of layered deposits in the Hebes, Juventae, and Gangis Chasmata are not well correlated, indicating that at least these chasmata had isolated depositional environments resulting in different stratigraphic sequences. On the other hand, the layered deposits in Ophir-Candor and Melas Chasmata appear to have been connected in each chasma. Some of the layered deposits display complexities which indicate changes in space and time in the dominant source materials.

  20. Hydrogen–argon plasma pre-treatment for improving the anti-corrosion properties of thin Al2O3 films deposited using atomic layer deposition on steel

    International Nuclear Information System (INIS)

    Härkönen, Emma; Potts, Stephen E.; Kessels, Wilhelmus M.M.; Díaz, Belén; Seyeux, Antoine; Światowska, Jolanta; Maurice, Vincent; Marcus, Philippe; Radnóczi, György; Tóth, Lajos; Kariniemi, Maarit; Niinistö, Jaakko; Ritala, Mikko

    2013-01-01

    The effect of H 2 –Ar plasma pre-treatment prior to thermal atomic layer deposition (ALD) and plasma-enhanced atomic layer deposition (PEALD) of Al 2 O 3 films on steel for corrosion protection was investigated. Time-of-flight secondary ion mass spectrometry and transmission electron microscopy were used to observe the changes in the interface. The electrochemical properties of the samples were studied with polarization measurements, and the coating porosities were calculated from the polarization results for easier comparison of the coatings. Prior to thermal ALD the plasma pre-treatment was observed to reduce the amount of impurities at the interface and coating porosity by 1–3 orders of magnitude. The anti-corrosion properties of the PEALD coatings could also be improved by the pre-treatment. However, exposure of the pre-treatment plasma activated steel surface to oxygen plasma species in PEALD led to facile oxide layer formation in the interface. The oxide layer formed this way was thicker than the native oxide layer and appeared to be detrimental to the protective properties of the coating. The best performance for PEALD Al 2 O 3 coatings was achieved when, after the plasma pre-treatment, the surface was given time to regrow a thin protective interfacial oxide prior to exposure to the oxygen plasma. The different effects that thermal and plasma-enhanced ALD have on the substrate-coating interface were compared. The reactivity of the oxygen precursor was shown to have a significant influence on substrate surface in the early stages of film growth and thereafter also on the overall quality of the protective film. - Highlights: • Influence of H 2 –Ar plasma pre-treatment to ALD coatings on steel was studied. • The pre-treatment modified the coating–substrate interface composition and thickness. • The pre-treatment improved the barrier properties of the coatings

  1. Engineering Particle Surface Chemistry and Electrochemistry with Atomic Layer Deposition

    Science.gov (United States)

    Jackson, David Hyman Kentaro

    Atomic layer deposition (ALD) is a vapor phase thin film coating technique that relies on sequential pulsing of precursors that undergo self-limited surface reactions. The self- limiting reactions and gas phase diffusion of the precursors together enable the conformal coating of microstructured particles with a high degree of thickness and compositional control. ALD may be used to deposit thin films that introduce new functionalities to a particle surface. Examples of new functionalities include: chemical reactivity, a mechanically strong protective coating, and an electrically resistive layer. The coatings properties are often dependent on the bulk properties and microstructure of the particle substrate, though they usually do not affect its bulk properties or microstructure. Particle ALD finds utility in the ability to synthesize well controlled, model systems, though it is expensive due to the need for costly metal precursors that are dangerous and require special handling. Enhanced properties due to ALD coating of particles in various applications are frequently described empirically, while the details of their enhancement mechanisms often remain the focus of ongoing research in the field. This study covers the various types of particle ALD and attempts to describe them from the unifying perspective of surface science.

  2. Study of the properties of plasma deposited layers of nickel-chrome-aluminium-yttrium coatings resistant to oxidation and hot corrosion

    Directory of Open Access Journals (Sweden)

    Mihailo R. Mrdak

    2012-04-01

    Full Text Available The aim of this study was to examine the properties of Ni22Cr10Al1Y layers in order to obtain optimal structural - mechanical properties with the optimization of depositing parameters. Powder was deposited by the atmospheric plasma spray (APS process with the current intensity of 600, 700 and 800A, with a corresponding plasma gun power supply of 22KW, 34KW and 28KW. The evaluation of the Ni22Cr10Al1Y coating layers was made on the basis of their microhardness, tensile strength and microstructure performance. The best performance was obtained in the layers deposited with 800A and the 34KW plasma gun power supply. The coating with the best characteristics was tested to oxidation in the furnace for heat treatment without a protective atmosphere at 1100°C for one hour. The examination of the morphology of Ni22Cr10Al1Y powder particles was carried out on the SEM (Scanning Electron Microscope as well as the EDS analysis of the best layers. The microstructure of the deposited coating layers was examined with a light microscope. The microstructure analysis was performed according to the TURBOMECA standard. The mechanical properties of layers were evaluated by the method HV0.3 for microhardness and by tensile testing for bond strength. The research has shown that plasma gun power supply significantly affects the mechanical properties and microstructure of coatings that are of crucial importance for the protection of components exposed to high temperature oxidation and hot corrosion.

  3. Chitosan Derivatives/Calcium Carbonate Composite Capsules Prepared by the Layer-by-Layer Deposition Method

    Directory of Open Access Journals (Sweden)

    Takashi Sasaki

    2008-01-01

    Full Text Available Core/shell capsules composed of calcium carbonate whisker core (rod-like shape and chitosan/chitosansulfate shell were prepared by the layer-by-layer deposition technique. Two chitosan samples of different molecular weights (Mw=9.7×104 and 1.09×106g·mol-1 were used as original materials. Hollow capsules were also obtained by dissolution of the core in hydrochloric acid. Electron microscopy revealed that the surface of the shell is rather ragged associated with some agglomerates. The shell thickness l obeys a linear relation with respect to the number of deposited layers m as l=md+a(a>0. The values of d (thickness per layer were 4.0 and 1.0 nm for the higher and lower Mw chitosan materials, respectively, both of which are greater than the thickness of the monolayer. The results suggest that the feature of the deposition does not obey an ideal homogeneous monolayer-by-monolayer deposition mechanism. Shell crosslinked capsules were also prepared via photodimerization reaction of cinnamoyl groups after a deposition of cinnamoyl chitosan to the calcium carbonate whisker core. The degree of crosslink was not enough to stabilize the shell structure, and hollow capsule was not obtained.

  4. Tribological Properties of Nanometric Atomic Layer Depositions Applied on AISI 420 Stainless Steel

    Directory of Open Access Journals (Sweden)

    E. Marin

    2013-09-01

    Full Text Available Atomic Layer Deposition ( ALD is a modern technique that Allows to deposit nanometric, conformal coatings on almost any kind of substrates, from plastics to ceramic, metals or even composites. ALD coatings are not dependent on the morphology of the substrate and are only regulated by the composition of the precursors, the chamber temperature and the number of cycles. In this work, mono- and bi -layer nanometric, protective low-temperature ALD Coatings, based on Al2O3 and TiO2 were applied on AISI 420 Stainless Steel in orderto enhance its relatively low corrosion resistance in chloride containing environments. Tribological testing were also performed on the ALD coated AISI 420 in order to evaluate the wear and scratch resistance of these nanometric layers and thus evaluate their durability. Scratch tests were performed using a standard Rockwell C indenter, under a variable load condition, in order to evaluate the critical loading condition for each coating. Wear testing were performed using a stainless steel counterpart, in ball-on-discconfiguration, in order to measure the friction coefficient and wear to confront the resistance. All scratch tests scars and wear tracks were then observed by means of Scanning Electron Microscopy (SEM in order to understand the wear mechanisms that occurred on the sample surfaces. Corrosion testing, performed under immersion in 0.2 M NaCl solutions, clearly showed that the ALD coatings have a strong effect in protecting the Stainless Steel Substrate against corrosion, reducing the corrosion current density by two orders of magnitude.Tribological The preliminary results showed that ALD depositions obtained at low Temperatures have a brittle behavior caused by the amorphous nature of their structure, and thus undergo delamination phenomena during Scratch Testing at relatively low applied loads. During ball-on-disc testing, the coatings were removed from the substrate, in particular for monolayer ALD configurations

  5. Electron beam deposition system causing little damage to organic layers

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Minoru [Research Center for Solar Energy Chemistry, Osaka University, 1-3 Machikaneyama, Toyonaka, Osaka 560-8531 (Japan); Business Incubation Department, Hitachi Zosen Corporation, 2-11 Funamachi 2-Chome, Taisho-ku, Osaka 551-0022 (Japan); Matsumura, Michio, E-mail: matsu@chem.es.osaka-u.ac.jp [Research Center for Solar Energy Chemistry, Osaka University, 1-3 Machikaneyama, Toyonaka, Osaka 560-8531 (Japan); Maeda, Yasuhiro [Business Incubation Department, Hitachi Zosen Corporation, 2-11 Funamachi 2-Chome, Taisho-ku, Osaka 551-0022 (Japan)

    2011-07-29

    Conditions for deposition of an aluminum (Al) layer on an organic light-emitting layer with an electron beam (EB) deposition system were optimized with respect to deposition rate and damage to organic layers. The damage to the organic layers was found to be mostly caused by X-rays emitted from a target bombarded with accelerated electrons. In order to decrease the X-ray intensity while maintaining a high deposition rate, we used an EB source which emits high-density EB at low acceleration voltage. In addition, we inserted a heat reflector and a sintered-carbon liner between the Al target and copper crucible to improve heat insulation. As a result, the voltage needed for the deposition of Al electrodes at a rate of about 8 nm/s was lowered from normal voltages of 2.0 kV or higher to as low as 1.5 kV. To reduce the number of electrons hitting the substrate, we set pole pieces near the target and an electron trap in the chamber. The devices on which Al electrodes were deposited with the EB system showed almost the same properties as those of devices on which the Al electrodes were deposited by a resistive-heating method.

  6. Large-area few-layer MoS 2 deposited by sputtering

    KAUST Repository

    Huang, Jyun-Hong

    2016-06-06

    Direct magnetron sputtering of transition metal dichalcogenide targets is proposed as a new approach for depositing large-area two-dimensional layered materials. Bilayer to few-layer MoS2 deposited by magnetron sputtering followed by post-deposition annealing shows superior area scalability over 20 cm(2) and layer-by-layer controllability. High crystallinity of layered MoS2 was confirmed by Raman, photo-luminescence, and transmission electron microscopy analysis. The sputtering temperature and annealing ambience were found to play an important role in the film quality. The top-gate field-effect transistor by using the layered MoS2 channel shows typical n-type characteristics with a current on/off ratio of approximately 10(4). The relatively low mobility is attributed to the small grain size of 0.1-1 mu m with a trap charge density in grain boundaries of the order of 10(13) cm(-2).

  7. Laser detritiation and co-deposited layer characterisation for future ITER Installation

    International Nuclear Information System (INIS)

    Semerok, Alexandre; Brygo, Francois; Fomichev, Sergey V.; Champonnois, Francois; Weulersse, Jean-Marc; Thro, Pierre-Yves; Fichet, Pascal; Grisolia, Christian

    2006-01-01

    The experimental equipment in combination with pulsed Nd-YAG lasers was developed and applied to investigate co-deposited layer characterisation and ablation. Heating and ablation regimes were distinguished by ablation threshold fluence that was determined experimentally for graphite samples from TexTor (Germany) and TORE SUPRA (France) tokamaks. With 100 ns pulses, the ablation threshold for graphite substrate (2.5±0.5 J/cm 2 ) was much higher than the one for co-deposited layer (0.4±0.1 J cm -2 ). These threshold features are very promising to ensure self-controlled laser cleaning without substrate surface damage. The obtained optimal conditions (laser fluence F=1-2 J/cm 2 , 10-20 kHz repetition rate) were applied for co-deposited layer cleaning. The TexTor 50 μm thickness layer was almost completely removed after a single scanning without any damage of the graphite substrate. Cleaning rate of 0.2 m 2 /hour was demonstrated experimentally for 20 W mean laser power. A theoretical model of a complex surface heating (graphite or metal with a co-deposited layer) was developed to explain the experimental results and to obtain laser cleaning optimisation. A good agreement of the theoretical data with the experimental results was obtained. The studies on LIBS method for co-deposited layer characterisation have determined the analytical spectral lines for hydrogen, carbon, and other impurities (B, Fe, Si, and Cu) in TexTor graphite tile. The obtained results should be regarded optimistic for co-deposited layers characterisation by LIBS method. The development of certain laser methods and their application for in-situ detritiation and co-deposited layer characterisation are presented and discussed. (authors)

  8. Surfactant-free carnauba wax dispersion and its use for layer-by-layer assembled protective surface coatings on wood

    International Nuclear Information System (INIS)

    Lozhechnikova, Alina; Bellanger, Hervé; Michen, Benjamin; Burgert, Ingo; Österberg, Monika

    2017-01-01

    Highlights: • A facile sonication route to produce aqueous wax dispersions is developed. • The wax dispersion is naturally stable and free of surfactants or stabilizers. • Wax and ZnO particles are coated onto wood using layer-by-layer assembly. • The coating brings superhydrophobicity while preserving moisture buffering. • ZnO improves the color stability of wood to UV light. - Abstract: Protection from liquid water and UV radiation are equally important, and a sophisticated approach is needed when developing surface coatings that preserve the natural and well-appreciated aesthetic appearance of wood. In order to prevent degradation and prolong the service life of timber, a protective coating was assembled using carnauba wax particles and zinc oxide nanoparticles via layer-by-layer deposition in water. For this purpose, a facile sonication route was developed to produce aqueous wax dispersion without any surfactants or stabilizers. The suspension was stable above pH 4 due to the electrostatic repulsion between the negatively charged wax particles. The particle size could be controlled by the initial wax concentration with average particle sizes ranging from 260 to 360 nm for 1 and 10 g/L, respectively. The deposition of wax particles onto the surface of spruce wood introduced additional roughness to the wood surface at micron level, while zinc oxide provided nano roughness and UV-absorbing properties. In addition to making wood superhydrophobic, this novel multilayer coating enhanced the natural moisture buffering capability of spruce. Moreover, wood surfaces prepared in this fashion showed a significant reduction in color change after exposure to UV light. A degradation of the wax through photocatalytic activity of the ZnO particles was measured by FTIR, indicating that further studies are required to achieve long-term stability. Nevertheless, the developed coating showed a unique combination of superhydrophobicity and excellent moisture buffering

  9. Surfactant-free carnauba wax dispersion and its use for layer-by-layer assembled protective surface coatings on wood

    Energy Technology Data Exchange (ETDEWEB)

    Lozhechnikova, Alina [Department of Forest Products Technology, School of Chemical Technology, Aalto University, P.O. Box 16300, FI-00076, Aalto (Finland); Bellanger, Hervé; Michen, Benjamin; Burgert, Ingo [Institute for Building Materials (IfB), Wood Materials Science, ETH Zürich, Stefano-Franscini-Platz 3, 8093 Zürich (Switzerland); Applied Wood Materials Laboratory, Empa − Swiss Federal Laboratories for Material Testing and Research, 8600 Dübendorf (Switzerland); Österberg, Monika, E-mail: monika.osterberg@aalto.fi [Department of Forest Products Technology, School of Chemical Technology, Aalto University, P.O. Box 16300, FI-00076, Aalto (Finland)

    2017-02-28

    Highlights: • A facile sonication route to produce aqueous wax dispersions is developed. • The wax dispersion is naturally stable and free of surfactants or stabilizers. • Wax and ZnO particles are coated onto wood using layer-by-layer assembly. • The coating brings superhydrophobicity while preserving moisture buffering. • ZnO improves the color stability of wood to UV light. - Abstract: Protection from liquid water and UV radiation are equally important, and a sophisticated approach is needed when developing surface coatings that preserve the natural and well-appreciated aesthetic appearance of wood. In order to prevent degradation and prolong the service life of timber, a protective coating was assembled using carnauba wax particles and zinc oxide nanoparticles via layer-by-layer deposition in water. For this purpose, a facile sonication route was developed to produce aqueous wax dispersion without any surfactants or stabilizers. The suspension was stable above pH 4 due to the electrostatic repulsion between the negatively charged wax particles. The particle size could be controlled by the initial wax concentration with average particle sizes ranging from 260 to 360 nm for 1 and 10 g/L, respectively. The deposition of wax particles onto the surface of spruce wood introduced additional roughness to the wood surface at micron level, while zinc oxide provided nano roughness and UV-absorbing properties. In addition to making wood superhydrophobic, this novel multilayer coating enhanced the natural moisture buffering capability of spruce. Moreover, wood surfaces prepared in this fashion showed a significant reduction in color change after exposure to UV light. A degradation of the wax through photocatalytic activity of the ZnO particles was measured by FTIR, indicating that further studies are required to achieve long-term stability. Nevertheless, the developed coating showed a unique combination of superhydrophobicity and excellent moisture buffering

  10. Catalysts, Protection Layers, and Semiconductors

    DEFF Research Database (Denmark)

    Chorkendorff, Ib

    2015-01-01

    Hydrogen is the simplest solar fuel to produce and in this presentation we shall give a short overview of the pros and cons of various tandem devices [1]. The large band gap semiconductor needs to be in front, but apart from that we can chose to have either the anode in front or back using either...... acid or alkaline conditions. Since most relevant semiconductors are very prone to corrosion the advantage of using buried junctions and using protection layers offering shall be discussed [2-4]. Next we shall discuss the availability of various catalysts for being coupled to these protections layers...... and how their stability may be evaluated [5, 6]. Examples of half-cell reaction using protection layers for both cathode and anode will be discussed though some of recent examples under both alkaline and acidic conditions. Si is a very good low band gap semiconductor and by using TiO2 as a protection...

  11. Hydrogen retention in carbon-tungsten co-deposition layer formed by hydrogen RF plasma

    International Nuclear Information System (INIS)

    Katayama, K.; Kawasaki, T.; Manabe, Y.; Nagase, H.; Takeishi, T.; Nishikawa, M.

    2006-01-01

    Carbon-tungsten co-deposition layers (C-W layers) were formed by sputtering method using hydrogen or deuterium RF plasma. The deposition rate of the C-W layer by deuterium plasma was faster than that by hydrogen plasma, where the increase of deposition rate of tungsten was larger than that of carbon. This indicates that the isotope effect on sputtering-depositing process for tungsten is larger than that for carbon. The release curve of hydrogen from the C-W layer showed two peaks at 400 deg. C and 700 deg. C. Comparing the hydrogen release from the carbon deposition layer and the tungsten deposition layer, it is considered that the increase of the release rate at 400 deg. C is affected by tungsten and that at 700 deg. C is affected by carbon. The obtained hydrogen retention in the C-W layers which have over 60 at.% of carbon was in the range between 0.45 and 0.16 as H/(C + W)

  12. Effect of layer thickness on the thermal release from Be-D co-deposited layers

    Science.gov (United States)

    Baldwin, M. J.; Doerner, R. P.

    2014-08-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967-70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D2 release from co-deposited Be-(0.05)D layers produced at ˜323 K. Bake desorption of layers of thickness 0.2-0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be-D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction.

  13. Corrosion behaviour of layers obtained by nitrogen implantation into boron films deposited onto iron substrates

    International Nuclear Information System (INIS)

    Marchetti, F.; Fedrizzi, L.; Giacomozzi, F.; Guzman, L.; Borgese, A.

    1985-01-01

    The electrochemical behaviour and corrosion resistance of boron films deposited onto Armco iron after bombardment with 100 keV N + ions were determined in various test solutions. The changes in the electrochemical parameters give evidence of lower anodic dissolution rates for the treated samples. Scanning electron microscopy and Auger analysis of the corroded surfaces confirm the presence of protective layers. (Auth.)

  14. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  15. Improvement in semiconductor laser printing using a sacrificial protecting layer for organic thin-film transistors fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Rapp, Ludovic, E-mail: rapp@lp3.univ-mrs.fr [Laboratoire LP3 (Lasers, Plasma et Procedes Photoniques) - UMR 6182 CNRS - Universite de la Mediterranee - Campus de Luminy C917, 13288 Marseille Cedex 09 (France); Cibert, Christophe [Laboratoire LP3 (Lasers, Plasma et Procedes Photoniques) - UMR 6182 CNRS - Universite de la Mediterranee - Campus de Luminy C917, 13288 Marseille Cedex 09 (France); Nenon, Sebastien [CINaM (Centre Interdisciplinaire de Nanoscience de Marseille) - UPR 3118 CNRS - Universite Aix Marseille, Case 913, Campus de Luminy, 13288 Marseille Cedex 09 (France); Alloncle, Anne Patricia [Laboratoire LP3 (Lasers, Plasma et Procedes Photoniques) - UMR 6182 CNRS - Universite de la Mediterranee - Campus de Luminy C917, 13288 Marseille Cedex 09 (France); Nagel, Matthias [Empa, Swiss Federal Laboratories for Materials Testing and Reasearch, Laboratory for Functional Polymers, Uberlandstrasse 129, 8600 Duebendorf (Switzerland); Lippert, Thomas [Paul Scherrer Institut, General Energy Research Department, 5232 Villigen PSI (Switzerland); Videlot-Ackermann, Christine; Fages, Frederic [CINaM (Centre Interdisciplinaire de Nanoscience de Marseille) - UPR 3118 CNRS - Universite Aix Marseille, Case 913, Campus de Luminy, 13288 Marseille Cedex 09 (France); Delaporte, Philippe [Laboratoire LP3 (Lasers, Plasma et Procedes Photoniques) - UMR 6182 CNRS - Universite de la Mediterranee - Campus de Luminy C917, 13288 Marseille Cedex 09 (France)

    2011-04-01

    Laser-induced forward transfer (LIFT) has been used to deposit pixels of an organic semiconductor, distyryl-quaterthiophenes (DS4T). The dynamics of the process have been investigated by shadowgraphic imaging for the nanosecond (ns) and picosecond (ps) regime on a time-scale from the laser iradiation to 1.5 {mu}s. The morphology of the deposit has been studied for different conditions. Intermediate sacrificial layer of gold or triazene polymer has been used to trap the incident radiation. Its role is to protect the layer to be transferred from direct irradiation and to provide a mechanical impulse strong enough to eject the material.

  16. A combined scanning tunneling microscope-atomic layer deposition tool.

    Science.gov (United States)

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  17. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    International Nuclear Information System (INIS)

    Tynell, Tommi; Karppinen, Maarit

    2014-01-01

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline

  18. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-31

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline.

  19. Effect of layer thickness on the thermal release from Be–D co-deposited layers

    International Nuclear Information System (INIS)

    Baldwin, M.J.; Doerner, R.P.

    2014-01-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967–70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D 2 release from co-deposited Be–(0.05)D layers produced at ∼323 K. Bake desorption of layers of thickness 0.2–0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be–D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction. (paper)

  20. The first step in layer-by-layer deposition: Electrostatics and/or non-electrostatics?

    NARCIS (Netherlands)

    Lyklema, J.; Deschênes, L.

    2011-01-01

    A critical discussion is presented on the properties and prerequisites of adsorbed polyelectrolytes that have to function as substrates for further layer-by-layer deposition. The central theme is discriminating between the roles of electrostatic and non-electrostatic interactions. In order to

  1. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  2. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  3. Hydrogen gas driven permeation through tungsten deposition layer formed by hydrogen plasma sputtering

    International Nuclear Information System (INIS)

    Uehara, Keiichiro; Katayama, Kazunari; Date, Hiroyuki; Fukada, Satoshi

    2015-01-01

    Highlights: • H permeation tests for W layer formed by H plasma sputtering are performed. • H permeation flux through W layer is larger than that through W bulk. • H diffusivity in W layer is smaller than that in W bulk. • The equilibrium H concentration in W layer is larger than that in W bulk. - Abstract: It is important to evaluate the influence of deposition layers formed on plasma facing wall on tritium permeation and tritium retention in the vessel of a fusion reactor from a viewpoint of safety. In this work, tungsten deposition layers having different thickness and porosity were formed on circular nickel plates by hydrogen RF plasma sputtering. Hydrogen permeation experiment was carried out at the temperature range from 250 °C to 500 °C and at hydrogen pressure range from 1013 Pa to 101,300 Pa. The hydrogen permeation flux through the nickel plate with tungsten deposition layer was significantly smaller than that through a bare nickel plate. This indicates that a rate-controlling step in hydrogen permeation was not permeation through the nickel plate but permeation though the deposition layer. The pressure dependence on the permeation flux differed by temperature. Hydrogen permeation flux through tungsten deposition layer is larger than that through tungsten bulk. From analysis of the permeation curves, it was indicated that hydrogen diffusivity in tungsten deposition layer is smaller than that in tungsten bulk and the equilibrium hydrogen concentration in tungsten deposition layer is enormously larger than that in tungsten bulk at same hydrogen pressure.

  4. Relative influence of deposition and diagenesis on carbonate reservoir layering

    Energy Technology Data Exchange (ETDEWEB)

    Poli, Emmanuelle [Total E and P, Courbevoie (France); Javaux, Catherine [Total E and P, Pointe Noire (Congo)

    2008-07-01

    The architecture heterogeneities and petrophysical properties of carbonate reservoirs result from a combination of platform morphology, related depositional environments, relative sea level changes and diagenetic events. The reservoir layering built for static and dynamic modelling purposes should reflect the key heterogeneities (depositional or diagenetic) which govern the fluid flow patterns. The layering needs to be adapted to the goal of the modelling, ranging from full field computations of hydrocarbon volumes, to sector-based fine-scale simulations to test the recovery improvement. This paper illustrates various reservoir layering types, including schemes dominated by depositional architecture, and those more driven by the diagenetic overprint. The examples include carbonate platform reservoirs from different stratigraphic settings (Tertiary, Cretaceous, Jurassic and Permian) and different regions (Europe, Africa and Middle East areas). This review shows how significant stratigraphic surfaces (such as sequence boundaries or maximum flooding) with their associated facies shifts, can be often considered as key markers to constrain the reservoir layering. Conversely, how diagenesis (dolomitization and karst development), resulting in units with particular poroperm characteristics, may significantly overprint the primary reservoir architecture by generating flow units which cross-cut depositional sequences. To demonstrate how diagenetic processes can create reservoir bodies with geometries that cross-cut the depositional fabric, different types of dolomitization and karst development are illustrated. (author)

  5. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  6. Textured strontium titanate layers on platinum by atomic layer deposition

    International Nuclear Information System (INIS)

    Blomberg, T.; Anttila, J.; Haukka, S.; Tuominen, M.; Lukosius, M.; Wenger, Ch.; Saukkonen, T.

    2012-01-01

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2–1 μm) and low X-ray reflectivity roughness (∼ 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu 3 Cp) 2 , Ti(OMe) 4 and O 3 precursors at 250 °C were used to deposit Sr rich STO on Pt/Ti/SiO 2 /Si ∅200 mm substrates. After crystallization post deposition annealing at 600 °C in air, most of the STO grains showed a preferential orientation of the {001} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {111} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O 3 ) shows a promising path towards the formation of single oriented STO film. - Highlights: ► Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. ► Single crystal domains in 60 nm STO film were 0.2–1 μm wide. ► Most STO grains were {001} oriented.

  7. Scalable control program for multiprecursor flow-type atomic layer deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Selvaraj, Sathees Kannan [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Takoudis, Christos G., E-mail: takoudis@uic.edu [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 and Department of Bioengineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States)

    2015-01-01

    The authors report the development and implementation of a scalable control program to control flow type atomic layer deposition (ALD) reactor with multiple precursor delivery lines. The program logic is written and tested in LABVIEW environment to control ALD reactor with four precursor delivery lines to deposit up to four layers of different materials in cyclic manner. The programming logic is conceived such that to facilitate scale up for depositing more layers with multiple precursors and scale down for using single layer with any one precursor in the ALD reactor. The program takes precursor and oxidizer exposure and purging times as input and controls the sequential opening and closing of the valves to facilitate the complex ALD process in cyclic manner. The program could be used to deposit materials from any single line or in tandem with other lines in any combination and in any sequence.

  8. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  9. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    International Nuclear Information System (INIS)

    Maydannik, Philipp S.; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C.; Söderlund, Mikko; Soininen, Pekka; Johansson, Petri; Kuusipalo, Jurkka; Moro, Lorenza; Zeng, Xianghui

    2014-01-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al 2 O 3 diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al 2 O 3 films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument ( −4  g/m 2 day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10 −6 g/m 2 day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate

  10. Tritium decontamination from co-deposited layer on tungsten substrate by ultra violet lamp and laser

    International Nuclear Information System (INIS)

    Oya, Yasuhisa; Tadokoro, Takahiro; Shu, Wataru; Hayashi, Takumi; O'hira, Shigeru; Nishi, Masataka

    2001-01-01

    Tritium decontamination using ultra violet (UV) lamp and laser was performed. Simulated co-deposited layer on tungsten substrate was deposited by C 2 H 2 or C 2 D 2 glow discharge. The co-deposited layer was irradiated to UV lights from a xenon excimer lamp (172 nm) or ArF excimer laser (193 nm) and the in-situ decontamination behavior was evaluated by a mass spectrometer. After the UV irradiation, the hydrogen concentration in the co-deposited layer was evaluated by elastic recoil detection analysis (ERDA) and the depth profile was analyzed by secondary ion mass spectrometry (SIMS). For the co-deposited layer formed by C 2 D 2 glow discharge, it was found that M/e 3 (HD) gas was released mainly during the UV lamp irradiation while both M/e 3 (HD) and M/e 4 (D 2 ) gases were detected during the UV laser irradiation. Though the co-deposited layer was not removed by UV lamp irradiation, almost all the co-deposited layer was removed by UV laser irradiation within 1 min. The ratio of hydrogen against carbon in the co-deposited layer was estimated to be 0.53 by ERDA and the number of photon needed for removing 1 μm thick co-deposited layer was calculated to be 3.7x10 18 cm -2 for the UV laser by SIMS measurement. It is concluded that C-H (C-D) bond on the co-deposited layer were dissociated by irradiation of UV lamp while the co-deposited layer itself was removed by the UV laser irradiation. (author)

  11. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  12. Investigation of vanadium and nitride alloys thin layers deposited by PVD

    Directory of Open Access Journals (Sweden)

    Nouveau C.

    2012-06-01

    Full Text Available In this work we present the technique of magnetron vapor deposition and the effect of several deposition parameters on the structural and morphological properties of prepared thin films. It was noted that the deposition time has an effect on the crystallinity, mechanical properties such as residual stress, roughness surface and the layer composition from target products. Studies were carried out on layers of vanadium (V and the nitride vanadium (VN.

  13. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  14. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  15. Surfactant-free carnauba wax dispersion and its use for layer-by-layer assembled protective surface coatings on wood

    Science.gov (United States)

    Lozhechnikova, Alina; Bellanger, Hervé; Michen, Benjamin; Burgert, Ingo; Österberg, Monika

    2017-02-01

    Protection from liquid water and UV radiation are equally important, and a sophisticated approach is needed when developing surface coatings that preserve the natural and well-appreciated aesthetic appearance of wood. In order to prevent degradation and prolong the service life of timber, a protective coating was assembled using carnauba wax particles and zinc oxide nanoparticles via layer-by-layer deposition in water. For this purpose, a facile sonication route was developed to produce aqueous wax dispersion without any surfactants or stabilizers. The suspension was stable above pH 4 due to the electrostatic repulsion between the negatively charged wax particles. The particle size could be controlled by the initial wax concentration with average particle sizes ranging from 260 to 360 nm for 1 and 10 g/L, respectively. The deposition of wax particles onto the surface of spruce wood introduced additional roughness to the wood surface at micron level, while zinc oxide provided nano roughness and UV-absorbing properties. In addition to making wood superhydrophobic, this novel multilayer coating enhanced the natural moisture buffering capability of spruce. Moreover, wood surfaces prepared in this fashion showed a significant reduction in color change after exposure to UV light. A degradation of the wax through photocatalytic activity of the ZnO particles was measured by FTIR, indicating that further studies are required to achieve long-term stability. Nevertheless, the developed coating showed a unique combination of superhydrophobicity and excellent moisture buffering ability and some UV protection, all achieved using an environmentally friendly coating process, which is beneficial to retain the natural appearance of wood and improve indoor air quality and comfort.

  16. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

    Science.gov (United States)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hoa; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-04-01

    With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.

  17. Microscopic Characterization of Individual Submicron Bubbles during the Layer-by-Layer Deposition: Towards Creating Smart Agents

    Directory of Open Access Journals (Sweden)

    Riku Kato

    2015-07-01

    Full Text Available We investigated the individual properties of various polyion-coated bubbles with a mean diameter ranging from 300 to 500 nm. Dark field microscopy allows one to track the individual particles of the submicron bubbles (SBs encapsulated by the layer-by-layer (LbL deposition of cationic and anionic polyelectrolytes (PEs. Our focus is on the two-step charge reversals of PE-SB complexes: the first is a reversal from negatively charged bare SBs with no PEs added to positive SBs encapsulated by polycations (monolayer deposition, and the second is overcharging into negatively charged PE-SB complexes due to the subsequent addition of polyanions (double-layer deposition. The details of these phenomena have been clarified through the analysis of a number of trajectories of various PE-SB complexes that experience either Brownian motion or electrophoresis. The contrasted results obtained from the analysis were as follows: an amount in excess of the stoichiometric ratio of the cationic polymers was required for the first charge-reversal, whereas the stoichiometric addition of the polyanions lead to the electrical neutralization of the PE-SB complex particles. The recovery of the stoichiometry in the double-layer deposition paves the way for fabricating multi-layered SBs encapsulated solely with anionic and cationic PEs, which provides a simple protocol to create smart agents for either drug delivery or ultrasound contrast imaging.

  18. Microscopic Characterization of Individual Submicron Bubbles during the Layer-by-Layer Deposition: Towards Creating Smart Agents

    Science.gov (United States)

    Kato, Riku; Frusawa, Hiroshi

    2015-07-01

    We investigated the individual properties of various polyion-coated bubbles with a mean diameter ranging from 300 to 500 nm. Dark field microscopy allows one to track the individual particles of the submicron bubbles (SBs) encapsulated by the layer-by-layer (LbL) deposition of cationic and anionic polyelectrolytes (PEs). Our focus is on the two-step charge reversals of PE-SB complexes: the first is a reversal from negatively charged bare SBs with no PEs added to positive SBs encapsulated by polycations (monolayer deposition), and the second is overcharging into negatively charged PE-SB complexes due to the subsequent addition of polyanions (double-layer deposition). The details of these phenomena have been clarified through the analysis of a number of trajectories of various PE-SB complexes that experience either Brownian motion or electrophoresis. The contrasted results obtained from the analysis were as follows: an amount in excess of the stoichiometric ratio of the cationic polymers was required for the first charge-reversal, whereas the stoichiometric addition of the polyanions lead to the electrical neutralization of the PE-SB complex particles. The recovery of the stoichiometry in the double-layer deposition paves the way for fabricating multi-layered SBs encapsulated solely with anionic and cationic PEs, which provides a simple protocol to create smart agents for either drug delivery or ultrasound contrast imaging.

  19. Thickness and nanomechanical properties of protective layer formed by TiF4 varnish on enamel after erosion

    Directory of Open Access Journals (Sweden)

    Maria Isabel Dantas de MEDEIROS

    2016-01-01

    Full Text Available Abstract The layer formed by fluoride compounds on tooth surface is important to protect the underlying enamel from erosion. However, there is no investigation into the properties of protective layer formed by NaF and TiF4 varnishes on eroded enamel. This study aimed to evaluate the thickness, topography, nanohardness, and elastic modulus of the protective layer formed by NaF and TiF4 varnishes on enamel after erosion using nanoindentation and atomic force microscopy (AFM. Human enamel specimens were sorted into control, NaF, and TiF4 varnish groups (n = 10. The initial nanohardness and elastic modulus values were obtained and varnishes were applied to the enamel and submitted to erosive challenge (10 cycles: 5 s cola drink/5 s artificial saliva. Thereafter, nanohardness and elastic modulus were measured. Both topography and thickness were evaluated by AFM. The data were subjected to ANOVA, Tukey’s test and Student’s t test (α = 0.05. After erosion, TiF4 showed a thicker protective layer compared to the NaF group and nanohardness and elastic modulus values were significantly lower than those of the control group. It was not possible to measure nanohardness and elastic modulus in the NaF group due to the thin protective layer formed. AFM showed globular deposits, which completely covered the eroded surface in the TiF4 group. After erosive challenge, the protective layer formed by TiF4 varnish showed significant properties and it was thicker than the layer formed by NaF varnish.

  20. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  1. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  2. Oxide layers for silicon detector protection against enviroment effects

    International Nuclear Information System (INIS)

    Bel'tsazh, E.; Brylovska, I.; Valerian, M.

    1986-01-01

    It is shown that for protection of silicon detectors of nuclear radiations oxide layers could be used. The layers are produced by electrochemical oxidation of silicon surface with the following low-temperature annealing. These layers have characteristics similar to those for oxide layers produced by treatment of silicon samples at elevated temperature in oxygen flow. To determine properties of oxide layers produced by electrochemical oxidation the α-particle back-scattering method and the method of volt-farad characteristics were used. Protection properties of such layers were checked on the surface-barrier detectors. It was shown that protection properties of such detectors were conserved during long storage at room temperature and during their storage under wet-bulb temperature. Detectors without protection layer have worsened their characteristics

  3. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  4. Atomic layer deposition of nanostructured materials

    CERN Document Server

    Pinna, Nicola

    2012-01-01

    Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (du

  5. Granular nanocrystalline zirconia electrolyte layers deposited on porous SOFC cathode substrates

    International Nuclear Information System (INIS)

    Seydel, Johannes; Becker, Michael; Ivers-Tiffee, Ellen; Hahn, Horst

    2009-01-01

    Thin granular yttria-stabilized zirconia (YSZ) electrolyte layers were prepared by chemical vapor synthesis and deposition (CVD/CVS) on a porous substoichiometric lanthanum-strontium-manganite (ULSM) solid oxide fuel cell cathode substrate. The substrate porosity was optimized with a screen printed fine porous buffer layer. Structural analysis by scanning electron microscopy showed a homogeneous, granular nanocrystalline layer with a microstructure that was controlled via reactor settings. The CVD/CVS gas-phase process enabled the deposition of crack-free granular YSZ films on porous ULSM substrates. The electrolyte layers characterized with impedance spectroscopy exhibited enhanced grain boundary conductivity.

  6. To what extent can intracrater layered deposits that lack clear sedimentary textures be used to infer depositional environments?

    Science.gov (United States)

    Cadieux, Sarah B.; Kah, Linda C.

    2015-03-01

    Craters within Arabia Terra, Mars, contain hundreds of meters of layered strata showing systematic alternation between slope- and cliff-forming units, suggesting either rhythmic deposition of distinct lithologies or similar lithologies that experienced differential cementation. On Earth, rhythmically deposited strata can be examined in terms of stratal packaging, wherein the interplay of tectonics, sediment deposition, and base level (i.e., the position above which sediment accumulation is expected to be temporary) result in changes in the amount of space available for sediment accumulation. These predictable patterns of sediment deposition can be used to infer changes in basin accommodation regardless of the mechanism of deposition (e.g. fluvial, lacustrine, or aeolian). Here, we analyze sedimentary deposits from three craters (Becquerel Crater, Danielson Crater, Crater A) in Arabia Terra. Each crater contains layered deposits that are clearly observed in orbital images. Although orbital images are insufficient to specifically determine the origin of sedimentary deposits, depositional couplets can be interpreted in terms of potential accommodation space available for deposition, and changes in the distribution of couplet thickness through stratigraphy can be interpreted in terms of changing base level and the production of new accommodation space. Differences in stratal packaging in these three craters suggest varying relationships between sedimentary influx, sedimentary base level, and concomitant changes in accommodation space. Previous groundwater upwelling models hypothesize that layered sedimentary deposits were deposited under warm climate conditions of early Mars. Here, we use observed stacking patterns to propose a model for deposition under cold climate conditions, wherein episodic melting of ground ice could raise local base level, stabilize sediment deposition, and result in differential cementation of accumulated strata. Such analysis demonstrates that

  7. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    Energy Technology Data Exchange (ETDEWEB)

    Maydannik, Philipp S., E-mail: philipp.maydannik@lut.fi; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C. [Advanced Surface Technology Research Laboratory, Lappeenranta University of Technology, Sammonkatu 12, 50130 Mikkeli (Finland); Söderlund, Mikko; Soininen, Pekka [Beneq Oy, P.O. Box 262, 01511 Vantaa (Finland); Johansson, Petri; Kuusipalo, Jurkka [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 589, 33101 Tampere (Finland); Moro, Lorenza; Zeng, Xianghui [Samsung Cheil Industries, San Jose R and D Center, 2186 Bering Drive, San Jose, California 95131 (United States)

    2014-09-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al{sub 2}O{sub 3} diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al{sub 2}O{sub 3} films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument (<5 × 10{sup −4} g/m{sup 2} day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10{sup −6} g/m{sup 2} day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate.

  8. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  9. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  10. Spatial atmospheric atomic layer deposition of alxzn1-xo

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Wu, Y.; Roozeboom, F.; Poodt, P.

    2013-01-01

    The possibility of growing multicomponent oxides by spatial atmospheric atomic layer deposition has been investigated. To this end, Al xZn1-xO films have been deposited using diethyl zinc (DEZ), trimethyl aluminum (TMA), and water as Zn, Al, and O precursors, respectively. When the metal precursors

  11. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  12. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  13. Selective deposition contact patterning using atomic layer deposition for the fabrication of crystalline silicon solar cells

    International Nuclear Information System (INIS)

    Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik

    2014-01-01

    Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%

  14. Improved Efficiency of Polymer Solar Cells by means of Coating Hole Transporting Layer as Double Layer Deposition

    Science.gov (United States)

    Chonsut, T.; Kayunkid, N.; Rahong, S.; Rangkasikorn, A.; Wirunchit, S.; Kaewprajak, A.; Kumnorkaew, P.; Nukeaw, J.

    2017-09-01

    Polymer solar cells is one of the promising technologies that gain tremendous attentions in the field of renewable energy. Optimization of thickness for each layer is an important factor determining the efficiency of the solar cells. In this work, the optimum thickness of Poly(3,4-ethylenedioxythione): poly(styrenesulfonate) (PEDOT:PSS), a famous polymer widely used as hole transporting layer in polymer solar cells, is determined through the analyzing of device’s photovoltaic parameters, e.g. short circuit current density (Jsc), open circuit voltage (Voc), fill factor (FF) as well as power conversion efficiency (PCE). The solar cells were prepared with multilayer of ITO/PEDOT:PSS/PCDTBT:PC70BM/TiOx/Al by rapid convective deposition. In such preparation technique, the thickness of the thin film is controlled by the deposition speed. The faster deposition speed is used, the thicker film is obtained. Furthermore, double layer deposition of PEDOT:PSS was introduced as an approach to improve solar cell efficiency. The results obviously reveal that, with the increase of PEDOT:PSS thickness, the increments of Jsc and FF play the important role to improve PCE from 3.21% to 4.03%. Interestingly, using double layer deposition of PEDOT:PSS shows the ability to enhance the performance of the solar cells to 6.12% under simulated AM 1.5G illumination of 100 mW/cm2.

  15. Textured strontium titanate layers on platinum by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Blomberg, T., E-mail: tom.blomberg@asm.com [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Anttila, J.; Haukka, S.; Tuominen, M. [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Lukosius, M.; Wenger, Ch. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Saukkonen, T. [Aalto University, Puumiehenkuja 3, 02150 Espoo (Finland)

    2012-08-31

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2-1 {mu}m) and low X-ray reflectivity roughness ({approx} 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu{sub 3}Cp){sub 2}, Ti(OMe){sub 4} and O{sub 3} precursors at 250 Degree-Sign C were used to deposit Sr rich STO on Pt/Ti/SiO{sub 2}/Si Empty-Set 200 mm substrates. After crystallization post deposition annealing at 600 Degree-Sign C in air, most of the STO grains showed a preferential orientation of the {l_brace}001{r_brace} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {l_brace}111{r_brace} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O{sub 3}) shows a promising path towards the formation of single oriented STO film. - Highlights: Black-Right-Pointing-Pointer Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. Black-Right-Pointing-Pointer Single crystal domains in 60 nm STO film were 0.2-1 {mu}m wide. Black-Right-Pointing-Pointer Most STO grains were {l_brace}001{r_brace} oriented.

  16. Thin polycrystalline diamond films protecting zirconium alloys surfaces: From technology to layer analysis and application in nuclear facilities

    Energy Technology Data Exchange (ETDEWEB)

    Ashcheulov, P. [Institute of Physics, Academy of Sciences Czech Republic v.v.i, Na Slovance 2, CZ-182 21, Prague 8 (Czech Republic); Škoda, R.; Škarohlíd, J. [Czech Technical University in Prague, Faculty of Mechanical Engineering, Technická 4, Prague 6, CZ-160 07 (Czech Republic); Taylor, A.; Fekete, L.; Fendrych, F. [Institute of Physics, Academy of Sciences Czech Republic v.v.i, Na Slovance 2, CZ-182 21, Prague 8 (Czech Republic); Vega, R.; Shao, L. [Texas A& M University, Department of Nuclear Engineering TAMU-3133, College Station, TX TX 77843 (United States); Kalvoda, L.; Vratislav, S. [Faculty of Nuclear Science and Physical Engineering, Czech Technical University in Prague, Brehova 7, CZ-115 19, Prague 1 (Czech Republic); Cháb, V.; Horáková, K.; Kůsová, K.; Klimša, L.; Kopeček, J. [Institute of Physics, Academy of Sciences Czech Republic v.v.i, Na Slovance 2, CZ-182 21, Prague 8 (Czech Republic); Sajdl, P.; Macák, J. [University of Chemistry and Technology, Power Engineering Department, Technická 3, Prague 6, CZ-166 28 (Czech Republic); Johnson, S. [Nuclear Fuel Division, Westinghouse Electric Company, 5801 Bluff Road, Hopkins, SC 29209 (United States); Kratochvílová, I., E-mail: krat@fzu.cz [Institute of Physics, Academy of Sciences Czech Republic v.v.i, Na Slovance 2, CZ-182 21, Prague 8 (Czech Republic); Faculty of Nuclear Science and Physical Engineering, Czech Technical University in Prague, Brehova 7, CZ-115 19, Prague 1 (Czech Republic)

    2015-12-30

    Graphical abstract: - Highlights: • In this work we showed that films prepared by MW-LA-PECVD technology can be used as anticorrosion protective layer for Zircaloy2 nuclear fuel claddings at elevated temperatures (950 °C) when α phase of zirconium changes to β phase (more opened for oxygen/hydrogen diffusion). Quality of PCD films was examined by Raman spectroscopy, XPS, SEM, AFM and SIMS analysis. • The polycrystalline diamond films were of high quality - without defects and contaminations. After hot steam oxidation (950 °C) a high level of structural integrity of PCD layer was observed. Both sp{sup 2} and sp{sup 3} C phases were present in the protective PCD layer. Higher resistance and a lower degree of impedance dispersion was found in the hot steam oxidized PCD coated Zircaloy2 samples, which may suggest better protection of the Zircaloy2 surface. The PCD layer blocks the hydrogen diffusion into the Zircaloy2 surface thus protecting the material from degradation. • Hot steam oxidation tests confirmed that PCD coated Zircaloy2 surfaces were effectively protected against corrosion. Presented results demonstrate that the PCD anticorrosion protection can significantly prolong service life of Zircaloy2 nuclear fuel claddings in nuclear reactors even at elevated temperatures. - Abstract: Zirconium alloys can be effectively protected against corrosion by polycrystalline diamond (PCD) layers grown in microwave plasma enhanced linear antenna chemical vapor deposition apparatus. Standard and hot steam oxidized PCD layers grown on Zircaloy2 surfaces were examined and the specific impact of polycrystalline Zr substrate surface on PCD layer properties was investigated. It was found that the presence of the PCD coating blocks hydrogen diffusion into the Zircaloy2 surface and protects Zircaloy2 material from degradation. PCD anticorrosion protection of Zircaloy2 can significantly prolong life of Zircaloy2 material in nuclear reactors even at temperatures above Zr

  17. Compact Layers of Hybrid Halide Perovskites Fabricated via the Aerosol Deposition Process-Uncoupling Material Synthesis and Layer Formation.

    Science.gov (United States)

    Panzer, Fabian; Hanft, Dominik; Gujar, Tanaji P; Kahle, Frank-Julian; Thelakkat, Mukundan; Köhler, Anna; Moos, Ralf

    2016-04-08

    We present the successful fabrication of CH₃NH₃PbI₃ perovskite layers by the aerosol deposition method (ADM). The layers show high structural purity and compactness, thus making them suitable for application in perovskite-based optoelectronic devices. By using the aerosol deposition method we are able to decouple material synthesis from layer processing. Our results therefore allow for enhanced and easy control over the fabrication of perovskite-based devices, further paving the way for their commercialization.

  18. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  19. Layer-by-layer immobilized catalase on electrospun nanofibrous mats protects against oxidative stress induced by hydrogen peroxide.

    Science.gov (United States)

    Huang, Rong; Deng, Hongbing; Cai, Tongjian; Zhan, Yingfei; Wang, Xiankai; Chen, Xuanxuan; Ji, Ailing; Lil, Xueyong

    2014-07-01

    Catalase, a kind of redox enzyme and generally recognized as an efficient agent for protecting cells against hydrogen peroxide (H2O2)-induced cytotoxicity. The immobilization of catalase was accomplished by depositing the positively charged chitosan and the negatively charged catalase on electrospun cellulose nanofibrous mats through electrospining and layer-by-layer (LBL) techniques. The morphology obtained from Field emission scanning electron microscopy (FE-SEM) indicated that more orderly arranged three-dimension (3D) structure and roughness formed with increasing the number of coating bilayers. Besides, the enzyme-immobilized nanofibrous mats were found with high enzyme loading and activity, moreover, X-ray photoelectron spectroscopy (XPS) results further demonstrated the successful immobilization of chitosan and catalase on cellulose nanofibers support. Furthermore, we evaluated the cytotoxicity induced by hydrogen peroxide in the Human umbilical vascular endothelial cells with or without pretreatment of nanofibrous mats by MTT assay, LDH activity and Flow cytometric evaluation, and confirmed the pronounced hydrogen peroxide-induced toxicity, but pretreatment of immobilized catalase reduced the cytotoxicity and protected cells against hydrogen peroxide-induced cytotoxic effects which were further demonstrated by scanning electron microscopy (SEM) and Transmission Electron Microscopy (TEM) images. The data pointed toward a role of catalase-immobilized nanofibrous mats in protecting cells against hydrogen peroxide-induced cellular damage and their potential application in biomedical field.

  20. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  1. Compact Layers of Hybrid Halide Perovskites Fabricated via the Aerosol Deposition Process—Uncoupling Material Synthesis and Layer Formation

    Directory of Open Access Journals (Sweden)

    Fabian Panzer

    2016-04-01

    Full Text Available We present the successful fabrication of CH3NH3PbI3 perovskite layers by the aerosol deposition method (ADM. The layers show high structural purity and compactness, thus making them suitable for application in perovskite-based optoelectronic devices. By using the aerosol deposition method we are able to decouple material synthesis from layer processing. Our results therefore allow for enhanced and easy control over the fabrication of perovskite-based devices, further paving the way for their commercialization.

  2. Hydrogen and helium trapping in tungsten deposition layers formed by RF plasma sputtering

    International Nuclear Information System (INIS)

    Kazunari Katayama; Kazumi Imaoka; Takayuki Okamura; Masabumi Nishikawa

    2006-01-01

    Understanding of tritium behavior in plasma facing materials is an important issue for fusion reactor from viewpoints of fuel control and radiation safety. Tungsten is used as a plasma facing material in the divertor region of ITER. However, investigation of hydrogen isotope behavior in tungsten deposition layer is not sufficient so far. It is also necessary to evaluate an effect of helium on a formation of deposition layer and an accumulation of hydrogen isotopes because helium generated by fusion reaction exists in fusion plasma. In this study, tungsten deposition layers were formed by sputtering method using hydrogen and helium RF plasma. An erosion rate and a deposition rate of tungsten were estimated by weight measurement. Hydrogen and helium retention were investigated by thermal desorption method. Tungsten deposition was performed using a capacitively-coupled RF plasma device equipped with parallel-plate electrodes. A tungsten target was mounted on one electrode which is supplied with RF power at 200 W. Tungsten substrates were mounted on the other electrode which is at ground potential. The plasma discharge was continued for 120 hours where pressure of hydrogen or helium was controlled to be 10 Pa. The amounts of hydrogen and helium released from deposition layers was quantified by a gas chromatograph. The erosion rate of target tungsten under helium plasma was estimated to be 1.8 times larger than that under hydrogen plasma. The deposition rate on tungsten substrate under helium plasma was estimated to be 4.1 times larger than that under hydrogen plasma. Atomic ratio of hydrogen to tungsten in a deposition layer formed by hydrogen plasma was estimated to be 0.17 by heating to 600 o C. From a deposition layer formed by helium plasma, not only helium but also hydrogen was released by heating to 500 o C. Atomic ratios of helium and hydrogen to tungsten were estimated to be 0.080 and 0.075, respectively. The trapped hydrogen is probably impurity hydrogen

  3. Layer-by-layer deposition of superconducting Sr-Ca-Cu-O films by the spray pyrolysis technique

    International Nuclear Information System (INIS)

    Pawar, S.H.; Pawaskar, P.N.; Ubale, M.J.; Kulkarni, S.B.

    1995-01-01

    Layer-by-layer deposition of Sr-Ca-Cu-O films has been carried out using the spray pyrolysis technique. Reagent-grade nitrates of strontium, calcium and copper were used to prepare starting solutions for spray pyrolysis. A two-step procedure was used for every layer of the constituents in the sequence Sr-Cu-Ca-Cu-Sr: first, deposition onto silver substrate at 350 C, then firing at T≥450 C, both at atmospheric pressure. The films were 2-3 μm thick and showed adequate adhesion to the substrate. The films were then characterised by studying their electron micrographs, X-ray diffraction patterns and electrical resistivity. The films showed superconductivity below 104 K. ((orig.))

  4. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  5. Biosensor properties of SOI nanowire transistors with a PEALD Al{sub 2}O{sub 3} dielectric protective layer

    Energy Technology Data Exchange (ETDEWEB)

    Popov, V. P., E-mail: popov@isp.nsc.ru; Ilnitskii, M. A.; Zhanaev, E. D. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics, Siberian Branch (Russian Federation); Myakon’kich, A. V.; Rudenko, K. V. [Russian Academy of Sciences, Physical Technological Institute (Russian Federation); Glukhov, A. V. [Novosibirsk Semiconductor Device Plant and Design Bureau (Russian Federation)

    2016-05-15

    The properties of protective dielectric layers of aluminum oxide Al{sub 2}O{sub 3} applied to prefabricated silicon-nanowire transistor biochips by the plasma enhanced atomic layer deposition (PEALD) method before being housed are studied depending on the deposition and annealing modes. Coating the natural silicon oxide with a nanometer Al{sub 2}O{sub 3} layer insignificantly decreases the femtomole sensitivity of biosensors, but provides their stability in bioliquids. In deionized water, transistors with annealed aluminum oxide are closed due to the trapping of negative charges of <(1–10) × 10{sup 11} cm{sup −2} at surface states. The application of a positive potential to the substrate (V{sub sub} > 25 V) makes it possible to eliminate the negative charge and to perform multiple measurements in liquid at least for half a year.

  6. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  7. Tritium recovery from co-deposited layers using 193-nm laser

    Science.gov (United States)

    Shu, W. M.; Kawakubo, Y.; Nishi, M. F.

    Recovery of tritium from co-deposited layers formed in deuterium-tritium plasma operations of the TFTR (Tokamak Fusion Test Reactor) was investigated by the use of an ArF excimer laser operating at the wavelength of 193 nm. At the laser energy density of 0.1 J/cm2, a transient spike of the tritium-release rate was observed at initial irradiation. Hydrogen isotopes were released in the form of hydrogen-isotope molecules during the laser irradiation in vacuum, suggesting that tritium can be recovered readily from the released gases. In a second experiment, hydrogen (tritium) recovery from the co-deposited layers on JT-60 tiles that had experienced hydrogen-plasma operations was investigated by laser ablation with a focused beam of the excimer laser. The removal rate of the co-deposited layers was quite low when the laser energy density was smaller than the ablation threshold (1.0 J/cm2), but reached 1.1 μm/pulse at the laser energy density of 7.6 J/cm2. The effective absorption coefficient in the co-deposited layers at the laser wavelength was determined to be 1.9 μm-1. The temperature of the surface during the irradiation at the laser energy density of 0.5 J/cm2 was measured on the basis of Planck's law of radiation, and the maximum temperature during the irradiation decreased from 3570 K at the initial irradiation to 2550 K at the 1000th pulse of the irradiation.

  8. Nanoparticle layer deposition for highly controlled multilayer formation based on high-coverage monolayers of nanoparticles

    International Nuclear Information System (INIS)

    Liu, Yue; Williams, Mackenzie G.; Miller, Timothy J.; Teplyakov, Andrew V.

    2016-01-01

    This paper establishes a strategy for chemical deposition of functionalized nanoparticles onto solid substrates in a layer-by-layer process based on self-limiting surface chemical reactions leading to complete monolayer formation within the multilayer system without any additional intermediate layers — nanoparticle layer deposition (NPLD). This approach is fundamentally different from previously established traditional layer-by-layer deposition techniques and is conceptually more similar to well-known atomic and molecular layer deposition processes. The NPLD approach uses efficient chemical functionalization of the solid substrate material and complementary functionalization of nanoparticles to produce a nearly 100% coverage of these nanoparticles with the use of “click chemistry”. Following this initial deposition, a second complete monolayer of nanoparticles is deposited using a copper-catalyzed “click reaction” with the azide-terminated silica nanoparticles of a different size. This layer-by-layer growth is demonstrated to produce stable covalently-bound multilayers of nearly perfect structure over macroscopic solid substrates. The formation of stable covalent bonds is confirmed spectroscopically and the stability of the multilayers produced is tested by sonication in a variety of common solvents. The 1-, 2- and 3-layer structures are interrogated by electron microscopy and atomic force microscopy and the thickness of the multilayers formed is fully consistent with that expected for highly efficient monolayer formation with each cycle of growth. This approach can be extended to include a variety of materials deposited in a predesigned sequence on different substrates with a highly conformal filling. - Highlights: • We investigate the formation of high-coverage monolayers of nanoparticles. • We use “click chemistry” to form these monolayers. • We form multiple layers based on the same strategy. • We confirm the formation of covalent bonds

  9. Simulating Porous Magnetite Layer Deposited on Alloy 690TT Steam Generator Tubes.

    Science.gov (United States)

    Jeon, Soon-Hyeok; Son, Yeong-Ho; Choi, Won-Ik; Song, Geun Dong; Hur, Do Haeng

    2018-01-02

    In nuclear power plants, the main corrosion product that is deposited on the outside of steam generator tubes is porous magnetite. The objective of this study was to simulate porous magnetite that is deposited on thermally treated (TT) Alloy 690 steam generator tubes. A magnetite layer was electrodeposited on an Alloy 690TT substrate in an Fe(III)-triethanolamine solution. After electrodeposition, the dense magnetite layer was immersed to simulate porous magnetite deposits in alkaline solution for 50 days at room temperature. The dense morphology of the magnetite layer was changed to a porous structure by reductive dissolution reaction. The simulated porous magnetite layer was compared with flakes of steam generator tubes, which were collected from the secondary water system of a real nuclear power plant during sludge lancing. Possible nuclear research applications using simulated porous magnetite specimens are also proposed.

  10. Enhancement of carrier mobility in MoS{sub 2} field effect transistors by a SiO{sub 2} protective layer

    Energy Technology Data Exchange (ETDEWEB)

    Shao, Peng-Zhi; Zhao, Hai-Ming; Cao, Hui-Wen; Wang, Xue-Feng; Pang, Yu; Li, Yu-Xing; Deng, Ning-Qin; Yang, Yi; Ren, Tian-Ling, E-mail: RenTL@tsinghua.edu.cn, E-mail: zhangsh@sz.tsinghua.edu.cn [Institute of Microelectronics and Tsinghua National Laboratory for Information Science and Technology (TNList), Tsinghua University, Beijing 100084 (China); Zhang, Jing [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Zhang, Guang-Yu [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); CollaborativeInnovation Center of Quantum Matter, Beijing 100190 (China); Zhang, Sheng, E-mail: RenTL@tsinghua.edu.cn, E-mail: zhangsh@sz.tsinghua.edu.cn [Institute of Microelectronics and Tsinghua National Laboratory for Information Science and Technology (TNList), Tsinghua University, Beijing 100084 (China); The State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Shanghai 200050 (China); Advanced Sensor and Integrated System Lab, Graduate School at Shenzhen, Tsinghua University, Shenzhen 518055 (China)

    2016-05-16

    Molybdenum disulfide is a promising channel material for field effect transistors (FETs). In this paper, monolayer MoS{sub 2} grown by chemical vapor deposition (CVD) was used to fabricate top-gate FETs through standard optical lithography. During the fabrication process, charged impurities and interface states are introduced, and the photoresist is not removed cleanly, which both limit the carrier mobility and the source-drain current. We apply a SiO{sub 2} protective layer, which is deposited on the surface of MoS{sub 2}, in order to avoid the MoS{sub 2} directly contacting with the photoresist and the ambient environment. Therefore, the contact property between the MoS{sub 2} and the electrodes is improved, and the Coulomb scattering caused by the charged impurities and the interface states is reduced. Comparing MoS{sub 2} FETs with and without a SiO{sub 2} protective layer, the SiO{sub 2} protective layer is found to enhance the characteristics of the MoS{sub 2} FETs, including transfer and output characteristics. A high mobility of ∼42.3 cm{sup 2}/V s is achieved, which is very large among the top-gate CVD-grown monolayer MoS{sub 2} FETs.

  11. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  12. Tuning the mechanical properties of vertical graphene sheets through atomic layer deposition

    International Nuclear Information System (INIS)

    Davami, Keivan; Jiang, Yijie; Cortes, John; Lin, Chen; Turner, Kevin T; Bargatin, Igor; Shaygan, Mehrdad

    2016-01-01

    We report the fabrication and characterization of graphene nanostructures with mechanical properties that are tuned by conformal deposition of alumina. Vertical graphene (VG) sheets, also called carbon nanowalls (CNWs), were grown on copper foil substrates using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique and conformally coated with different thicknesses of alumina (Al_2O_3) using atomic layer deposition (ALD). Nanoindentation was used to characterize the mechanical properties of pristine and alumina-coated VG sheets. Results show a significant increase in the effective Young’s modulus of the VG sheets with increasing thickness of deposited alumina. Deposition of only a 5 nm thick alumina layer on the VG sheets nearly triples the effective Young’s modulus of the VG structures. Both energy absorption and strain recovery were lower in VG sheets coated with alumina than in pure VG sheets (for the same peak force). This may be attributed to the increase in bending stiffness of the VG sheets and the creation of connections between the sheets after ALD deposition. These results demonstrate that the mechanical properties of VG sheets can be tuned over a wide range through conformal atomic layer deposition, facilitating the use of VG sheets in applications where specific mechanical properties are needed. (paper)

  13. Characterisation by optical spectroscopy of a plasma of depositions of thins layers

    International Nuclear Information System (INIS)

    Chouan, Yannick

    1984-01-01

    This research thesis reports a work which, by correlating emission and absorption spectroscopic measurements with properties of deposited thin layers, aimed at being a complement to works undertaken by a team in charge of the realisation of a flat screen. In a first part, the author reports the study of a cathodic pulverisation of a silicon target. He describes the experimental set-up, presents correlations obtained between plasma electric properties (target self-polarisation voltage), emission spectroscopic measurements (line profile and intensity) and absorption spectroscopic measurements (density of metastables), and the composition of deposited thin layers for two reactive pulverisation plasmas (Ar-H_2 and Ar-CH_4). The second part addresses the relationship between experimental conditions and spectroscopic characteristics (emission and absorption lines, excitation and rotation temperature) of a He-SiH_4 plasma. The author also determined the most adapted spectroscopic measurements to the 'control' of deposition, and which result in an optimisation of electronic properties and of the deposition rate for the hydrogenated amorphous silicon. The third part reports the characterisation of depositions. Electric and optic measurements are reported. Then, for both deposition techniques, the author relates the influence of experimental conditions to deposition properties and to spectroscopic diagnosis. The author finally presents static characteristics of a thin-layer-based transistor

  14. Effect of Al 2 O 3 Recombination Barrier Layers Deposited by Atomic Layer Deposition in Solid-State CdS Quantum Dot-Sensitized Solar Cells

    KAUST Repository

    Roelofs, Katherine E.

    2013-03-21

    Despite the promise of quantum dots (QDs) as a light-absorbing material to replace the dye in dye-sensitized solar cells, quantum dot-sensitized solar cell (QDSSC) efficiencies remain low, due in part to high rates of recombination. In this article, we demonstrate that ultrathin recombination barrier layers of Al2O3 deposited by atomic layer deposition can improve the performance of cadmium sulfide (CdS) quantum dot-sensitized solar cells with spiro-OMeTAD as the solid-state hole transport material. We explored depositing the Al2O3 barrier layers either before or after the QDs, resulting in TiO2/Al2O3/QD and TiO 2/QD/Al2O3 configurations. The effects of barrier layer configuration and thickness were tracked through current-voltage measurements of device performance and transient photovoltage measurements of electron lifetimes. The Al2O3 layers were found to suppress dark current and increase electron lifetimes with increasing Al 2O3 thickness in both configurations. For thin barrier layers, gains in open-circuit voltage and concomitant increases in efficiency were observed, although at greater thicknesses, losses in photocurrent caused net decreases in efficiency. A close comparison of the electron lifetimes in TiO2 in the TiO2/Al2O3/QD and TiO2/QD/Al2O3 configurations suggests that electron transfer from TiO2 to spiro-OMeTAD is a major source of recombination in ss-QDSSCs, though recombination of TiO2 electrons with oxidized QDs can also limit electron lifetimes, particularly if the regeneration of oxidized QDs is hindered by a too-thick coating of the barrier layer. © 2013 American Chemical Society.

  15. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  16. Nanostructured composite films of ceria nanoparticles with anti-UV and scratch protection properties constructed using a layer-by-layer strategy

    International Nuclear Information System (INIS)

    Zhang, Songsong; Li, Jie; Guo, Xianpeng; Liu, Lianhe; Wei, Hao; Zhang, Yingwei

    2016-01-01

    Highlights: • The fabrication of LbL multilayers used functional nanoparticles. • The film structure can be controlled in the nanoscopic range. • The constructed multilayers were transparent in the visible spectral region and presented anti-UV properties. • The multilayers presented scratch protection properties. - Abstract: Rare earth cerium oxide (ceria) nanoparticles have attracted extensive research attention due to their advantageous anti-UV and anti-scratch properties. However, a general and facile method for the fabrication of composite films using ceria and possessing these advantages is still lacking. Here, we report the fabrication of multilayers of ceria and polymeric species poly(styrene sulfonate) (PSS) and poly(diallyl-dimethyl ammonium) (PDDA) via the layer-by-layer deposition strategy. The thickness of the multilayers increased linearly with the number of bilayers, indicating accurate control of the film structure in the nanoscopic range. The constructed multilayers were transparent in the visible spectral region and at the same time presented anti-UV properties. In addition, the multilayers also presented scratch protection properties.

  17. Influence of co-deposited active layers on carrier transport and luminescent properties in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Murata, Masaya; Yamamoto, Takayuki; Haishi, Motoki; Ohtani, Naoki [Department of Electronics, Doshisha University, Tatara-Miyakodani, Kyotanabe-shi, Kyoto (Japan); Ando, Taro [Central Research Laboratory, Hamamatsu Photonics, Hirakuchi, Hamakita-ku, Hamamatsu-shi, Shizuoka (Japan)

    2009-01-15

    We have investigated the influence of a co-deposited active layer in organic light-emitting diodes (OLEDs) on carrier transport and optical properties to improve radiative characteristics of OLEDs. The co-deposited layer consists of two organic materials; one is a hole transport material (TPD) and the other is an electron transport/emissive material (Alq3). We evaluated current-voltage characteristics and electroluminescence (EL) properties of various samples in which the thicknesses and compound ratios of the co-deposited layers are different. The results indicate that the devices consisting of TPD:Alq3 co-deposited layer sandwiched between TPD and Alq3 layers exhibit lower starting voltages for the light emission than the sample of simple TPD/Alq3 heterojunction structure. In addition, the starting voltage is independent of the thickness of TPD:Alq3 co-deposited layer. These samples have two interfaces at both surfaces of TPD:Alq3 co-deposited layer. Thus, we estimated the radiative recombination occurs at the interfaces. Nevertheless, we found that the radiative recombination occurs only at the interface of TPD:Alq3 co-deposited layer and Alq3 layer. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Simulation of atomic layer deposition on nanoparticle agglomerates

    NARCIS (Netherlands)

    Jin, W.; van Ommen, J.R.; Kleijn, C.R.

    2016-01-01

    Coated nanoparticles have many potential applications; production of large quantities is feasible by atomic layer deposition (ALD) on nanoparticles in a fluidized bed reactor. However, due to the cohesive interparticle forces, nanoparticles form large agglomerates, which influences the coating

  19. Deposition of titanium nitride layers by electric arc – Reactive plasma spraying method

    International Nuclear Information System (INIS)

    Şerban, Viorel-Aurel; Roşu, Radu Alexandru; Bucur, Alexandra Ioana; Pascu, Doru Romulus

    2013-01-01

    Highlights: ► Titanium nitride layers deposited by electric arc – reactive plasma spraying method. ► Deposition of titanium nitride layers on C45 steel at different spraying distances. ► Characterization of the coatings hardness as function of the spraying distances. ► Determination of the corrosion behavior of titanium nitride layers obtained. - Abstract: Titanium nitride (TiN) is a ceramic material which possesses high mechanical properties, being often used in order to cover cutting tools, thus increasing their lifetime, and also for covering components which are working in corrosive environments. The paper presents the experimental results on deposition of titanium nitride coatings by a new combined method (reactive plasma spraying and electric arc thermal spraying). In this way the advantages of each method in part are combined, obtaining improved quality coatings in the same time achieving high productivity. Commercially pure titanium wire and C45 steel as substrate were used for experiments. X-ray diffraction analysis shows that the deposited coatings are composed of titanium nitride (TiN, Ti 2 N) and small amounts of Ti 3 O. The microstructure of the deposited layers, investigated both by optical and scanning electron microscopy, shows that the coatings are dense, compact, without cracks and with low porosity. Vickers microhardness of the coatings presents maximum values of 912 HV0.1. The corrosion tests in 3%NaCl solution show that the deposited layers have a high corrosion resistance compared to unalloyed steel substrate.

  20. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    International Nuclear Information System (INIS)

    Valdueza-Felip, S.; Ibáñez, J.; Monroy, E.; González-Herráez, M.; Artús, L.; Naranjo, F.B.

    2012-01-01

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of ∼ 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at ∼ 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: ► Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. ► Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. ► Room-temperature photoluminescence emission at 1.58 eV. ► InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  1. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Valdueza-Felip, S., E-mail: sirona.valdueza@depeca.uah.es [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Ibanez, J. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Monroy, E. [CEA-Grenoble, INAC/SP2M/NPSC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Gonzalez-Herraez, M. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Artus, L. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Naranjo, F.B. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain)

    2012-01-31

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of {approx} 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at {approx} 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: Black-Right-Pointing-Pointer Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. Black-Right-Pointing-Pointer Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. Black-Right-Pointing-Pointer Room-temperature photoluminescence emission at 1.58 eV. Black-Right-Pointing-Pointer InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  2. Formation mechanism of the protective layer in a blast furnace hearth

    Science.gov (United States)

    Jiao, Ke-xin; Zhang, Jian-liang; Liu, Zheng-jian; Xu, Meng; Liu, Feng

    2015-10-01

    A variety of techniques, such as chemical analysis, scanning electron microscopy-energy dispersive spectroscopy, and X-ray diffraction, were applied to characterize the adhesion protective layer formed below the blast furnace taphole level when a certain amount of titanium- bearing burden was used. Samples of the protective layer were extracted to identify the chemical composition, phase assemblage, and distribution. Furthermore, the formation mechanism of the protective layer was determined after clarifying the source of each component. Finally, a technical strategy was proposed for achieving a stable protective layer in the hearth. The results show that the protective layer mainly exists in a bilayer form in the sidewall, namely, a titanium-bearing layer and a graphite layer. Both the layers contain the slag phase whose major crystalline phase is magnesium melilite (Ca2MgSi2O7) and the main source of the slag phase is coke ash. It is clearly determined that solid particles such as graphite, Ti(C,N) and MgAl2O4 play an important role in the formation of the protective layer, and the key factor for promoting the formation of a stable protective layer is reasonable control of the evolution behavior of coke.

  3. Pt–Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    International Nuclear Information System (INIS)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; Wijngaart, Wouter van der; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al 2 O 3 ) on Pt in nanopores to form a metal–insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al 2 O 3 layer on such a Pt film forms a metal–insulator–electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al 2 O 3 using ALD. (paper)

  4. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    Science.gov (United States)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  5. A two-layer application of the MAGIC model to predict the effects of land use scenarios and reductions in deposition on acid sensitive soils in the UK

    Directory of Open Access Journals (Sweden)

    R. C. Helliwell

    1998-01-01

    Full Text Available A two-layer application of the catchment-based soil and surface water acidification model, MAGIC, was applied to 21 sites in the UK Acid Waters Monitoring Network (AWAMN, and the results were compared with those from a one-layer application of the model. The two-layer model represented typical soil properties more accurately by segregating the organic and mineral horizons into two separate soil compartments. Reductions in sulphur (S emissions associated with the Second S Protocol and different forestry (land use scenarios were modelled, and their effects on soil acidification evaluated. Soil acidification was assessed in terms of base saturation and critical loads for the molar ratio of base cations (CA2+ + MG 2+ + K+ to aluminium (Al in soil solution. The results of the two-layer application indicate that base saturation of the organic compartment was very responsive to changes in land use and deposition compared with the mineral soil. With the two- layer model, the organic soil compartment was particularly sensitive to acid deposition, which resulted in the critical load being predicted to be exceeded at eight sites in 1997 and two sites in 2010. These results indicate that further reductions in S deposition are necessary to raise the base cation (BC:Al ratio above the threshold which is harmful to tree roots. At forested sites BC:Al ratios were generally well below the threshold designated for soil critical loads in Europe and forecasts indicate that forest replanting can adversely affect the acid status of sensitive term objectives of protecting and sustaining soil and water quality. Policy formulation must seek to protect the most sensitive environmental receptor, in this case organic soils. It is clear, therefore, that simply securing protection of surface waters, via the critical loads approach, may not ensure adequate protection of low base status organic soils from the effects of acidification.

  6. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  7. Atomic layer deposition for nanostructured Li-ion batteries

    NARCIS (Netherlands)

    Knoops, H.C.M.; Donders, M.E.; Sanden, van de M.C.M.; Notten, P.H.L.; Kessels, W.M.M.

    2012-01-01

    Nanostructuring is targeted as a solution to achieve the improvements required for implementing Li-ion batteries in a wide range of applications. These applications range in size from electrical vehicles down to microsystems. Atomic layer deposition (ALD) could be an enabling technology for

  8. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.; Alshareef, Husam N.

    2013-01-01

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  9. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.

    2013-01-09

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  10. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  11. Effect of surface pretreatment on interfacial chemical bonding states of atomic layer deposited ZrO2 on AlGaN

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    Atomic layer deposition (ALD) of ZrO 2 on native oxide covered (untreated) and buffered oxide etchant (BOE) treated AlGaN surface was analyzed by utilizing x-ray photoelectron spectroscopy (XPS) and high-resolution transmission electron microscopy. Evidenced by Ga–O and Al–O chemical bonds by XPS, parasitic oxidation during deposition is largely enhanced on BOE treated AlGaN surface. Due to the high reactivity of Al atoms, more prominent oxidation of Al atoms is observed, which leads to thicker interfacial layer formed on BOE treated surface. The results suggest that native oxide on AlGaN surface may serve as a protecting layer to inhibit the surface from further parasitic oxidation during ALD. The findings provide important process guidelines for the use of ALD ZrO 2 and its pre-ALD surface treatments for high-k AlGaN/GaN metal–insulator–semiconductor high electron mobility transistors and other related device applications

  12. Polar layered deposits on Mars: Inner structure and relation to the climate record

    Science.gov (United States)

    Kreslavsky, M.; Head, J.

    Martian polar layered deposits (PLD) have long been thought to contain a record of the past climate. Roles of deposition, ablation and flow in PLD are a subject of discussion and controversy. Understanding of these roles is critical for reading the climate record. We show that simple mechanism including latitude-dependent deposition and ablation, albedo feedback and role of slopes explains many essential features of the PLD. We consider the present-day PLD is a result of a history of H2O ice deposition and sublimation during some recent period of the geological history. The deposition - ablation balance is a function of latitude. Typically, net deposition occurs in the polar area inside some boundary latitude of zero balance, and net ablation occurs outside. This dividing latitude shifts back and forth due to climate change caused by (1) the change of the spin/orbit parameters ("astronomical forcing"), (2) availability of the water vapor source at lower latitudes (tropical mountain glaciers, high-latitude icy mantles, the opposite polar cap, groundwater discharge events), (3) internal climate instabilities. The outermost position of the ablation/deposition boundary was well outside the present margins of the PLD; in the opposite extremes, the area of the positive balance disappeared, and the whole polar cap underwent ablation. Through time such oscillations produced a dome-shaped stack of deposits with a possible thin layer of deposits outside the dome and with a number of unconformities inside. These unconformities will have an east-west oriented strike and a very shallow dip. There is a positive feedback between the deposition/ablation balance and albedo: high albedo favors deposition, and fresh deposits have high albedo. With this feedback, when the climate system goes through oscillations, the boundary latitude between positive and negative balance will stay for some periods of time at its outermost and innermost positions. This will result in steps in the

  13. Magmatic ore deposits in layered intrusions - Descriptive model for reef-type PGE and contact-type Cu-Ni-PGE deposits

    Science.gov (United States)

    Zientek, Michael L.

    2012-01-01

    Layered, ultramafic to mafic intrusions are uncommon in the geologic record, but host magmatic ore deposits containing most of the world's economic concentrations of platinum-group elements (PGE) (figs. 1 and 2). These deposits are mined primarily for their platinum, palladium, and rhodium contents (table 1). Magmatic ore deposits are derived from accumulations of crystals of metallic oxides, or immiscible sulfide, or oxide liquids that formed during the cooling and crystallization of magma, typically with mafic to ultramafic compositions. "PGE reefs" are stratabound PGE-enriched lode mineralization in mafic to ultramafic layered intrusions. The term "reef" is derived from Australian and South African literature for this style of mineralization and used to refer to (1) the rock layer that is mineralized and has distinctive texture or mineralogy (Naldrett, 2004), or (2) the PGE-enriched sulfide mineralization that occurs within the rock layer. For example, Viljoen (1999) broadly defined the Merensky Reef as "a mineralized zone within or closely associated with an unconformity surface in the ultramafic cumulate at the base of the Merensky Cyclic Unit." In this report, we will use the term PGE reef to refer to the PGE-enriched mineralization, not the host rock layer. Within a layered igneous intrusion, reef-type mineralization is laterally persistent along strike, extending for the length of the intrusion, typically tens to hundreds of kilometers. However, the mineralized interval is thin, generally centimeters to meters thick, relative to the stratigraphic thickness of layers in an intrusion that vary from hundreds to thousands of meters. PGE-enriched sulfide mineralization is also found near the contacts or margins of layered mafic to ultramafic intrusions (Iljina and Lee, 2005). This contact-type mineralization consists of disseminated to massive concentrations of iron-copper-nickel-PGE-enriched sulfide mineral concentrations in zones that can be tens to hundreds

  14. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    Energy Technology Data Exchange (ETDEWEB)

    Guzman, L., E-mail: luisg47@gmail.com [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy); Vettoruzzo, F. [Ronda High Tech, via Vegri 83, 36010 Zane’, Vicenza (Italy); Laidani, N. [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy)

    2016-02-29

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al{sub 2}O{sub 3}, TiO{sub 2}) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al{sub 2}O{sub 3}, TiO{sub 2}, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and

  15. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    International Nuclear Information System (INIS)

    Guzman, L.; Vettoruzzo, F.; Laidani, N.

    2016-01-01

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al_2O_3, TiO_2) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al_2O_3, TiO_2, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and optically tested. • An

  16. Protection against deposits and corrosion in water systems

    Energy Technology Data Exchange (ETDEWEB)

    Lehmkuhl, J

    1978-11-01

    Industry generally, including mining and coal preparation, are in the habit of using large amounts of untreated service water. The service water can be softened or treated with hardness stabilisers in order to prevent deposit formation and corrosion. As often as not, deposits of dirt and attack by microorganisma also have to be eliminated. The article puts forward some suggestions for practical assistance in protecting water systems against the dangers of deposits and corrosion.

  17. The forms of protection civil rights parties of the bank deposit contract

    Directory of Open Access Journals (Sweden)

    Юрій Миколайович Моісеєнко

    2017-03-01

    Full Text Available The article is dedicated to analyze the forms of protection civil rights parties of the bank deposit contract considering the statistical data in regard to banks which allow violations of these rights and necessity to restore these rights by competent bodies. Fixing in civil legislation a number of opportunities to protect these rights with the existing economic crisis, especially in banking field, have practical importance that based on the efficiency of any form. Therewith, research the peculiarities of protection violated civil rights of the bank deposit contract in judicial, administrative and other forms. Attention is drawn on the peculiarities of some forms of protection. So, emphasizing the certain duration of judicial protection, alternatives opportunities of protection and restoration the violated rights of parties of the bank deposit contract is analyzed by the author. However, due to lack of effective mechanisms for protection the rights of parties of mentioned contract, the author proposed some ways for improving protection the violated rights of parties of the bank deposit contract.

  18. Characteristics of a-IGZO/ITO hybrid layer deposited by magnetron sputtering.

    Science.gov (United States)

    Bang, Joon-Ho; Park, Hee-Woo; Cho, Sang-Hyun; Song, Pung-Keun

    2012-04-01

    Transparent a-IGZO (In-Ga-Zn-O) films have been actively studied for use in the fabrication of high-quality TFTs. In this study, a-IGZO films and a-IGZO/ITO double layers were deposited by DC magnetron sputtering under various oxygen flow rates. The a-IGZO films showed an amorphous structure up to 500 degrees C. The deposition rate of these films decreased with an increase in the amount of oxygen gas. The amount of indium atoms in the film was confirmed to be 11.4% higher than the target. The resistivity of double layer follows the rules for parallel DC circuits The maximum Hall mobility of the a-IGZO/ITO double layers was found to be 37.42 cm2/V x N s. The electrical properties of the double layers were strongly dependent on their thickness ratio. The IGZO/ITO double layer was subjected to compressive stress, while the ITO/IGZO double layer was subjected to tensile stress. The bending tolerance was found to depend on the a-IGZO thickness.

  19. Plasma-assisted atomic layer deposition of TiO2 compact layers for flexible mesostructured perovskite solar cells

    NARCIS (Netherlands)

    Zardetto, V.; Di Giacomo, F.; Lucarelli, G.; Kessels, W.M.M.; Brown, T.M.; Creatore, M.

    2017-01-01

    In mesostructured perovskite solar cell devices, charge recombination processes at the interface between the transparent conductive oxide, perovskite and hole transport layer are suppressed by depositing an efficient compact TiO2 blocking layer. In this contribution we investigate the role of the

  20. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  1. Atomic layer deposition of TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, Massimo; Dessmann, Nils; Staedter, Matthias; Friedrich, Daniel; Michling, Marcel; Schmeisser, Dieter [BTU-Cottbus, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany)

    2011-07-01

    We present a study of the initial growth of TiO{sub 2} on Si(111) by atomic layer deposition (ALD). The Si substrate was etched with NH{sub 4}F before ALD to remove the native oxide film and to produce a Si-H termination. In-situ experiments by means of photoemission and X-ray absorption spectroscopy were conducted with synchrotron radiation on Ti-oxide films produced using Ti-tetra-iso-propoxide (TTIP) and water as precursors. O 1s, Ti 2p, C 1s, and S i2p core level, and O 1s and Ti 2p absorption edges show the transition of the Ti-oxide properties during the first layers. The growth starts with a very small growth rate (0.03 nm/cycle) due to the growth inhibition of the Si-H termination and proceeds with higher growth rate (0.1 nm/cycle) after 1.5 nm Ti-oxide has been deposited.

  2. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  3. Area-selective atomic layer deposition of platinum using photosensitive polyimide.

    Science.gov (United States)

    Vervuurt, René H J; Sharma, Akhil; Jiao, Yuqing; Kessels, Wilhelmus Erwin M M; Bol, Ageeth A

    2016-10-07

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a reference. The results show that polyimide has excellent selectivity towards the Pt deposition, after 1000 ALD cycles less than a monolayer of Pt is deposited on the polyimide surface. The polyimide film could easily be removed after ALD using a hydrogen plasma, due to a combination of weakening of the polyimide resist during Pt ALD and the catalytic activity of Pt traces on the polyimide surface. Compared to PMMA for AS-ALD of Pt, polyimide has better temperature stability. This resulted in an improved uniformity of the Pt deposits and superior definition of the Pt patterns. In addition, due to the absence of reflow contamination using polyimide the nucleation phase during Pt ALD is drastically shortened. Pt patterns down to 3.5 μm were created with polyimide, a factor of ten smaller than what is possible using PMMA, at the typical Pt ALD processing temperature of 300 °C. Initial experiments indicate that after further optimization of the polyimide process Pt features down to 100 nm should be possible, which makes AS-ALD of Pt using photosensitive polyimide a promising candidate for patterning at the nanoscale.

  4. Carbon decorative coatings by dip-, spin-, and spray-assisted layer-by-layer assembly deposition.

    Science.gov (United States)

    Hong, Jinkee; Kang, Sang Wook

    2011-09-01

    We performed a comparative surface analysis of all-carbon nano-objects (multiwall carbon nanotubes (MWNT) or graphene oxide (GO) sheets) based multilayer coatings prepared using three widely used nanofilm fabrication methods: dip-, spin-, and spray-assisted layer-by-layer (LbL) deposition. The resultant films showed a marked difference in their growth mechanisms and surface morphologies. Various carbon decorative coatings were synthesized with different surface roughness values, despite identical preparation conditions. In particular, smooth to highly rough all-carbon surfaces, as determined by atomic force microscopy (AFM) and scanning electron microscopy (SEM), were readily obtained by manipulating the LbL deposition methods. As was confirmed by the AFM and SEM analyses, this finding indicated the fundamental morphological evolution of one-dimensional nano-objects (MWNT) and two-dimensional nano-objects (GO) by control of the surface roughness through the deposition method. Therefore, an analysis of the three LbL-assembly methods presented herein may offer useful information about the industrial use of carbon decorative coatings and provide an insight into ways to control the structures of multilayer coatings by tuning the morphologies of carbon nano-objects.

  5. Massive CO2 Ice Deposits Sequestered in the South Polar Layered Deposits of Mars

    Science.gov (United States)

    Phillips, Roger J.; Davis, Brian J.; Tanaka, Kenneth L.; Byrne, Shane; Mellon, Michael T.; Putzig, Nathaniel E.; Haberle, Robert M.; Kahre, Melinda A.; Campbell, Bruce A.; Carter, Lynn M.; Smith, Isaac B.; Holt, John W.; Smrekar, Suzanne E.; Nunes, Daniel C.; Plaut, Jeffrey J.; Egan, Anthony F.; Titus, Timothy N.; Seu, Roberto

    2011-01-01

    Shallow Radar soundings from the Mars Reconnaissance Orbiter reveal a buried deposit of carbon dioxide (CO2) ice within the south polar layered deposits of Mars with a volume of 9500 to 12,500 cubic kilometers, about 30 times that previously estimated for the south pole residual cap. The deposit occurs within a stratigraphic unit that is uniquely marked by collapse features and other evidence of interior CO2 volatile release. If released into the atmosphere at times of high obliquity, the CO2 reservoir would increase the atmospheric mass by up to 80%, leading to more frequent and intense dust storms and to more regions where liquid water could persist without boiling.

  6. Layer-by-layer deposition of zirconium oxide films from aqueous solutions for friction reduction in silicon-based microelectromechanical system devices

    International Nuclear Information System (INIS)

    Liu Junfu; Nistorica, Corina; Gory, Igor; Skidmore, George; Mantiziba, Fadziso M.; Gnade, Bruce E.

    2005-01-01

    This work reports layer-by-layer deposition of zirconium oxide on a Si surface from aqueous solutions using the successive ionic layer adsorption and reaction technique. The process consists of repeated cycles of adsorption of zirconium precursors, water rinse, and hydrolysis. The film composition was determined by X-ray photoelectron spectroscopy. The film thickness was determined by Rutherford backscattering spectrometry, by measuring the Zr atom concentration. The average deposition rate from a 0.1 M Zr(SO 4 ) 2 solution on a SiO 2 /Si surface is 0.62 nm per cycle. Increasing the acidity of the zirconium precursor solution inhibits the deposition of the zirconium oxide film. Atomic force microscopy shows that the zirconium oxide film consists of nanoparticles of 10-50 nm in the lateral dimension. The surface roughness increased with increasing number of deposition cycles. Friction measurements made with a microelectromechanical system device reveal a reduction of 45% in the friction coefficient of zirconium oxide-coated surfaces vs. uncoated surfaces in air

  7. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    Science.gov (United States)

    Gesheva, K.; Ivanova, T.; Bodurov, G.; Szilágyi, I. M.; Justh, N.; Kéri, O.; Boyadjiev, S.; Nagy, D.; Aleksandrova, M.

    2016-02-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing.

  8. Technologies for deposition of transition metal oxide thin films: application as functional layers in “Smart windows” and photocatalytic systems

    International Nuclear Information System (INIS)

    Gesheva, K; Ivanova, T; Bodurov, G; Szilágyi, I M; Justh, N; Kéri, O; Boyadjiev, S; Nagy, D; Aleksandrova, M

    2016-01-01

    “Smart windows” are envisaged for future low-energy, high-efficient architectural buildings, as well as for the car industry. By switching from coloured to fully bleached state, these windows regulate the energy of solar flux entering the interior. Functional layers in these devices are the transition metals oxides. The materials (transitional metal oxides) used in smart windows can be also applied as photoelectrodes in water splitting photocells for hydrogen production or as photocatalytic materials for self-cleaning surfaces, waste water treatment and pollution removal. Solar energy utilization is recently in the main scope of numerous world research laboratories and energy organizations, working on protection against conventional fuel exhaustion. The paper presents results from research on transition metal oxide thin films, fabricated by different methods - atomic layer deposition, atmospheric pressure chemical vapour deposition, physical vapour deposition, and wet chemical methods, suitable for flowthrough production process. The lower price of the chemical deposition processes is especially important when the method is related to large-scale glazing applications. Conclusions are derived about which processes are recently considered as most prospective, related to electrochromic materials and devices manufacturing. (paper)

  9. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  10. In vitro characterization of hydroxyapatite layers deposited by APS and HVOF thermal spraying methods

    Directory of Open Access Journals (Sweden)

    Radu Alexandru Roşu

    2012-03-01

    Full Text Available Titanium alloys are successfully used in medicine as implants due to their high mechanical properties and good biocompatibility. To improve implant osseointegration of titanium alloys, they are covered with hydroxyapatite because of its bioactive properties. Coating the implants with hydroxyapatite by thermal spraying, due to the temperatures developed during the deposition process, the structure can be degraded, leading to formation of secondary phases, such as TCP, TT CP, CaO. The paper presents the experimental results of hydroxyapatite layers deposition by two thermal spraying methods: Atmospheric Plasma Spraying (APS and High Velocity Oxy-Fuel (HVOF. The microstructure of the deposited layers is characterized by X-ray diffraction analysis and electronic microscopy. The bioactivity of the hydroxyapatite layers was investigated in Simulated Body Fluid (SBF by immersing the covered samples deposited by the two thermal spraying methods. In both cases the coatings did not present defects as cracks or microcracks. X-ray diffraction performed on hydroxyapatite deposited layers shows that the structure was strongly influenced by plasma jet temperature, the structure consisting mainly of TCP (Ca3PO42. The samples deposited by HVO F after immersing in SBF lead to formation of biological hydroxyapatite, certifying the good bioactivity of the coatings.

  11. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  12. Microstructural characterization of chemical bath deposited and sputtered Zn(O,S) buffer layers

    International Nuclear Information System (INIS)

    Gautron, E.; Buffière, M.; Harel, S.; Assmann, L.; Arzel, L.; Brohan, L.; Kessler, J.; Barreau, N.

    2013-01-01

    The present work aims at investigating the microstructure of Zn(O,S) buffer layers relative to their deposition route, namely either chemical bath deposition (CBD) or RF co-sputtering process (PVD) under pure Ar. The core of the study consists of cross-sectional transmission electron microscopy (TEM) characterization of the differently grown Zn(O,S) thin films on co-evaporated Cu(In,Ga)Se 2 (CIGSe) absorbers. It shows that the morphology of Zn(O,S) layer deposited on CIGSe using CBD process is made of a thin layer of well oriented ZnS sphalerite-(111) and/or ZnS wurtzite-(0002) planes parallel to CIGSe chalcopyrite-(112) planes at the interface with CIGSe followed by misoriented nanometer-sized ZnS crystallites in an amorphous phase. As far as (PVD)Zn(O,S) is concerned, the TEM analyses reveal two different microstructures depending on the S-content in the films: for [S] / ([O] + [S]) = 0.6, the buffer layer is made of ZnO zincite and ZnS wurtzite crystallites grown nearly coherently to each other, with (0002) planes nearly parallel with CIGSe-(112) planes, while for [S] / ([O] + [S]) = 0.3, it is made of ZnO zincite type crystals with O atoms substituted by S atoms, with (0002) planes perfectly aligned with CIGSe-(112) planes. Such microstructural differences can explain why photovoltaic performances are dependent on the Zn(O,S) buffer layer deposition route. - Highlights: ► Zn(O,S) layers were grown by chemical bath (CBD) or physical vapor (PVD) deposition. ► For CBD, a 3 nm ZnS layer is followed by ZnS nano-crystallites in an amorphous phase. ► For PVD with [S] / ([O] + [S]) = 0.3, the layer has a Zn(O,S) zincite structure. ► For PVD with [S] / ([O] + [S]) = 0.6, ZnS wurtzite and ZnO zincite phases are mixed

  13. Ionically Paired Layer-by-Layer Hydrogels: Water and Polyelectrolyte Uptake Controlled by Deposition Time

    Directory of Open Access Journals (Sweden)

    Victor Selin

    2018-01-01

    Full Text Available Despite intense recent interest in weakly bound nonlinear (“exponential” multilayers, the underlying structure-property relationships of these films are still poorly understood. This study explores the effect of time used for deposition of individual layers of nonlinearly growing layer-by-layer (LbL films composed of poly(methacrylic acid (PMAA and quaternized poly-2-(dimethylaminoethyl methacrylate (QPC on film internal structure, swelling, and stability in salt solution, as well as the rate of penetration of invading polyelectrolyte chains. Thicknesses of dry and swollen films were measured by spectroscopic ellipsometry, film internal structure—by neutron reflectometry (NR, and degree of PMAA ionization—by Fourier-transform infrared spectroscopy (FTIR. The results suggest that longer deposition times resulted in thicker films with higher degrees of swelling (up to swelling ratio as high as 4 compared to dry film thickness and stronger film intermixing. The stronger intermixed films were more swollen in water, exhibited lower stability in salt solutions, and supported a faster penetration rate of invading polyelectrolyte chains. These results can be useful in designing polyelectrolyte nanoassemblies for biomedical applications, such as drug delivery coatings for medical implants or tissue engineering matrices.

  14. Electrochemical deposition and characterization of Zn-Al layered double hydroxides (LDHs) films on magnesium alloy

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Fengxia; Liang, Jun, E-mail: jliang@licp.cas.cn; Peng, Zhenjun; Liu, Baixing

    2014-09-15

    Highlights: • Zn-Al LDHs film was prepared on AZ91D Mg alloy by electrochemical deposition. • The Zn-Al LDHs film was uniform and dense with some small flaws and cracks. • The Zn-Al LDHs film had high adhesion and good corrosion protection to Mg alloy. - Abstract: A zinc-aluminum layered double hydroxides (Zn-Al LDHs) film was prepared on AZ91D magnesium (Mg) alloy substrate by electrochemical deposition method. The characteristics of the film were investigated by X-ray diffraction (XRD), Fourier transform infrared (FT-IR) and scanning electronic microscope (SEM). It was found that the electrodeposited film was composed of crystalline Zn-Al LDHs with nitrate intercalation. The Zn-Al LDHs film was uniform and dense though there also presented some small flaws and cracks. The cross cut tape test showed that the film adhered well to the substrate. Polarization and EIS measurements revealed that the LDHs coated Mg alloy had better corrosion resistance compared to that of the uncoated one in 3.5 wt.% NaCl solution, indicating that the Zn-Al LDHs film could effectively protect Mg alloy from corrosion.

  15. Electrochemical deposition and characterization of Zn-Al layered double hydroxides (LDHs) films on magnesium alloy

    International Nuclear Information System (INIS)

    Wu, Fengxia; Liang, Jun; Peng, Zhenjun; Liu, Baixing

    2014-01-01

    Highlights: • Zn-Al LDHs film was prepared on AZ91D Mg alloy by electrochemical deposition. • The Zn-Al LDHs film was uniform and dense with some small flaws and cracks. • The Zn-Al LDHs film had high adhesion and good corrosion protection to Mg alloy. - Abstract: A zinc-aluminum layered double hydroxides (Zn-Al LDHs) film was prepared on AZ91D magnesium (Mg) alloy substrate by electrochemical deposition method. The characteristics of the film were investigated by X-ray diffraction (XRD), Fourier transform infrared (FT-IR) and scanning electronic microscope (SEM). It was found that the electrodeposited film was composed of crystalline Zn-Al LDHs with nitrate intercalation. The Zn-Al LDHs film was uniform and dense though there also presented some small flaws and cracks. The cross cut tape test showed that the film adhered well to the substrate. Polarization and EIS measurements revealed that the LDHs coated Mg alloy had better corrosion resistance compared to that of the uncoated one in 3.5 wt.% NaCl solution, indicating that the Zn-Al LDHs film could effectively protect Mg alloy from corrosion

  16. Dark material in the polar layered deposits and dunes on Mars

    Science.gov (United States)

    Herkenhoff, Ken E.; Vasavada, Ashwin R.

    1999-07-01

    Viking infrared thermal mapping and bistatic radar data suggest that the bulk density of the north polar erg material is much lower than that of the average Martian surface or of dark dunes at lower latitudes. We have derived a thermal inertia of 245-280Jm-2s-1/2K-1(5.9-6.7×10-3calcm-2s-1/2K-1) for the Proctor dune field and 25-150Jm-2s-1/2K-1(0.6-3.6×10-3calcm-2s-1/2K-1) for the north polar erg. The uniqueness of the thermophysical properties of the north polar erg material may be due to a unique polar process that has created them. The visible and near-infrared spectral reflectance of the erg suggests that the dark material may be composed of basalt or ferrous clays. These data are consistent with the dark material being composed of basaltic ash or filamentary sublimate residue (FSR) particles derived from erosion of the layered deposits. Dark dust may be preferentially concentrated at the surface of the layered deposits by the formation of FSR particles upon sublimation of water ice. Further weathering and erosion of these areas of exposed layered deposits may form the dark, saltating material that is found in both polar regions. Dark FSR particles may saltate for great distances before eventually breaking down into dust grains, re-mixing with the global dust reservoir, and being recycled into the polar layered deposits via atmospheric suspension.

  17. Vienna Agreement law 15.986 it approve Vienna Agreement for Protection ozone layer and annex

    International Nuclear Information System (INIS)

    1988-01-01

    The Agreement of Vienna is approved with respect to the protection of the Layer of Ozono, definitions,general duties, research and observations systematics, co-operation in the Spheres Scientific Juridical, and information, Technological Conference, transmission from the protocols, amends, secretariat, adoption to the agreement or the protocols, adoption and amendment of controversy, signing, ratify, approve annexes, solution or vote, relation approving, adhesion, rights between the present agreement and its protocols, entrance in vigor, reserves, retirement, deposition, exchange of information [es

  18. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing

    International Nuclear Information System (INIS)

    Van Delft, J A; Garcia-Alonso, D; Kessels, W M M

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells; hence, ALD for photovoltaics (PV) has attracted great interest in academic and industrial research in recent years. In this review, the recent progress of ALD layers applied to various solar cell concepts and their future prospects are discussed. Crystalline silicon (c-Si), copper indium gallium selenide (CIGS) and dye-sensitized solar cells (DSSCs) benefit from the application of ALD surface passivation layers, buffer layers and barrier layers, respectively. ALD films are also excellent moisture permeation barriers that have been successfully used to encapsulate flexible CIGS and organic photovoltaic (OPV) cells. Furthermore, some emerging applications of the ALD method in solar cell research are reviewed. The potential of ALD for solar cells manufacturing is discussed, and the current status of high-throughput ALD equipment development is presented. ALD is on the verge of being introduced in the PV industry and it is expected that it will be part of the standard solar cell manufacturing equipment in the near future. (paper)

  19. Flaking of co-deposited hydrogenated carbon layers on the TFTR limiter

    International Nuclear Information System (INIS)

    Skinner, C.H.; Gentile, C.A.; Menon, M.M.; Barry, R.E.

    1999-01-01

    Flaking of co-deposited layers on the inner limiter tiles was recently observed in TFTR. This phenomenon was unexpected and has occurred since the termination of plasma operations on 4 April 1997. Flaking affects approximately 15% of the observable tiles and appears on isotropic graphite but not on carbon fibre composite tiles. Photographic images of the flakes and precise measurements of the limiter geometry are reported. The mobilizability of tritium retained in co-deposited layers is an important factor in safety analyses of future DT reactors. A programme to analyse the flakes and tiles is underway. (author). Letter-to-the-editor

  20. Morphology and structure of polymer layers protecting dental enamel against erosion.

    Science.gov (United States)

    Beyer, Markus; Reichert, Jörg; Sigusch, Bernd W; Watts, David C; Jandt, Klaus D

    2012-10-01

    Human dental erosion caused by acids is a major factor for tooth decay. Adding polymers to acidic soft drinks is one important approach to reduce human dental erosion caused by acids. The aim of this study was to investigate the thickness and the structure of polymer layers adsorbed in vitro on human dental enamel from polymer modified citric acid solutions. The polymers propylene glycol alginate (PGA), highly esterified pectin (HP) and gum arabic (GA) were used to prepare polymer modified citric acids solutions (PMCAS, pH 3.3). With these PMCAS, enamel samples were treated for 30, 60 and 120s respectively to deposit polymer layers on the enamel surface. Profilometer scratches on the enamel surface were used to estimate the thickness of the polymer layers via atomic force microscopy (AFM). The composition of the deposited polymer layers was investigated with X-ray photoelectron spectroscopy (XPS). In addition the polymer-enamel interaction was investigated with zeta-potential measurements and scanning electron microscopy (SEM). It has been shown that the profilometer scratch depth on the enamel with deposited polymers was in the range of 10nm (30s treatment time) up to 25nm (120s treatment time). Compared to this, the unmodified CAS-treated surface showed a greater scratch depth: from nearly 30nm (30s treatment time) up to 60nm (120s treatment time). Based on XPS measurements, scanning electron microscopy (SEM) and zeta-potential measurements, a model was hypothesized which describes the layer deposited on the enamel surface as consisting of two opposing gradients of polymer molecules and hydroxyapatite (HA) particles. In this study, the structure and composition of polymer layers deposited on in vitro dental enamel during treatment with polymer modified citric acid solutions were investigated. Observations are consistent with a layer consisting of two opposing gradients of hydroxyapatite particles and polymer molecules. This leads to reduced erosive effects of

  1. The nanostructure and microstructure of SiC surface layers deposited by MWCVD and ECRCVD

    Science.gov (United States)

    Dul, K.; Jonas, S.; Handke, B.

    2017-12-01

    Scanning electron microscopy (SEM) and Atomic force microscopy (AFM) have been used to investigate ex-situ the surface topography of SiC layers deposited on Si(100) by Microwave Chemical Vapour Deposition (MWCVD) -S1,S2 layers and Electron Cyclotron Resonance Chemical Vapor Deposition (ECRCVD) - layers S3,S4, using silane, methane, and hydrogen. The effects of sample temperature and gas flow on the nanostructure and microstructure have been investigated. The nanostructure was described by three-dimensional surface roughness analysis based on digital image processing, which gives a tool to quantify different aspects of surface features. A total of 13 different numerical parameters used to describe the surface topography were used. The scanning electron image (SEM) of the microstructure of layers S1, S2, and S4 was similar, however, layer S3 was completely different; appearing like grains. Nonetheless, it can be seen that no grain boundary structure is present in the AFM images.

  2. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  3. Transparent thin-film transistor exploratory development via sequential layer deposition and thermal annealing

    International Nuclear Information System (INIS)

    Hong, David; Chiang, Hai Q.; Presley, Rick E.; Dehuff, Nicole L.; Bender, Jeffrey P.; Park, Cheol-Hee; Wager, John F.; Keszler, Douglas A.

    2006-01-01

    A novel deposition methodology is employed for exploratory development of a class of high-performance transparent thin-film transistor (TTFT) channel materials involving oxides composed of heavy-metal cations with (n - 1)d 10 ns 0 (n ≥ 4) electronic configurations. The method involves sequential radio-frequency sputter deposition of thin, single cation oxide layers and subsequent post-deposition annealing in order to obtain a multi-component oxide thin film. The viability of this rapid materials development methodology is demonstrated through the realization of high-performance TTFTs with channel layers composed of zinc oxide/tin oxide, and tin oxide/indium oxide

  4. NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery

    Science.gov (United States)

    Battery Technology News Release: NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery increasingly demanding needs of any battery application. These lithium-ion batteries feature a hybrid solid further customized lithium-ion battery materials for high performance devices by utilizing our patented

  5. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  6. Spatial Atomic Layer Deposition of transparent conductive oxides

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Poodt, P.; Roozeboom, F.

    2013-01-01

    Undoped and indium doped ZnO films have been grown by Spatial Atomic Layer Deposition at atmospheric pressure. The electrical properties of ZnO films are controlled by varying the indium content in the range from 0 to 15 %. A minimum resistivity value of 3 mΩ•cm is measured in 180 nm thick films for

  7. Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhuiykov, Serge, E-mail: serge.zhuiykov@ugent.be [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of); Kawaguchi, Toshikazu [Global Station for Food, Land and Water Resources, Global Institution for Collaborative Research and Education, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Graduate School of Environmental Science, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Hai, Zhenyin; Karbalaei Akbari, Mohammad; Heynderickx, Philippe M. [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of)

    2017-01-15

    Highlights: • Advantages of atomic layer deposition technology (ALD) for two-dimensional nano-crystals. • Conformation of ALD technique and chemistry of precursors. • ALD of semiconductor oxide thin films. • Ultra-thin (∼1.47 nm thick) ALD-developed tungsten oxide nano-crystals on large area. - Abstract: Atomic Layer Deposition (ALD) is an enabling technology which provides coating and material features with significant advantages compared to other existing techniques for depositing precise nanometer-thin two-dimensional (2D) nanostructures. It is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. ALD is especially advantageous when the film quality or thickness is critical, offering ultra-high aspect ratios. ALD provides digital thickness control to the atomic level by depositing film one atomic layer at a time, as well as pinhole-free films even over a very large and complex areas. Digital control extends to sandwiches, hetero-structures, nano-laminates, metal oxides, graded index layers and doping, and it is perfect for conformal coating and challenging 2D electrodes for various functional devices. The technique’s capabilities are presented on the example of ALD-developed ultra-thin 2D tungsten oxide (WO{sub 3}) over the large area of standard 4” Si substrates. The discussed advantages of ALD enable and endorse the employment of this technique for the development of hetero-nanostructure 2D semiconductors with unique properties.

  8. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  9. Protection of nuclear graphite toward fluoride molten salt by glassy carbon deposit

    International Nuclear Information System (INIS)

    Bernardet, V.; Gomes, S.; Delpeux, S.; Dubois, M.; Guerin, K.; Avignant, D.; Renaudin, G.; Duclaux, L.

    2009-01-01

    Molten salt reactor represents one of the promising future Generation IV nuclear reactors families where the fuel, a liquid molten fluoride salt, is circulating through the graphite reactor core. The interactions between nuclear graphite and fluoride molten salt and also the graphite surface protection were investigated in this paper by powder X-ray diffraction, micro-Raman spectroscopy and scanning electron microscopy coupled with X-ray microanalysis. Nuclear graphite discs were covered by two kinds of protection deposit: a glassy carbon coating and a double coating of pyrolitic carbon/glassy carbon. Different behaviours have been highlighted according to the presence and the nature of the coated protection film. Intercalation of molten salt between the graphite layers did not occur. Nevertheless the molten salt adhered more or less to the surface of the graphite disc, filled more or less the graphite surface porosity and perturbed more or less the graphite stacking order at the disc surface. The behaviour of unprotected graphite was far to be satisfactory after two days of immersion of graphite in molten salt at 500 deg. C. The best protection of the graphite disc surface, with the maximum of inertness towards molten salt, has been obtained with the double coating of pyrolitic carbon/glassy carbon

  10. Scanning electron microscopy characterisation of carbon deposited layers in Tore Supra

    International Nuclear Information System (INIS)

    Delchambre, E.; Brosset, C.; Reichle, R.; Devynck, P.; Guirlet, R.; Tsitrone, E.; Saikali, W.; Dominici, C.; Charai, A.

    2003-01-01

    For long discharges in Tore-Supra, an infra-red safety system has been installed to survey surface temperature of the target plates located below the toroidal pump limiter. A shift in temperature is attributed to the growth of a carbon layer at the surface of the neutralizer and has been estimated to a temperature increase of 400 Celsius degrees between virgin and layered surfaces. For temperature safety analysis, target plates have been cleaned and carbon layers were sampled for scanning electronic microscopy (SEM) study. SEM micrographs have allowed to measure the deposited layer thickness and to study the specific fractal and stratified structure. Energy dispersive X-ray spectroscopy analysis has permitted to distinguish carbon layers corresponding to boronization and then to deduce an average growth rate of about 20 nm/s. The growth rate is not constant and is likely to depend on plasma operation parameters. These analyses completed by time of flight secondary ions mass spectrometry (ToF-SIMS) have shown a beneficial effect of the boronization on metallic contamination of the plasma, confirming the in situ optical spectroscopic measurements. These analyses have also shown an increase of hydrogen storage in carbon layer due to boronization. Although the measurements performed on deposited layer are very local, the results reflect the history of the 2002 campaign. (A.C.)

  11. OES control of a low-pressure DC arc at TiN layer deposition

    International Nuclear Information System (INIS)

    Andreev, M.A.; Maksimenko, V.N.; Ershov-Pavlov, E.A.

    1995-01-01

    Results are presented of a low-pressure DC arc study as applied for a deposition of TiN wear-resistant coatings in a commercial plant. Plasma parameters of the arc have been measured by optical emission spectroscopy. The plasma emission spectra have been recorded using a grating spectrometer equipped with an on line computer. Changes in the resulting layers due to a difference in working conditions have been determined by metallography and X-ray analysis giving composition, microstructure and thickness of the resulting layers. Using the data, a correlation between emission spectra of the arc and the TiN layer characteristics has been found. The results allow monitoring parameters of the deposition process to obtain necessary quality of the layer and to increase the process efficiency

  12. Increasing the stability of DNA nanostructure templates by atomic layer deposition of Al2O3 and its application in imprinting lithography

    Directory of Open Access Journals (Sweden)

    Hyojeong Kim

    2017-11-01

    Full Text Available We present a method to increase the stability of DNA nanostructure templates through conformal coating with a nanometer-thin protective inorganic oxide layer created using atomic layer deposition (ALD. DNA nanotubes and origami triangles were coated with ca. 2 nm to ca. 20 nm of Al2O3. Nanoscale features of the DNA nanostructures were preserved after the ALD coating and the patterns are resistive to UV/O3 oxidation. The ALD-coated DNA templates were used for a direct pattern transfer to poly(L-lactic acid films.

  13. Surface Morphology Transformation Under High-Temperature Annealing of Ge Layers Deposited on Si(100).

    Science.gov (United States)

    Shklyaev, A A; Latyshev, A V

    2016-12-01

    We study the surface morphology and chemical composition of SiGe layers after their formation under high-temperature annealing at 800-1100 °C of 30-150 nm Ge layers deposited on Si(100) at 400-500 °C. It is found that the annealing leads to the appearance of the SiGe layers of two types, i.e., porous and continuous. The continuous layers have a smoothened surface morphology and a high concentration of threading dislocations. The porous and continuous layers can coexist. Their formation conditions and the ratio between their areas on the surface depend on the thickness of deposited Ge layers, as well as on the temperature and the annealing time. The data obtained suggest that the porous SiGe layers are formed due to melting of the strained Ge layers and their solidification in the conditions of SiGe dewetting on Si. The porous and dislocation-rich SiGe layers may have properties interesting for applications.

  14. Atomic layer deposition of Al-doped ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit; Okazaki, Ryuji; Terasaki, Ichiro [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland); Department of Physics, Nagoya University, Nagoya 464-8602 (Japan)

    2013-01-15

    Atomic layer deposition has been used to fabricate thin films of aluminum-doped ZnO by depositing interspersed layers of ZnO and Al{sub 2}O{sub 3} on borosilicate glass substrates. The growth characteristics of the films have been investigated through x-ray diffraction, x-ray reflection, and x-ray fluorescence measurements, and the efficacy of the Al doping has been evaluated through optical reflectivity and Seebeck coefficient measurements. The Al doping is found to affect the carrier density of ZnO up to a nominal Al dopant content of 5 at. %. At nominal Al doping levels of 10 at. % and higher, the structure of the films is found to be strongly affected by the Al{sub 2}O{sub 3} phase and no further carrier doping of ZnO is observed.

  15. Deposition on disordered substrates with precursor layer diffusion

    Science.gov (United States)

    Filipe, J. A. N.; Rodgers, G. J.; Tavassoli, Z.

    1998-09-01

    Recently we introduced a one-dimensional accelerated random sequential adsorption process as a model for chemisorption with precursor layer diffusion. In this paper we consider this deposition process on disordered or impure substrates. The problem is solved exactly on both the lattice and continuum and for various impurity distributions. The results are compared with those from the standard random sequential adsorption model.

  16. Growth and characterization of ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films deposited by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Vlad, A., E-mail: angela.vlad@gmail.com [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Matei, A.; Ion, V.; Luculescu, C.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Zavoianu, R. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania)

    2016-09-01

    Layered double hydroxides (LDHs) are a class of layered materials consisting of positively charged brucite-like layers and exchangeable interlayer anions. Layered double hydroxides containing a transition metal which undergoes a reversible redox reaction in the useful potential range have been proposed as electrode coating materials due to their properties of charge transport and redox catalysts in basic solutions. Ni–Al,(Ni,Mg)–Al and, as reference, non-electronically conductive Mg–Al double hydroxides thin films were obtained via pulsed laser deposition technique. The thin films were deposited on different substrates (Si, glass) by using a Nd:YAG laser (1064 nm) working at a repetition rate of 10 Hz. X-ray diffraction, Atomic Force Microscopy, Energy Dispersive X-ray spectroscopy, Fourier Transform Infra-Red Spectroscopy, Secondary Ions Mass Spectrometry, Impedance Analyzer and ellipsometry were the techniques used for the as deposited thin films investigation. The optical properties of Ni based LDH thin films and the effect of the Ni amount on the structural, morphological and optical response are evidenced. The optical band gap values, covering a domain between 3.84 eV and 4.38 eV, respond to the Ni overall concentration: the higher Ni amount the lower the band gap value. - Highlights: • Ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films were deposited. • The effect of the nickel is evidenced. • The possibility to tailor the materials accompanied by an optical response is shown.

  17. Characterization of amorphous yttria layers deposited by aqueous solutions of Y-chelate alkoxides complex

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Young-Soon, E-mail: kyscjb@i-sunam.com; Lee, Yu-Ri; Kim, Byeong-Joo; Lee, Jae-Hun; Moon, Seung-Hyun; Lee, Hunju

    2015-01-15

    Highlights: • Economical method for crack-free amorphous yttria layer deposition by dip coating. • Simpler process for planar yttria film as a diffusion barrier and nucleation layer. • Easy control over the film properties with better characteristics. • Easy control over the thickness of the deposited films. • A feasible process that can be easily adopted by HTSCC industries. - Abstract: Crack-free amorphous yttria layers were deposited by dip coating in solutions of different Y-chelate alkoxides complex. Three Y-chelate solutions of different concentrations were prepared using yttrium acetate tetrahydrate, yttrium stearic acid as Y source materials. PEG, diethanolamine were used as chelating agents, while ethanol, methanol and tetradecane were used as solvent. Three different combinations of chelating and solvents were used to prepare solutions for Y{sub 2}O{sub 3} dip coating on SUS, electropolished and non-electropolished Hastelloy C-276 substrates. The thickness of the films was varied by changing the number of dipping cycles. At an optimized condition, the substrate surface roughness (rms) value was reduced from ∼50 nm to ∼1 nm over a 10 × 10 μm{sup 2} area. After Y{sub 2}O{sub 3} deposition, MgO was deposited using ion-beam assisted deposition (IBAD), then LaMnO{sub 3} (LMO) was deposited using sputtering and GdBCO was deposited using reactive co-evaporation by deposition and reaction (RCE-DR). Detailed X-ray study indicates that LMO/MgO/Y{sub 2}O{sub 3} and GdBCO/LMO/MgO/Y{sub 2}O{sub 3} stack films have good out-of-plane and in-plane textures with strong c-axis alignment. The critical current (Ic) of GdBCO/LMO/MgO/Y{sub 2}O{sub 3} multilayer structure varied from 190 to 420 A/cm with different solutions, when measured at 77 K. These results demonstrated that amorphous yttria can be easily deposited by dip coating using Y-chelates complex as a diffusion barrier and nucleation layer.

  18. Covalent assembly of poly(ethyleneimine) via layer-by-layer deposition for enhancing surface density of protein and bacteria attachment

    Energy Technology Data Exchange (ETDEWEB)

    Xia, Bing, E-mail: xiabing@njfu.edu.cn [Key Laboratory of Forest Genetics and Biotechnology (Ministry of Education of China), Nanjing Forestry University, Nanjing 210037 (China); Advanced Analysis and Testing Center, Nanjing Forestry University, Nanjing 210037 (China); Shi, Jisen; Dong, Chen; Zhang, Wenyi; Lu, Ye [Key Laboratory of Forest Genetics and Biotechnology (Ministry of Education of China), Nanjing Forestry University, Nanjing 210037 (China); Guo, Ping [Nanjing College of Information Technology, Nanjing 210023 (China)

    2014-02-15

    Covalently assembly of low molecular weight poly(ethyleneimine) was introduced to glass surfaces via glutaraldehyde crosslinking, with focus on its application on protein immobilization or bacteria attachment. Characterizations of Fourier transform infrared spectroscopy and ellipsometry measurement revealed a stepwise growth of poly(ethyleneimine) films by layer-by-layer deposition. After fluorescein isothiocyanate labelling, photoluminescence spectroscopy measurement indicated that the amount of surface accessible amine groups had been gradually enhanced with increasing poly(ethyleneimine) layers deposition. As compared with traditional aminosilanized surfaces, the surface density of amine groups was enhanced by ∼11 times after five layers grafting, which resulted in ∼9-time increasing of surface density of immobilized bovine serum albumin. Finally, these as-prepared PEI multi-films with excellent biocompatibility were adopted as culture substrates to improve Escherichia coli adherence, which showed that their surface density had been increased by ∼251 times.

  19. MAPLE deposition of polypyrrole-based composite layers for bone regeneration

    Energy Technology Data Exchange (ETDEWEB)

    Paun, Irina Alexandra, E-mail: irina.paun@physics.pub.ro [Faculty of Applied Sciences, University Politehnica of Bucharest, RO-060042 (Romania); National Institute for Laser, Plasma and Radiation Physics, Magurele, Bucharest RO-077125 (Romania); Acasandrei, Adriana Maria [Horia Hulubei National Institute for Physics and Nuclear Engineering IFIN-HH, Magurele, Bucharest RO-077125 (Romania); Luculescu, Catalin Romeo, E-mail: catalin.luculescu@inflpr.ro [National Institute for Laser, Plasma and Radiation Physics, Magurele, Bucharest RO-077125 (Romania); Mustaciosu, Cosmin Catalin [Horia Hulubei National Institute for Physics and Nuclear Engineering IFIN-HH, Magurele, Bucharest RO-077125 (Romania); Ion, Valentin [National Institute for Laser, Plasma and Radiation Physics, Magurele, Bucharest RO-077125 (Romania); Mihailescu, Mona; Vasile, Eugenia [Faculty of Applied Sciences, University Politehnica of Bucharest, RO-060042 (Romania); Dinescu, Maria, E-mail: dinescum@nipne.ro [National Institute for Laser, Plasma and Radiation Physics, Magurele, Bucharest RO-077125 (Romania)

    2015-12-01

    Highlights: • PPy-based composite layers for bone regeneration were produced by MAPLE. • Conductive PPy nanograins were embedded in insulating PLGA and PU matrices. • PLGA was chosen for providing biodegradability and PU for toughness and elasticity. • The layers conductivities reached 10{sup −2} S/cm for PPy loadings of 1:10 weight ratios. • The layers promoted osteoblast viability, proliferation and mineralization. - Abstract: We report on biocompatible, electrically conductive layers of polypyrrole (PPy)-based composites obtained by Matrix Assisted Pulsed Laser Evaporation (MAPLE) for envisioned bone regeneration. In order to preserve the conductivity of the PPy while overcoming its lack of biodegradability and low mechanical resilience, conductive PPy nanograins were embedded in two biocompatible, insulating polymeric matrices, i.e. poly(lactic-co-glycolic)acid (PLGA) and polyurethane (PU). PLGA offers the advantage of full biodegradability into non-toxic products, while PU provides toughness and elasticity. The PPy nanograins formed micro-domains and networks within the PLGA and PU matrices, in a compact spatial arrangement favorable for electrical percolation. The proposed approach allowed us to obtain PPy-based composite layers with biologically meaningful conductivities up to 10{sup −2} S/cm for PPy loadings as low as 1:10 weight ratios. Fluorescent staining and viability assays showed that the MG63 osteoblast-like cells cultured on the PPy-based layers deposited by MAPLE were viable and retained their capacity to proliferate. The performance of the proposed method was demonstrated by quantitative evaluation of the calcium phosphate deposits from the cultured cells, as indicative for cell mineralization. Electrical stimulation using 200 μA currents passing through the PPy-based layers, during a time interval of 4 h, enhanced the osteogenesis in the cultured cells. Despite their lowest conductivity, the PPy/PU layers showed the best

  20. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  1. Pt-Al{sub 2}O{sub 3} dual layer atomic layer deposition coating in high aspect ratio nanopores

    Energy Technology Data Exchange (ETDEWEB)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Goeran; Wijngaart, Wouter van der; Roxhed, Niclas [KTH Royal Institute of Technology, School of Electrical Engineering, Micro and Nanosystems, Osquldas Vaeg 10, SE-10044 Stockholm (Sweden)

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al{sub 2}O{sub 3}) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al{sub 2}O{sub 3} layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 {mu}m thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al{sub 2}O{sub 3} using ALD. (paper)

  2. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  3. CdTe deposition by successive ionic layer adsorption and reaction (SILAR) technique onto ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Salazar, Raul; Delamoreanu, Alexandru; Saidi, Bilel; Ivanova, Valentina [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054, Grenoble (France); Levy-Clement, Claude [CNRS, Institut de Chimie et des Materiaux de Paris-Est, 94320, Thiais (France)

    2014-09-15

    In this study is reported CdTe deposition by Successive Ionic Layer Adsorption and reaction (SILAR) at room temperature onto ZnO nanowires (NWs). The as-deposited CdTe layer exhibits poor crystalline quality and not well defined optical transition which is probably result of its amorphous nature. The implementation of an annealing step and chemical treatment by CdCl{sub 2} to the classical SILAR technique improved significantly the CdTe film quality. The XRD analysis showed that the as treated layers are crystallized in the cubic zinc blende structure. The full coverage of ZnO nanowires and thickness of the CdTe shell, composed of small crystallites, was confirmed by STEM and TEM analysis. The layer thickness could be controlled by the number of SILAR cycles. The sharper optical transitions for the annealed and CdCl{sub 2} treated heterostructures additionally proves the enhancement of the layer crystalline quality. For comparison CdTe was also deposited by close space sublimation (CSS) method onto ZnO nanowires. It is shown that the SILAR deposited CdTe exhibits equal crystalline and optical properties to that prepared by CSS. These results demonstrate that SILAR technique is more suitable for conformal thin film deposition on nanostructures. CdTe extremely thin film deposited by SILAR method onto ZnO nanowire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. CdTe deposition by successive ionic layer adsorption and reaction (SILAR) technique onto ZnO nanowires

    International Nuclear Information System (INIS)

    Salazar, Raul; Delamoreanu, Alexandru; Saidi, Bilel; Ivanova, Valentina; Levy-Clement, Claude

    2014-01-01

    In this study is reported CdTe deposition by Successive Ionic Layer Adsorption and reaction (SILAR) at room temperature onto ZnO nanowires (NWs). The as-deposited CdTe layer exhibits poor crystalline quality and not well defined optical transition which is probably result of its amorphous nature. The implementation of an annealing step and chemical treatment by CdCl 2 to the classical SILAR technique improved significantly the CdTe film quality. The XRD analysis showed that the as treated layers are crystallized in the cubic zinc blende structure. The full coverage of ZnO nanowires and thickness of the CdTe shell, composed of small crystallites, was confirmed by STEM and TEM analysis. The layer thickness could be controlled by the number of SILAR cycles. The sharper optical transitions for the annealed and CdCl 2 treated heterostructures additionally proves the enhancement of the layer crystalline quality. For comparison CdTe was also deposited by close space sublimation (CSS) method onto ZnO nanowires. It is shown that the SILAR deposited CdTe exhibits equal crystalline and optical properties to that prepared by CSS. These results demonstrate that SILAR technique is more suitable for conformal thin film deposition on nanostructures. CdTe extremely thin film deposited by SILAR method onto ZnO nanowire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  6. Giant magneto-impedance effect on nanocrystalline microwires with conductive layer deposit

    International Nuclear Information System (INIS)

    Wang, R.L.; Zhao, Z.J.; Liu, L.P.; Yuan, W.Z.; Yang, X.L.

    2005-01-01

    In this study, the giant magneto-impedance effect on Fe-based glass-coated nanocrystalline microwires with and without an additional outer copper layer was investigated. Experiment results showed that the magneto-impedance ratio of the wires with a layer of deposited copper is higher at low frequencies and lower at high frequencies (above 50 MHz), as compared to that of the microwires without an outer copper layer. The peak MI magnetic field, corresponding to the maximum of the magneto-impedance ratio shifts towards higher field values with increasing coating thickness of copper layer. The results are explained in terms of electro-magnetic interactions between the conductive layer and the ferromagnetic core

  7. Low-Temperature Deposition of Layered SnSe2 for Heterojunction Diodes

    KAUST Repository

    Serna, Martha I.

    2018-04-27

    Tin diselenide (SnSe) has been recently investigated as an alternative layered metal dichalcogenide due to its unique electrical and optoelectronics properties. Although there are several reports on the deposition of layered crystalline SnSe films by chemical and physical methods, synthesis methods like pulsed laser deposition (PLD) are not reported. An attractive feature of PLD is that it can be used to grow 2D films over large areas. In this report, a deposition process to grow stoichiometric SnSe on different substrates such as single crystals (Sapphire) and amorphous oxides (SiO and HfO) is reported. A detailed process flow for the growth of 2D SnSe at temperatures of 300 °C is presented, which is substantially lower than temperatures used in chemical vapor deposition and molecular beam epitaxy. The 2D SnSe films exhibit a mobility of ≈4.0 cm V s, and are successfully used to demonstrate SnSe/p-Si heterojunction diodes. The diodes show I /I ratios of 10-10 with a turn on voltage of <0.5 V, and ideality factors of 1.2-1.4, depending on the SnSe film growth conditions.

  8. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  9. Highly transparent and thermal-stable silver nanowire conductive film covered with ZnMgO by atomic-layer-deposition

    Science.gov (United States)

    Wang, Lei; Huang, Dongchen; Li, Min; Xu, Hua; Zou, Jianhua; Tao, Hong; Peng, Junbiao; Xu, Miao

    2017-12-01

    Solution-processed silver nanowires (AgNWs) have been considered as a promising material for next generation flexible transparent conductive electrodes. However AgNWs films have several intrinsic drawbacks, such as thermal stability and storage stability. Herein, we demonstrate a laminated ZnO/MgO (ZnMgO, ZMO) as a protective layer on the AgNWs films using atomic layer deposition (ALD). The fabricated films exhibited a low sheet resistance of 16 Ω/sq with high transmittance of 91% at 550 nm, an excellent thermal stability and bending property. The ZMO film grows perpendicularly on the surface of the AgNWs, making a perfect coverage of bulk silver nanowires and junction, which can effectively prompt the electrical transport behavior and enhance stability of the silver nanowires network.

  10. TEM and ellipsometry studies of nanolaminate oxide films prepared using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Attard, D.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Finnie, K.S. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Triani, G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Barbe, C.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Depagne, C. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Bartlett, J.R. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2005-04-30

    Nanolaminate oxide layers consisting of TiO{sub 2} and Al{sub 2}O{sub 3} have been deposited on silicon using atomic layer deposition (ALD). Characterisation of these films has been achieved by use of a range of modern transmission electron microscopy (TEM)-based techniques, including plasmon loss imaging, energy filtered imaging and scanning TEM (STEM) X-ray line profiling. These have shown that the target thickness of the individual layers in the nanolaminate structures (20 nm) has been met with a high degree of accuracy, that the layers are extremely flat and parallel and that the interfaces between the layers are compositionally abrupt. Localised crystallisation within the stacks, and responses to electron beam irradiation point to the presence of a stress gradient within the layers. The performance of ellipsometry in characterising multilayer stacks has been benchmarked against the TEM measurements. Errors in determination of individual layer thicknesses were found to increase with growing stack size, as expected given the increasing number of interfaces incorporated in each model. The most sophisticated model gave maximum deviations of {+-}4 nm from the TEM determined values for the 5- and 10-layer stacks.

  11. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  12. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  13. Robust, functional nanocrystal solids by infilling with atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yao; Gibbs, Markelle; Perkins, Craig L.; Tolentino, Jason; Zarghami, Mohammad H.; Bustamante, Jr., J.; Law, Matt

    2011-12-14

    Thin films of colloidal semiconductor nanocrystals (NCs) are inherently metatstable materials prone to oxidative and photothermal degradation driven by their large surface-to-volume ratios and high surface energies. The fabrication of practical electronic devices based on NC solids hinges on preventing oxidation, surface diffusion, ripening, sintering, and other unwanted physicochemical changes that can plague these materials. Here we use low-temperature atomic layer deposition (ALD) to infill conductive PbSe NC solids with metal oxides to produce inorganic nanocomposites in which the NCs are locked in place and protected against oxidative and photothermal damage. Infilling NC field-effect transistors and solar cells with amorphous alumina yields devices that operate with enhanced and stable performance for at least months in air. Furthermore, ALD infilling with ZnO lowers the height of the inter-NC tunnel barrier for electron transport, yielding PbSe NC films with electron mobilities of 1 cm² V-1 s-1. Our ALD technique is a versatile means to fabricate robust NC solids for optoelectronic devices.

  14. French vertical flow constructed wetlands: a need of a better understanding of the role of the deposit layer.

    Science.gov (United States)

    Molle, Pascal

    2014-01-01

    French vertical flow constructed wetlands, treating directly raw wastewater, have become the main systems implemented for communities under 2,000 population equivalent in France. Like in sludge drying reed beds, an organic deposit layer is formed over time at the top surface of the filter. This deposit layer is a key factor in the performance of the system as it impacts hydraulic, gas transfers, filtration efficiency and water retention time. The paper discusses the role of this deposit layer on the hydraulic and biological behaviour of the system. It presents results from different studies to highlight the positive role of the layer but, as well, the difficulties in modelling this organic layer. As hydraulic, oxygen transfers, and biological activity are interlinked and impacted by the deposit layer, it seems essential to focus on its role (and its quantification) to find new developments of vertical flow constructed wetlands fed with raw wastewater.

  15. Sputter Deposited TiOx Thin-Films as Electron Transport Layers in Organic Solar Cells

    DEFF Research Database (Denmark)

    Mirsafaei, Mina; Bomholt Jensen, Pia; Lakhotiya, Harish

    transparency and favorable energy-level alignment with many commonly used electron-acceptor materials. There are several methods available for fabricating compact TiOx thin-films for use in organic solar cells, including sol-gel solution processing, spray pyrolysis and atomic-layer deposition; however...... of around 7%, by incorporating sputter deposited TiOx thin-films as electron-transport and exciton-blocking layers. In the work, we report on the effect of different TiOx deposition temperatures and thicknesses on the organic-solar-cell device performance. Besides optical characterization, AFM and XRD...... analyses are performed to characterize the morphology and crystal structure of the films, and external quantum efficiency measurements are employed to shed further light on the device performance. Our study presents a novel method for implementation of TiOx thin-films as electron-transport layer in organic...

  16. Resistivity of atomic layer deposition grown ZnO: The influence of deposition temperature and post-annealing

    Energy Technology Data Exchange (ETDEWEB)

    Laube, J., E-mail: laube@imtek.de; Nübling, D.; Beh, H.; Gutsch, S.; Hiller, D.; Zacharias, M.

    2016-03-31

    Conductive zinc oxide (ZnO) films deposited by atomic layer deposition were studied as function of post-annealing treatments. Effusion experiments were conducted on ZnO films deposited at different temperatures. The influence of different annealing atmospheres on the resistivity of the films was investigated and compared to reference samples. It was found that the influence of the deposition temperature on the resistivity is much higher than that of subsequent annealings. This leads to the conclusion that reduction of the resistivity by diffusion of different gases, such as oxygen and hydrogen, into annealed ZnO films is unlikely. - Highlights: • Conformal growth of ZnO-ALD over a temperature range of 25 °C up to 300 °C. • Post-annealing in different atmospheres (H{sub 2}, O{sub 2}, vacuum) and temperatures. • Analysis of film-conductivity and effusion characteristic.

  17. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  18. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  19. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  20. Electrophoretic deposition of hybrid coatings on aluminum alloy by combining 3-aminopropyltrimethoxysilan to silicon–zirconium sol solutions for corrosion protection

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Mei; Xue, Bing; Liu, Jianhua, E-mail: yumei@buaa.edu.cn; Li, Songmei; Zhang, You

    2015-09-01

    Electrophoretic deposition (EPD) silicon–zirconium organic–inorganic hybrid coatings were applied on LC4 aluminum alloy for corrosion protection. 3-Glycidoxypropyl-trimethoxysilane (GTMS) and Zirconium (IV) n-propoxide (TPOZ) were used as precursors. 3-Aminopropyl-trimethoxysilane (APS) was added to enhance the corrosion protective performance of the coatings. Scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and Fourier transform infrared spectroscopy (FTIR) were employed to characterize morphology, microstructure and component. The results show that the addition of APS leads to the enhanced migration and deposition of positively charged colloidal particles on the surface of metal substrate, which results in the thickness increasing of coatings. However, loading an excessive amount of APS gives a heterogeneous coating surface. The corrosion protective performance of coatings were measured by electrochemical impedance spectroscopy (EIS) and potentiodynamic polarization. The results indicate that the addition of APS improves corrosion protective performance of coatings. The optimal addition content of APS is about 15%. The 15% APS coating is uniform and dense, as well as has good corrosion protective performance. The impedance value (1.58 × 10{sup 5} Ω·cm{sup 2}, at the lowest frequency) of 15% APS coating is half order of magnitude higher than that of coating without APS, and 15% APS coating always keeps the best corrosion protective performance with prolonged immersion time. This kind of coating is identified with “double-structure” properties based on the analysis of EIS and potentiodynamic polarization. Furthermore, the equivalent circuit results indicate that the intermediate oxide layer plays a main role in corrosion protection. - Highlights: • Electrophoretic deposition hybrid coatings are prepared on LC4 aluminum alloy. • 3-Aminopropyl-trimethoxysilane (APS) enhances the corrosion protective performance. • The

  1. Electrophoretic deposition of hybrid coatings on aluminum alloy by combining 3-aminopropyltrimethoxysilan to silicon–zirconium sol solutions for corrosion protection

    International Nuclear Information System (INIS)

    Yu, Mei; Xue, Bing; Liu, Jianhua; Li, Songmei; Zhang, You

    2015-01-01

    Electrophoretic deposition (EPD) silicon–zirconium organic–inorganic hybrid coatings were applied on LC4 aluminum alloy for corrosion protection. 3-Glycidoxypropyl-trimethoxysilane (GTMS) and Zirconium (IV) n-propoxide (TPOZ) were used as precursors. 3-Aminopropyl-trimethoxysilane (APS) was added to enhance the corrosion protective performance of the coatings. Scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and Fourier transform infrared spectroscopy (FTIR) were employed to characterize morphology, microstructure and component. The results show that the addition of APS leads to the enhanced migration and deposition of positively charged colloidal particles on the surface of metal substrate, which results in the thickness increasing of coatings. However, loading an excessive amount of APS gives a heterogeneous coating surface. The corrosion protective performance of coatings were measured by electrochemical impedance spectroscopy (EIS) and potentiodynamic polarization. The results indicate that the addition of APS improves corrosion protective performance of coatings. The optimal addition content of APS is about 15%. The 15% APS coating is uniform and dense, as well as has good corrosion protective performance. The impedance value (1.58 × 10 5 Ω·cm 2 , at the lowest frequency) of 15% APS coating is half order of magnitude higher than that of coating without APS, and 15% APS coating always keeps the best corrosion protective performance with prolonged immersion time. This kind of coating is identified with “double-structure” properties based on the analysis of EIS and potentiodynamic polarization. Furthermore, the equivalent circuit results indicate that the intermediate oxide layer plays a main role in corrosion protection. - Highlights: • Electrophoretic deposition hybrid coatings are prepared on LC4 aluminum alloy. • 3-Aminopropyl-trimethoxysilane (APS) enhances the corrosion protective performance. • The coating

  2. Deposition and Characterization of TRISO Coating Layers

    International Nuclear Information System (INIS)

    Kim, D. K.; Choi, D. J.; Lee, H. K.; Kim, J. K.; Kim, J. H.; Chun, J. H.

    2007-03-01

    Zirconium carbide has been chosen and studied as an advanced material of silicon carbide. In order to collect data on the basic properties and characteristics of Zirconium carbide, studies have been conducted using various methods. As a result of chemically vapor deposed subliming zirconium tetrachloride(ZrCl4) and using methane(CH4) as a source in hydrogen atmosphere, graphite film is deposited.. Zirconium carbide was deposited on the sample where silicon carbide was deposited on a graphite substrate using Zirconium sponge as a Zirconium source. In terms of physical characteristics, the deposited Zirconium carbide showed higher strength, but slightly lower elastic modulus than silicon carbide. In order to evaluate the mechanical properties of a coating layer in pre-irradiation step, internal pressure induced method and direct strength measurement method is carried out. In the internal pressure induced method, in order to produce the requirement pressure, pressure media is used. In the direct strength measurement method, the indentation experiment that indent on a hemisphere shell with plate indenter is conducted. For this method, the finite element analysis is used and the analysis is verified by indentation experiments. To measure the strength of TRISO particle SiC coating, SiC hemisphere shell is performed through grinding and heat treatment. Through the finite element analysis, strength evaluation equation is suggested. Using suggested equation, Strength evaluation is performed and the strength value shows 1025MPa as a result of statistical analysis

  3. Deposition and Characterization of TRISO Coating Layers

    Energy Technology Data Exchange (ETDEWEB)

    Kim, D. K.; Choi, D. J.; Lee, H. K.; Kim, J. K.; Kim, J. H.; Chun, J. H. [KAIST, Daejeon (Korea, Republic of)

    2007-03-15

    Zirconium carbide has been chosen and studied as an advanced material of silicon carbide. In order to collect data on the basic properties and characteristics of Zirconium carbide, studies have been conducted using various methods. As a result of chemically vapor deposed subliming zirconium tetrachloride(ZrCl4) and using methane(CH4) as a source in hydrogen atmosphere, graphite film is deposited.. Zirconium carbide was deposited on the sample where silicon carbide was deposited on a graphite substrate using Zirconium sponge as a Zirconium source. In terms of physical characteristics, the deposited Zirconium carbide showed higher strength, but slightly lower elastic modulus than silicon carbide. In order to evaluate the mechanical properties of a coating layer in pre-irradiation step, internal pressure induced method and direct strength measurement method is carried out. In the internal pressure induced method, in order to produce the requirement pressure, pressure media is used. In the direct strength measurement method, the indentation experiment that indent on a hemisphere shell with plate indenter is conducted. For this method, the finite element analysis is used and the analysis is verified by indentation experiments. To measure the strength of TRISO particle SiC coating, SiC hemisphere shell is performed through grinding and heat treatment. Through the finite element analysis, strength evaluation equation is suggested. Using suggested equation, Strength evaluation is performed and the strength value shows 1025MPa as a result of statistical analysis.

  4. Lifetime obtained by ion beam assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chakaroun, M. [XLIM-MINACOM-UMR 6172, Faculte des Sciences et Techniques, 123 av. Albert Thomas, 87060 Limoges cedex (France); Antony, R. [XLIM-MINACOM-UMR 6172, Faculte des Sciences et Techniques, 123 av. Albert Thomas, 87060 Limoges cedex (France)], E-mail: remi.antony@unilim.fr; Taillepierre, P.; Moliton, A. [XLIM-MINACOM-UMR 6172, Faculte des Sciences et Techniques, 123 av. Albert Thomas, 87060 Limoges cedex (France)

    2007-09-15

    We have fabricated green organic light-emitting diodes based on tris-(8-hydroxyquinoline)aluminium (Alq3) thin films. In order to favor the charge carriers transport from the anode, we have deposited a N,N'-diphenyl-N,N'-bis (3-methylphenyl)-1,1'-diphenyl-4,4'-diamine (TPD) layer (hole transport layer) on a ITO anode. Cathode is obtained with a calcium layer covered with a silver layer. This silver layer is used to protect the other layers against oxygen during the OLED use. All the depositions are performed under vacuum and the devices are not exposed to air during their realisation. In order to improve the silver layer characteristics, we have realized this layer with the ion beam assisted deposition process. The aim of this process is to densify the layer and then reduce the permeation of H{sub 2}O and O{sub 2}. We have used argon ions to assist the silver deposition. All the OLEDs optoelectronic characterizations (I = f(V), L = f(V)) are performed in the ambient air. We compare the results obtained with the assisted layer with those obtained with a classical cathode realized by thermal unassisted evaporation. We have realized lifetime measurements in the ambient air and we discuss about the assisted layer influence on the OLEDs performances.

  5. Antireflective conducting nanostructures with an atomic layer deposited an AlZnO layer on a transparent substrate

    International Nuclear Information System (INIS)

    Park, Hyun-Woo; Ji, Seungmuk; Herdini, Diptya Suci; Lim, Hyuneui; Park, Jin-Seong; Chung, Kwun-Bum

    2015-01-01

    Graphical abstract: - Highlights: • We investigated the antireflective conducting nanostructures on a transparent substrate using atomic layer deposited AlZnO films. • The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance. • The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. • The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states. - Abstract: The antireflective conducting nanostructures on a transparent substrate were shown to have enhanced optical and electrical properties via colloidal lithography and atomic layer deposition. The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance, both of which were superior to those of a flat transparent conducting substrate. The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states.

  6. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  7. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  8. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  9. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    Science.gov (United States)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  10. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  11. Electrochemical lithiation of thin silicon based layers potentiostatically deposited from ionic liquid

    International Nuclear Information System (INIS)

    Vlaic, Codruta Aurelia; Ivanov, Svetlozar; Peipmann, Ralf; Eisenhardt, Anja; Himmerlich, Marcel; Krischok, Stefan; Bund, Andreas

    2015-01-01

    Thin silicon layers containing about 20% carbon and 20% oxygen were deposited on copper substrates by potentiostatic electroreduction from a 1 M SiCl 4 1-butyl-1-methyl-pyrrolidinium bis (trifluoromethyl) sulfonylimide [BMP][TFSI] electrolyte. The electrodeposition process was investigated by means of voltammetric techniques, coupled with in-situ microgravimetry (quartz crystal microbalance, QCM). The electrochemical and QCM data suggest a possible contribution of a partial Si 4+ to Si 2+ reduction and/or a restructuring of the metallic substrate. Considerable impact of side reactions parallel to the deposition process was indicated by QCM measurements performed under potentiostatic and potentiodynamic conditions. The deposition of silicon-based films was confirmed by energy dispersive X-ray analysis (EDX). Analysis of the chemical composition of the deposit and its elemental distribution were achieved by depth profiling X-ray photoelectron spectroscopy (XPS). The electrodeposited silicon containing layers showed stable lithiation and delithiation with capacity values of about 1200 mAhg −1 and 80% capacity retention after 300 cycles in standard EC/DMC electrolytes. In ionic liquid (IL) the material displayed lower capacity of ca. 500 mAhg −1 , which can be attributed to the higher viscosity of this electrolyte and deposition of IL decomposition products during lithiation

  12. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  13. Electroless deposition of NiCrB diffusion barrier layer film for ULSI-Cu metallization

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Yuechun [School of Materials Science and Engineering, Yunnan University, Kunming (China); Chen, Xiuhua, E-mail: chenxh@ynu.edu.cn [School of Materials Science and Engineering, Yunnan University, Kunming (China); Ma, Wenhui [National Engineering Laboratory of Vacuum Metallurgy, Kunming University of Science and Technology, Kunming (China); Shang, Yudong; Lei, Zhengtao; Xiang, Fuwei [School of Materials Science and Engineering, Yunnan University, Kunming (China)

    2017-02-28

    Highlights: • In this paper, the electroless deposited NiCrB thin film was mainly in the form of NiB, CrB{sub 2} compounds and elementary Ni. • The sheet resistance of NiCrB thin film was 3.043 Ω/□, it is smaller than that of the widely used Ta, TaN and TiN diffusion barrier layers. • Annealing experiments showed that the failure temperature of NiCrB thin film regarding Cu diffusion was 900 °C. • NiCrB barrier layer crystallized after 900 °C annealing, Cu grains arrived at Si-substrate through grain boundaries, resulting in the formation of Cu{sub 3}Si. • Eelectroless deposited NiCrB film also had good oxidation resistance, it is expected to become an anti-oxidant layer of copper interconnection. - Abstract: NiCrB films were deposited on Si substrates using electroless deposition as a diffusion barrier layer for Cu interconnections. Samples of the prepared NiCrB/SiO{sub 2}/Si and NiCrB/Cu/NiCrB/SiO{sub 2}/Si were annealed at temperatures ranging from 500 °C to 900 °C. The reaction mechanism of the electroless deposition of the NiCrB film, the failure temperature and the failure mechanism of the NiCrB diffusion barrier layer were investigated. The prepared samples were subjected to XRD, XPS, FPP and AFM to determine the phases, composition, sheet resistance and surface morphology of samples before and after annealing. The results of these analyses indicated that the failure temperature of the NiCrB barrier film was 900 °C and the failure mechanism led to crystallization and grain growth of the NiCrB barrier layer after high temperature annealing. It was found that this process caused Cu grains to reach Si substrate through the grain boundaries, and then the reaction between Cu and Si resulted in the formation of highly resistive Cu{sub 3}Si.

  14. Study of ion implantation in grown layers of multilayer coatings under ion-plasma vacuum deposition

    International Nuclear Information System (INIS)

    Voevodin, A.A.; Erokhin, A.L.

    1993-01-01

    The model of ion implantation into growing layers of a multilayer coating produced with vacuum ion-plasma deposition was developed. The model takes into account a possibility for ions to pass through the growing layer and alloys to find the distribution of implanted atoms over the coating thickness. The experimental vitrification of the model was carried out on deposition of Ti and TiN coatings

  15. Ti–Al–O nanocrystal charge trapping memory cells fabricated by atomic layer deposition

    International Nuclear Information System (INIS)

    Cao, Zheng-Yi; Li, Ai-Dong; Li, Xin; Cao, Yan-Qiang; Wu, Di

    2014-01-01

    Charge trapping memory cells using Ti–Al–O (TAO) film as charge trapping layer and amorphous Al 2 O 3 as the tunneling and blocking layers were fabricated on Si substrates by atomic layer deposition method. As-deposited TAO films were annealed at 700 °C, 800 °C and 900 °C for 3 min in N 2 with a rapid thermal annealing process to form nanocrystals. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructure and band diagram of the heterostructures. The electrical characteristics and charge storage properties of the Al 2 O 3 /TAO/Al 2 O 3 /Si stack structures were also evaluated. Compared to 700 °C and 900 °C samples, the memory cells annealed at 800 °C exhibit better memory performance with larger memory window of 4.8 V at ± 6 V sweeping, higher program/erase speed and excellent endurance. - Highlights: • The charge trapping memory cells were fabricated by atomic layer deposition method. • The anneal temperature plays a key role in forming nanocrystals. • The memory cells annealed at 800 °C exhibit better memory performance. • The band alignment is beneficial to enhance the retention characteristics

  16. (Invited) Atomic Layer Deposition for Novel Dye-Sensitized Solar Cells

    KAUST Repository

    Tétreault, Nicolas

    2011-01-01

    Herein we present the latest fabrication and characterization techniques for atomic layer deposition of Al 2O 3, ZnO, SnO 2, Nb 2O 5, HfO 2, Ga 2O 3 and TiO 2 for research on dye-sensitized solar cell. In particular, we review the fabrication of state-of-the-art 3D host-passivation-guest photoanodes and ZnO nanowires as well as characterize the deposited thin films using spectroscopic ellipsometry, X-ray diffraction, Hall effect, J-V curves and electrochemical impedance spectroscopy. ©The Electrochemical Society.

  17. Determination of the electrical characteristics of protective coatings and deposits on metals in media with low electrical conductivity

    International Nuclear Information System (INIS)

    Ovcharenko, V.I.; Koroleva, E.V.; Fedorova, A.N.; Sereda, G.A.

    1987-01-01

    This paper presents the results of a theoretical analysis and experimental determination of the electrical and associated protective characteristics of poorly conducting layers on metals, modeling both oxide and hydroxide deposits on the inner surfaces of the equipment as well as films of protective coatings. The analysis is performed using the linear low-frequency ac current (10 -3 -10 -6 Hz) method, which is based on the determination of the impedance Z, the admittance Y = 1/Z, the complex capacitance C = Y/j omega, where omega is the circular frequency of the alternating current, the complex dielectric constant epsilon, the tangent of the dielectric-loss angle tan δ and other quantities associated with them

  18. Mechanism of protective action of surface carbide layers on titanium

    International Nuclear Information System (INIS)

    Chukalovskaya, T.V.; Chebotareva, N.P.; Tomashov, N.D.

    1990-01-01

    The protective action of surface carbide layer on titanium produced in methane atmosphere at 1000 deg C and under 6.7 kPa pressure in H 2 SO 4 solutions is studied through comparison of microsection metallographic specimens prior to and after corrosion testing (after specimen activation); through comparison of anodic characteristics after partial stripping of the layer up to its complete stripping; through analysis of the behaviour of Ti-TiC galvanic couple, and through investigation of corresponding corrosion diagrams under test conditions. It is shown that screening protective mechanism is primarily got involved in highly agressive media (high temperature and concentration of solution), and in less agressive environment the protection of titanium with carbide layer is primarily ensured by electrochemical mechanism

  19. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  20. Issues involved in the atomic layer deposition of metals

    Science.gov (United States)

    Grubbs, Robert Kimes

    Auger Electron Spectroscopy (AES) was used to study the nucleation and growth of tungsten on aluminum oxide surfaces. Tungsten metal was deposited using Atomic Layer Deposition (ALD) techniques. ALD uses sequential surface reactions to deposit material with atomic layer control. W ALD is performed using sequential exposures of WF6 and Si2H6. The step-wise nature of W ALD allows nucleation studies to be performed by analyzing the W surface concentration after each ALD reaction. Nucleation and growth regions can be identified by quantifying the AES signal intensities from both the W surface and the Al2O3 substrate. W nucleation occurred in 3 ALD reaction cycles. The AES results yielded a nucleation rate of 1.0 A/ALD cycle and a growth rate of ≈3 A/ALD cycle. AES studies also explored the nucleation and growth of Al2O3 on W. Al2O3 nucleated in 1 ALD cycle giving a nucleation rate of 3.5 A/ALD cycle and a subsequent growth rate of 1.0 A/ALD cycle. Mass spectrometry was then used to study the ALD reaction chemistry of tungsten deposition. Because of the step-wise nature of the W ALD chemistry, each W ALD reaction could be studied independently. The gaseous mass products were identified from both the WF6 and Si2H6 reactions. H2, HF and SiF4 mass products were observed for the WF6 reaction. The Si2H6 reaction displayed a room temperature reaction and a 200°C reaction. Products from the room temperature Si2H6 reaction were H2 and SiF3H. The reaction at 200°C yielded only H2 as a reaction product. H2 desorption from the surface contributes to the 200°C Si2H6 reaction. AES was used to confirm that the gas phase reaction products are correlated with a change in the surface species. Atomic hydrogen reduction of metal halides and oganometallic compounds provides another method for depositing metals with atomic layer control. The quantity of atomic hydrogen necessary to perform this chemistry is critical to the metal ALD process. A thermocouple probe was constructed to

  1. Protection of p+-n-Si Photoanodes by Sputter-Deposited Ir/IrOxThin Films

    DEFF Research Database (Denmark)

    Mei, Bastian Timo; Seger, Brian; Pedersen, Thomas

    2014-01-01

    Sputter deposition of Ir/IrOx on p+-n-Si without interfacial corrosion protection layers yielded photoanodes capable of efficient water oxidation (OER) in acidic media (1 M H2SO4). Stability of at least 18 h was shown by chronoamperomety at 1.23 V versus RHE (reversible hydrogen electrode) under 38...... density of 1 mA/cm2 at 1.05 V vs. RHE. Further improvement by heat treatment resulted in a cathodic shift of 40 mV and enabled a current density of 10 mA/cm2 (requirements for a 10% efficient tandem device) at 1.12 V vs. RHS under irradiation. Thus, the simple IrOx/Ir/p+-n-Si structures not only provide...

  2. Depth Profiling Analysis of Aluminum Oxidation During Film Deposition in a Conventional High Vacuum System

    Science.gov (United States)

    Kim, Jongmin; Weimer, Jeffrey J.; Zukic, Muamer; Torr, Douglas G.

    1994-01-01

    The oxidation of aluminum thin films deposited in a conventional high vacuum chamber has been investigated using x-ray photoelectron spectroscopy (XPS) and depth profiling. The state of the Al layer was preserved by coating it with a protective MgF2 layer in the deposition chamber. Oxygen concentrations in the film layers were determined as a function of sputter time (depth into the film). The results show that an oxidized layer is formed at the start of Al deposition and that a less extensively oxidized Al layer is deposited if the deposition rate is fast. The top surface of the Al layer oxidizes very quickly. This top oxidized layer may be thicker than has been previously reported by optical methods. Maximum oxygen concentrations measured by XPS at each Al interface are related to pressure to rate ratios determined during the Al layer deposition.

  3. Conduction mechanisms in thin atomic layer deposited Al2O3 layers

    International Nuclear Information System (INIS)

    Spahr, Holger; Montzka, Sebastian; Reinker, Johannes; Hirschberg, Felix; Kowalsky, Wolfgang; Johannes, Hans-Hermann

    2013-01-01

    Thin Al 2 O 3 layers of 2–135 nm thickness deposited by thermal atomic layer deposition at 80 °C were characterized regarding the current limiting mechanisms by increasing voltage ramp stress. By analyzing the j(U)-characteristics regarding ohmic injection, space charge limited current (SCLC), Schottky-emission, Fowler-Nordheim-tunneling, and Poole-Frenkel-emission, the limiting mechanisms were identified. This was performed by rearranging and plotting the data in a linear scale, such as Schottky-plot, Poole-Frenkel-plot, and Fowler-Nordheim-plot. Linear regression then was applied to the data to extract the values of relative permittivity from Schottky-plot slope and Poole-Frenkel-plot slope. From Fowler-Nordheim-plot slope, the Fowler-Nordheim-energy-barrier was extracted. Example measurements in addition to a statistical overview of the results of all investigated samples are provided. Linear regression was applied to the region of the data that matches the realistic values most. It is concluded that ohmic injection and therefore SCLC only occurs at thicknesses below 12 nm and that the Poole-Frenkel-effect is no significant current limiting process. The extracted Fowler-Nordheim-barriers vary in the range of up to approximately 4 eV but do not show a specific trend. It is discussed whether the negative slope in the Fowler-Nordheim-plot could in some cases be a misinterpreted trap filled limit in the case of space charge limited current

  4. Influence of dielectric protective layer on laser damage resistance of gold coated gratings

    Science.gov (United States)

    Wu, Kepeng; Ma, Ping; Pu, Yunti; Xia, Zhilin

    2016-03-01

    Aiming at the problem that the damage threshold of gold coated grating is relatively low, a dielectric film is considered on the gold coated gratings as a protective layer. The thickness range of the protective layer is determined under the prerequisite that the diffraction efficiency of the gold coated grating is reduced to an acceptable degree. In this paper, the electromagnetic field, the temperature field and the stress field distribution in the grating are calculated when the silica and hafnium oxide are used as protective layers, under the preconditions of the electromagnetic field distribution of the gratings known. The results show that the addition of the protective layer changes the distribution of the electromagnetic field, temperature field and stress field in the grating, and the protective layer with an appropriate thickness can improve the laser damage resistance of the grating.

  5. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  6. Measurement of Young’s modulus and residual stress of atomic layer deposited Al2O3 and Pt thin films

    Science.gov (United States)

    Purkl, Fabian; Daus, Alwin; English, Timothy S.; Provine, J.; Feyh, Ando; Urban, Gerald; Kenny, Thomas W.

    2017-08-01

    The accurate measurement of mechanical properties of thin films is required for the design of reliable nano/micro-electromechanical devices but is increasingly challenging for thicknesses approaching a few nanometers. We apply a combination of resonant and static mechanical test structures to measure elastic constants and residual stresses of 8-27 nm thick Al2O3 and Pt layers which have been fabricated through atomic layer deposition. Young’s modulus of poly-crystalline Pt films was found to be reduced by less than 15% compared to the bulk value, whereas for amorphous Al2O3 it was reduced to about half of its bulk value. We observed no discernible dependence of the elastic constant on thickness or deposition method for Pt, but the use of plasma-enhanced atomic layer deposition was found to increase Young’s modulus of Al2O3 by 10% compared to a thermal atomic layer deposition. As deposited, the Al2O3 layers had an average tensile residual stress of 131 MPa. The stress was found to be higher for thinner layers and layers deposited without the help of a remote plasma. No residual stress values could be extracted for Pt due to insufficient adhesion of the film without an underlying layer to promote nucleation.

  7. Functional Micrococcus lysodeikticus layers deposited by laser technique for the optical sensing of lysozyme.

    Science.gov (United States)

    Dinca, Valentina; Zaharie-Butucel, Diana; Stanica, Luciana; Brajnicov, Simona; Marascu, Valentina; Bonciu, Anca; Cristocea, Andra; Gaman, Laura; Gheorghiu, Mihaela; Astilean, Simion; Vasilescu, Alina

    2018-02-01

    Whole cell optical biosensors, made by immobilizing whole algal, bacterial or mammalian cells on various supports have found applications in several fields, from ecology and ecotoxicity testing to biopharmaceutical production or medical diagnostics. We hereby report the deposition of functional bacterial layers of Micrococcus lysodeikticus (ML) via Matrix-Assisted Pulsed Laser Evaporation (MAPLE) on poly(diallyldimethylamonium) (PDDA)-coated-glass slides and their application as an optical biosensor for the detection of lysozyme in serum. Lysozyme is an enzyme upregulated in inflammatory diseases and ML is an enzymatic substrate for this enzyme. The MAPLE-deposited bacterial interfaces were characterised by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Fourier-Transformed Infrared Spectroscopy (FTIR), Raman and optical microscopy and were compared with control interfaces deposited via layer-by-layer on the same substrate. After MAPLE deposition and coating with graphene oxide (GO), ML-modified interfaces retained their functionality and sensitivity to lysozyme's lytic action. The optical biosensor detected lysozyme in undiluted serum in the clinically relevant range up to 10μgmL -1 , in a fast and simple manner. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. Chemical Bath Deposition and Characterization of CdS layer for CZTS Thin Film Solar Cell

    OpenAIRE

    Kamal, Tasnim; Parvez, Sheikh; Matin, Rummana; Bashar, Mohammad Shahriar; Hossain, Tasnia; Sarwar, Hasan; Rashid, Mohammad Junaebur

    2016-01-01

    CZTS is a new type of an absorber and abundant materials for thin film solar cells (TFSC). Cadmium sulfide (CdS) is the n-type buffer layer of it with band gap of 2.42 eV. Cadmium sulfide (CdS) buffer layer of CZTS solar cell was deposited on soda-lime glass substrates by the Chemical Bath Deposition(CBD) method, using anhydrous Cadmium chloride(CdCl_2) and Thiourea (CS(NH_2)_2). Deposition of CdS using CBD is based on the slow release of Cd^ ions and S^ ions in an alkaline bath which is achi...

  9. Transformation and Deposition of Sulphur and Nitrogen Compounds in the Marine Boundary Layer

    Energy Technology Data Exchange (ETDEWEB)

    Hertel, O

    1995-10-01

    In this thesis the author performs a model study of the transformation and deposition of sulphur and nitrogen compounds in the marine boundary layer, including source-receptor relationships. The central part of the study is the development and testing of a variable scale trajectory model for Europe, with special emphasis on modelling the concentrations of gases and aerosols in the marine atmosphere and the deposition to sea. A one-dimensional version of the model was developed to model the chemical degradation of dimethyl sulphide (DMS) in the marine boundary layer. Although the model reproduces the observed levels of DMS and methane sulphonic acid (MSA) well, the calculated DMS concentration is not always in phase with observed levels, probably because of a local coastal emission that is correlated with the shifting tide. Another version of the trajectory model, Atmospheric Chemistry and Deposition model (ACDEP), was developed to study the deposition of nitrogen compounds to the Danish sea waters. This model uses a new numerical scheme, the Eulerian Backward Iterative method. The model is able to reproduce observations of air concentrations and wet deposition fairly well; data for dry deposition were not available. The model was also used for calculation of deposition of nitrogen compounds to the Kattegat. Finally, a sensitivity study was performed on the model. 175 refs., 87 figs., 32 tabs.

  10. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lindahl, Johan, E-mail: johan.lindahl@angstrom.uu.se; Hägglund, Carl, E-mail: carl.hagglund@angstrom.uu.se; Wätjen, J. Timo, E-mail: timo.watjen@angstrom.uu.se; Edoff, Marika, E-mail: marika.edoff@angstrom.uu.se; Törndahl, Tobias, E-mail: tobias.torndahl@angstrom.uu.se

    2015-07-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO{sub x} ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm{sup 3} in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap.

  11. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    International Nuclear Information System (INIS)

    Lindahl, Johan; Hägglund, Carl; Wätjen, J. Timo; Edoff, Marika; Törndahl, Tobias

    2015-01-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO x ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm 3 in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap

  12. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  13. A two layer coating system for metallic substrates

    International Nuclear Information System (INIS)

    1979-01-01

    Plasma deposited cermet coatings are used for protecting components in sodium or helium cooled reactors. An inner layer of cermet made from a powder mixture of chromium carbide and a nickel -20% chromium and an outer layer of chromium carbide is preferred. (UK)

  14. Protecting peroxidase activity of multilayer enzyme-polyion films using outer catalase layers.

    Science.gov (United States)

    Lu, Haiyun; Rusling, James F; Hu, Naifei

    2007-12-27

    Films constructed layer-by-layer on electrodes with architecture {protein/hyaluronic acid (HA)}n containing myoglobin (Mb) or horseradish peroxidase (HRP) were protected against protein damage by H2O2 by using outer catalase layers. Peroxidase activity for substrate oxidation requires activation by H2O2, but {protein/HA}n films without outer catalase layers are damaged slowly and irreversibly by H2O2. The rate and extent of damage were decreased dramatically by adding outer catalase layers to decompose H2O2. Comparative studies suggest that protection results from catalase decomposing a fraction of the H2O2 as it enters the film, rather than by an in-film diffusion barrier. The outer catalase layers controlled the rate of H2O2 entry into inner regions of the film, and they biased the system to favor electrocatalytic peroxide reduction over enzyme damage. Catalase-protected {protein/HA}n films had an increased linear concentration range for H2O2 detection. This approach offers an effective way to protect biosensors from damage by H2O2.

  15. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Peng [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Hudak, Michael R.; Lerner, Allan [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Grubbs, Robert K. [Sandia National Laboratories, P.O. Box 5800, Albuquerque, NM 87185 (United States); Wang, Shanmin [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Zhang, Zhan; Karapetrova, Evguenia [Advance Photon Source, Argonne National Laboratory, 9700S Cass Ave, Argonne, IL 60439 (United States); Hickmott, Donald [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Majewski, Jaroslaw, E-mail: jarek@lanl.gov [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States)

    2014-08-28

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO{sub 3}) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al{sub 2}O{sub 3} buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al{sub 2}O{sub 3} buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial

  16. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    International Nuclear Information System (INIS)

    Wang, Peng; Hudak, Michael R.; Lerner, Allan; Grubbs, Robert K.; Wang, Shanmin; Zhang, Zhan; Karapetrova, Evguenia; Hickmott, Donald; Majewski, Jaroslaw

    2014-01-01

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO 3 ) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al 2 O 3 buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al 2 O 3 buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial interactions

  17. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  18. Recent progress of atomic layer deposition on polymeric materials

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Hong Chen; Ye, Enyi [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Li, Zibiao, E-mail: lizb@imre.a-star.edu.sg [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Han, Ming-Yong [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Loh, Xian Jun, E-mail: lohxj@imre.a-star.edu.sg [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Department of Materials Science and Engineering, National University of Singapore, Singapore 117574 (Singapore); Singapore Eye Research Institute, 20 College Road, Singapore 169856 (Singapore)

    2017-01-01

    As a very promising surface coating technology, atomic layer deposition (ALD) can be used to modify the surfaces of polymeric materials for improving their functions and expanding their application areas. Polymeric materials vary in surface functional groups (number and type), surface morphology and internal structure, and thus ALD deposition conditions that typically work on a normal solid surface, usually do not work on a polymeric material surface. To date, a large variety of research has been carried out to investigate ALD deposition on various polymeric materials. This paper aims to provide an in-depth review of ALD deposition on polymeric materials and its applications. Through this review, we will provide a better understanding of surface chemistry and reaction mechanism for controlled surface modification of polymeric materials by ALD. The integrated knowledge can aid in devising an improved way in the reaction between reactant precursors and polymer functional groups/polymer backbones, which will in turn open new opportunities in processing ALD materials for better inorganic/organic film integration and potential applications. - Highlights: • ALD deposition on different natural and synthetic polymer materials • Reaction mechanism based on the surface functional groups of polymers • Application of ALD-modified polymers in different fields.

  19. Dependence of Magnetic Properties of Co/Pt Multilayers on Deposition Temperature of Pt Buffer Layers

    Science.gov (United States)

    Shiomi, Shigeru; Nishimura, Tomotaka; Kobayashi, Tadashi; Masuda, Morio

    1993-04-01

    A 15-nm-thick Pt buffer layer was deposited on a glass slide at temperature Ts(Ptbuf) ranging from 30 to 300°C by e-gun evaporation. Following the cooling in vacuum to ambient temperature, Co and Pt layers have been alternately deposited on it. Very large perpendicular anisotropy and coercivity have been obtained at Ts(Ptbuf) higher than 200°C. The (111) preferred orientation of the Co/Pt multilayer as well as the Pt buffer layer became more pronounced with elevating Ts(Ptbuf), to which the enhancement of perpendicular anisotropy with elevating Ts(Ptbuf) might be ascribable.

  20. Atomic layer deposition for high-efficiency crystalline silicon solar cells

    NARCIS (Netherlands)

    Macco, B.; van de Loo, B.W.H.; Kessels, W.M.M.; Bachmann, J.

    2017-01-01

    This chapter illustrates that Atomic Layer Deposition (ALD) is in fact an enabler of novel high-efficiency Si solar cells, owing to its merits such as a high material quality, precise thickness control, and the ability to prepare film stacks in a well-controlled way. It gives an overview of the

  1. Atmospheric spatial atomic layer deposition of in-doped ZnO

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Roozeboom, F.; Poodt, P.

    2014-01-01

    Indium-doped zinc oxide (ZnO:In) has been grown by spatial atomic layer deposition at atmospheric pressure (spatial-ALD). Trimethyl indium (TMIn), diethyl zinc (DEZ) and deionized water have been used as In, Zn and O precursor, respectively. The metal content of the films is controlled in the range

  2. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  3. Hot wire chemical vapor deposition: limits and opportunities of protecting the tungsten catalyzer from silicide with a cavity

    International Nuclear Information System (INIS)

    Frigeri, P.A.; Nos, O.; Bengoechea, S.; Frevert, C.; Asensi, J.M.; Bertomeu, J.

    2009-01-01

    Hot Wire Chemical Vapor Deposition (HW-CVD) is one of the most promising techniques for depositing the intrinsic microcrystalline silicon layer for the production of micro-morph solar cells. However, the silicide formation at the colder ends of the tungsten wire drastically reduces the lifetime of the catalyzer, thus limiting its industrial exploitation. A simple but interesting strategy to decrease the silicide formation is to hide the electrical contacts of the catalyzer in a long narrow cavity which reduces the probability of the silane molecules to reach the colder ends of the wire. In this paper, the working mechanism of the cavity is elucidated. Measurements of the thickness profile of the silicon deposited in the internal walls of the cavity have been compared with those predicted using a simple diffusion model based on the assumption of Knudsen flow. A lifetime study of the protected and unprotected wires has been carried out. The different mechanisms which determine the deterioration of the catalyzer have been identified and discussed.

  4. Characterization and cytocompatibility of carbon layers prepared by photo-induced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Kubová, O.; Švorčík, V.; Heitz, J.; Moritz, S.; Romanin, C.; Matějka, P.; Macková, Anna

    2007-01-01

    Roč. 515, č. 17 (2007), s. 6765-6772 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LC06041 Institutional research plan: CEZ:AV0Z10480505 Keywords : Polytetrafluoroethylene * Carbon layer * CVD deposition * Layer properties * Cell proliferation Subject RIV: JJ - Other Materials Impact factor: 1.693, year: 2007

  5. Efficient hole-transporting layer MoO_3:CuI deposited by co-evaporation in organic photovoltaic cells

    International Nuclear Information System (INIS)

    Barkat, L.; Khelil, A.; Hssein, M.; El Jouad, Z.; Cattin, L.; Louarn, G.; Stephant, N.; Ghamnia, M.; Addou, M.; Morsli, M.; Bernede, J.C.

    2017-01-01

    In order to improve hole collection at the interface anode/electron donor in organic photovoltaic cells, it is necessary to insert a hole-transporting layer. CuI was shown to be a very efficient hole-transporting layer. However, its tendency to be quite rough tends to induce leakage currents and it is necessary to use a very slow deposition rate for CuI to avoid such negative effect. Herein, we show that the co-deposition of MoO_3 and CuI avoids this difficulty and allows deposition of a homogeneous efficient hole-collecting layer at an acceptable deposition rate. Via an XPS study, we show that blending MoO_3:CuI improves the hole collection efficiency through an increase of the gap state density. This increase is due to the formation of Mo"5"+ following interaction between MoO_3 and CuI. Not only does the co-evaporation process allow for decreasing significantly the deposition time of the hole-transporting layer, but also it increases the efficiency of the device based on the planar heterojunction, CuPc/C_6_0. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Comparative studies on damages to organic layer during the deposition of ITO films by various sputtering methods

    Science.gov (United States)

    Lei, Hao; Wang, Meihan; Hoshi, Yoichi; Uchida, Takayuki; Kobayashi, Shinichi; Sawada, Yutaka

    2013-11-01

    Aluminum (III) bis(2-methyl-8-quninolinato)-4-phenylphenolate (BAlq) was respectively bombarded and irradiated by Ar ions, oxygen ions, electron beam and ultraviolet light to confirm damages during the sputter-deposition of transparent conductive oxide (TCO) on organic layer. The degree of damage was evaluated by the photoluminescence (PL) spectra of BAlq. The results confirmed the oxygen ions led to a larger damage and were thought to play the double roles of bombardment to organic layer and reaction with organic layer as well. The comparative studies on PL spectra of BAlq after the deposition of TCO films by various sputtering systems, such as conventional magnetron sputtering (MS), low voltage sputtering (LVS) and kinetic-energy-control-deposition (KECD) system, facing target sputtering (FTS) were performed. Relative to MS, LVS and KECD system, FTS can completely suppress the bombardment of the secondary electrons and oxygen negative ions, and keep a higher deposition rate simultaneously, thus it is a good solution to attain a low-damage sputter-deposition.

  7. Comparative studies on damages to organic layer during the deposition of ITO films by various sputtering methods

    Energy Technology Data Exchange (ETDEWEB)

    Lei, Hao, E-mail: haolei@imr.ac.cn [State Key Laboratory for Corrosion and Protection, Division of Surface Engineering of Materials, Institute of Metal Research, Chinese Academy of Sciences, Shenyang 110016 (China); Wang, Meihan [College of Mechanical Engineering, Shenyang University, Shenyang 110044 (China); Hoshi, Yoichi; Uchida, Takayuki; Kobayashi, Shinichi; Sawada, Yutaka [Center for Hyper Media Research, Tokyo Polytechnic University, 1583 Iiyama, Atsugi, Kanagawa 243-0297 (Japan)

    2013-11-15

    Aluminum (III) bis(2-methyl-8-quninolinato)-4-phenylphenolate (BAlq) was respectively bombarded and irradiated by Ar ions, oxygen ions, electron beam and ultraviolet light to confirm damages during the sputter-deposition of transparent conductive oxide (TCO) on organic layer. The degree of damage was evaluated by the photoluminescence (PL) spectra of BAlq. The results confirmed the oxygen ions led to a larger damage and were thought to play the double roles of bombardment to organic layer and reaction with organic layer as well. The comparative studies on PL spectra of BAlq after the deposition of TCO films by various sputtering systems, such as conventional magnetron sputtering (MS), low voltage sputtering (LVS) and kinetic-energy-control-deposition (KECD) system, facing target sputtering (FTS) were performed. Relative to MS, LVS and KECD system, FTS can completely suppress the bombardment of the secondary electrons and oxygen negative ions, and keep a higher deposition rate simultaneously, thus it is a good solution to attain a low-damage sputter-deposition.

  8. Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Golnaz Karbasian

    2017-03-01

    Full Text Available Single electron transistors are nanoscale electron devices that require thin, high-quality tunnel barriers to operate and have potential applications in sensing, metrology and beyond-CMOS computing schemes. Given that atomic layer deposition is used to form CMOS gate stacks with low trap densities and excellent thickness control, it is well-suited as a technique to form a variety of tunnel barriers. This work is a review of our recent research on atomic layer deposition and post-fabrication treatments to fabricate metallic single electron transistors with a variety of metals and dielectrics.

  9. Atomic layer deposition of high-mobility hydrogen-doped zinc oxide

    NARCIS (Netherlands)

    Macco, B.; Knoops, H.C.M.; Verheijen, M.A.; Beyer, W.; Creatore, M.; Kessels, W.M.M.

    2017-01-01

    In this work, atomic layer deposition (ALD) has been employed to prepare high-mobility H-doped zinc oxide (ZnO:H) films. Hydrogen doping was achieved by interleaving the ZnO ALD cycles with H2 plasma treatments. It has been shown that doping with H2 plasma offers key advantages over traditional

  10. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  11. Layer-by-Layer Assembled Nanotubes as Biomimetic Nanoreactors for Calcium Carbonate Deposition.

    Science.gov (United States)

    He, Qiang; Möhwald, Helmuth; Li, Junbai

    2009-09-17

    Enzyme-loaded magnetic polyelectrolyte multilayer nanotubes prepared by layer-by-layer assembly combined with the porous template could be used as biomimetic nanoreactors. It is demonstrated that calcium carbonate can be biomimetically synthesized inside the cavities of the polyelectrolyte nanotubes by the catalysis of urease, and the size of the calcium carbonate precipitates was controlled by the cavity dimensions. The metastable structure of the calcium carbonate precipitates inside the nanotubes was protected by the outer shell of the polyelectrolyte multilayers. These features may allow polyelectrolyte nanotubes to be applied in the fields of nanomaterials synthesis, controlled release, and drug delivery. Copyright © 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Diluent changes the physicochemical and electrochemical properties of the electrophoretically-deposited layers of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Benko, Aleksandra, E-mail: akbenko@gmail.com [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, A. Mickiewicza 30 Ave., 30-059, Krakow (Poland); Nocuń, Marek [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, A. Mickiewicza 30 Ave., 30-059, Krakow (Poland); Berent, Katarzyna; Gajewska, Marta [AGH University of Science and Technology, Academic Centre for Materials and Nanotechnology, A. Mickiewicza 30 Ave, 30-059, Krakow (Poland); Klita, Łukasz; Wyrwa, Jan; Błażewicz, Marta [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, A. Mickiewicza 30 Ave., 30-059, Krakow (Poland)

    2017-05-01

    Highlights: • Different properties of the EPD-deposited CNTs layers may be altered by changing the applied solvent. • More conductive solvents guarantee higher values of the recorded current densities, increasing kinetics of the deposition and yielding layers of higher thicknesses. • In a less conductive, organic medium, mobility of the particles is reduced, allowing for optimal packing and densification of the CNTs layer. • Proper solvent selection in the EPD of CNTs may lead to obtainment of CNTs—substrate materials with conductivity that is superior to an unmodified substrate. - Abstract: Coating the material of choice with a layer of well-adhered carbon nanotubes is a subject of interest in many fields of materials science and industry. Electrophoretic deposition is one of the methods to handle this challenging task. In this process, careful designing of the deposition parameters is crucial in obtaining the product of strictly desired properties. This study was aimed to identify the influence of the diluent on the physicochemical ad electrochemical qualities of the final product. By analyzing the properties of the suspensions being used, we were able to hypothesize on the mechanisms of carbon nanotubes—liquid interactions and their outcome on the thickness, homogeneity, chemical and structural composition and electrical conductivity of the metal substrate covered with a layer of carbon nanotubes. We obtained a materials, composed of metal and a layer of CNTs, with conductivity that is superior to an unmodified metal. This types of materials may find numerous applications in fabrication of novel electronic devices, including the implantable electrodes for biomedicine—as reported in our previous studies, these types of coating are biocompatible.

  13. Investigation of Ni@CoO core-shell nanoparticle films synthesized by sequential layer deposition

    International Nuclear Information System (INIS)

    Spadaro, M.C.; Luches, P.; Benedetti, F.; Valeri, S.; Turchini, S.; Bertoni, G.; Ferretti, A.M.; Capetti, E.; Ponti, A.; D’Addato, S.

    2017-01-01

    Highlights: • We studied Ni/CoO core-shell nanoparticles (NP) obtained with a gas aggregation source. • The NP oxide shells were produced bye reactive deposition of Co in Oxygen atmosphere (p_O_2 ≈ 10"−"7 mbar). • XPS, SEM, STEM were used to obtain information on Ni chemical state and NP structure and morphology. • XMCD result showed evidence of remanent magnetization at room temperature. • We interpret XMCD results as due to stabilization induced by exchange bias due to AFM/FM coupling at the core/shell interface. - Abstract: Films of Ni@CoO core-shell nanoparticles (NP Ni core size d ≈ 11 nm) have been grown on Si/SiO_x and lacey carbon supports, by a sequential layer deposition method: a first layer of CoO was evaporated on the substrate, followed by the deposition of a layer of pre-formed, mass-selected Ni NPs, and finally an overlayer of CoO was added. The Ni NPs were formed by a magnetron gas aggregation source, and mass selected with a quadrupole mass filter. The morphology of the films was investigated with Scanning Electron Microscopy and Scanning Transmission Electron Microscopy. The Ni NP cores have a shape compatible with McKay icosahedron, caused by multitwinning occurring during their growth in the source, and the Ni NP layer shows the typical random paving growth mode. After the deposition of the CoO overlayer, CoO islands are observed, gradually extending and tending to merge with each other, with the formation of shells that enclose the Ni NP cores. In situ X-ray Photoelectron Spectroscopy showed that a few Ni atomic layers localized at the core-shell interface are oxidized, hinting at the possibility of creating an intermediate NiO shell between Ni and CoO, depending on the deposition conditions. Finally, X-ray Magnetic Circular Dichroism at the Ni L_2_,_3 absorption edge showed the presence of magnetization at room temperature even at remanence, revealing the possibility of magnetic stabilization of the NP film.

  14. Tritium as an indicator of the state of protection of ground water deposits

    International Nuclear Information System (INIS)

    Hebert, D.; Jordan, H.

    1992-01-01

    A concept for a quantitative assessment of the state of protection of groundwater deposits is presented. The following components of protection are combined: Geochemical degradation and fixation of pollutants, - retardation of pollutants, - dilution of pollutants in groundwater. It is shown that the dilution capacity of deposits - the volume index - can be assessed on the basis of tritium analyses. (orig.) [de

  15. Selenization of CIS and CIGS layers deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Babu, B. J.; Egaas, B.; Velumani, S.

    2018-03-21

    Cu(In1-xGax)Se2 (CIGS) thin films with x=0 (CIS) and x=0.3 (CIGS) were prepared on Mo-coated glass substrate by using chemical spray pyrolysis at a substrate temperature of 350 degrees C, followed by selenization treatment at 550 degrees C in selenium environment under N2 gas flow. X-ray diffraction patterns of as-deposited CIGS layers on Mo showed polycrystalline chalcopyrite phase with an intense (112) plane. Splitting of (204)/(220) and (116)/(312) planes for the film with x=0.3 reveals deviation of tetragonal nature. Field emission scanning electron microscopy cross-sectional images of selenized films showed clear re-crystallization of grains. During the selenization process of the CIGS absorber, a thin interface layer of MoSe2 is formed. Line mapping of Mo/CIGS layer showed more gallium segregation at the interface of back contact resulting in band gap grading. Chemical composition and mapping of the as-deposited and selenized samples were determined by energy dispersive analysis of X-rays. This work leads to fabrication of low cost and large scale Mo/CIGS/CdS/ZnO/ZnO:Al device structure.

  16. Investigation of the electrochemical deposition of thick layers of cadmium telluride

    International Nuclear Information System (INIS)

    Rousset, J.

    2007-04-01

    This research thesis deals with the problem of electrochemical deposition of thick layers of cadmium telluride (CdTe) meeting the requirements of high energy radiation detection. The author first recalls the physicochemical properties of CdTe and the basic principles of radiology. He details the different criteria which define a material for X ray detection. He describes the experimental conditions, the nature and preparation of substrates, and the different electrochemical systems used in this research. He studies the impact of the applied potential on the material properties, and compares previously obtained results available in the literature with those obtained in the chosen pool conditions. He discusses the synthesis of CdTe thick layers for which different methods are tested: static in potential, static in intensity, pulsed. The coatings obtained with a given potential and then with a given current are investigated. Finally, the influence of a thermal treatment in presence or absence of a sintering agent on the morphology, the chemical composition, and the crystalline and electric properties of the deposited material is discussed, and the results of the behaviour under X rays of a electrodeposited layer are presented

  17. Plasma-Assisted Deposition of Au/SiO2 Multi-layers as Surface Plasmon Resonance-Based Red-Colored Coatings

    NARCIS (Netherlands)

    Beyene, H. T.; Tichelaar, F. D.; Verheijen, M. A.; M. C. M. van de Sanden,; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  18. Plasma assisted deposition of Au/SiO2 multi-layers as surface plasmon resonance-based red colored coatings

    NARCIS (Netherlands)

    Takele Beyene, H.T.; Tichelaar, F.D.; Verheijen, M.A.; Sanden, van de M.C.M.; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  19. Barrier properties of plastic films coated with an Al{sub 2}O{sub 3} layer by roll-to-toll atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hirvikorpi, Terhi, E-mail: Terhi.Hirvikorpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Laine, Risto, E-mail: Risto.Laine@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vähä-Nissi, Mika, E-mail: Mika.Vaha-Nissi@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kilpi, Väinö, E-mail: Vaino.Kilpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Salo, Erkki, E-mail: Erkki.Salo@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Li, Wei-Min, E-mail: Wei-Min.Li@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Lindfors, Sven, E-mail: Sven.Lindfors@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vartiainen, Jari, E-mail: Jari.Vartiainen@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kenttä, Eija, E-mail: Eija.Kentta@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Nikkola, Juha, E-mail: Juha.Nikkola@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1300, FI-33101 Tampere (Finland); Harlin, Ali, E-mail: Ali.Harlin@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kostamo, Juhana, E-mail: Juhana.Kostamo@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland)

    2014-01-01

    Thin (30–40 nm) and highly uniform Al{sub 2}O{sub 3} coatings have been deposited at relatively low temperature of 100 °C onto various polymeric materials employing the atomic layer deposition (ALD) technique, both batch and roll-to-roll (R2R) mode. The applications for ALD have long been limited those feasible for batch processing. The work demonstrates that R2R ALD can deposit thin films with properties that are comparable to the film properties fabricated by in batch. This accelerates considerably the commercialization of many products, such as flexible, printed electronics, organic light-emitting diode lighting, third generation thin film photovoltaic devices, high energy density thin film batteries, smart textiles, organic sensors, organic/recyclable packaging materials, and flexible displays, to name a few. - Highlights: • Thin and uniform Al{sub 2}O{sub 3} coatings have been deposited onto polymers materials. • Batch and roll-to-roll (R2R) atomic layer deposition (ALD) have been employed. • Deposition with either process improved the barrier properties. • Sensitivity of coated films to defects affects barrier obtained with R2R ALD.

  20. Solar cell of 6.3% efficiency employing high deposition rate (8 nm/s) microcrystalline silicon photovoltaic layer

    Energy Technology Data Exchange (ETDEWEB)

    Sobajima, Yasushi; Nishino, Mitsutoshi; Fukumori, Taiga; Kurihara, Masanori; Higuchi, Takuya; Nakano, Shinya; Toyama, Toshihiko; Okamoto, Hiroaki [Department of Systems Innovation, Graduate School of Engineering Science, Osaka University, Toyonaka, Machikaneyama-cho 1-3, Osaka 560-8531 (Japan)

    2009-06-15

    Microcrystalline silicon ({mu}c-Si) films deposited at high growth rates up to 8.1 nm/s prepared by very-high-frequency-plasma-enhanced chemical vapor deposition (VHF-PECVD) at 18-24 Torr have been investigated. The relation between the deposition rates and input power revealed the depletion of silane. Under high-pressure deposition (HPD) conditions, the structural properties were improved. Furthermore, applying {mu}c-Si to n-i-p solar cells, short-circuit current density (J{sub SC}) was increased in accordance with the improvement of microstructure of i-layer. As a result, a conversion efficiency of 6.30% has been achieved employing the i-layer deposited at 8.1 nm/s under the HPD conditions. (author)

  1. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  2. Deuterium trapping in the carbon-silicon co-deposition layers prepared by RF sputtering in D2 atmosphere

    Science.gov (United States)

    Zhang, Hongliang; Zhang, Weiyuan; Su, Ranran; Tu, Hanjun; Shi, Liqun; Hu, Jiansheng

    2018-04-01

    Deuterated carbon-silicon layers co-deposited on graphite and silicon substrates by radio frequency magnetron sputtering in pure D2 plasma were produced to study deuterium trapping and characteristics of the C-Si layers. The C-Si co-deposited layers were examined by ion beam analysis (IBA), Raman spectroscopy (RS), infrared absorption (IR) spectroscopy, thermal desorption spectroscopy (TDS) and scanning electron microscopy (SEM). It was found that the growth rate of the C-Si co-deposition layer decreased with increasing temperature from 350 K to 800 K, the D concentration and C/Si ratios increased differently on graphite and silicon substrates. TDS shows that D desorption is mainly as D2, HD, HDO, CD4, and C2D4 and release peaks occurred at temperatures of less than 900 K. RS and IR analysis reveal that the structure of the C-Si layers became more disordered with increasing temperatures. Rounded areas of peeling with 1-2 μm diameters were observed on the surface.

  3. Electrocatalytic activity of atomic layer deposited Pt-Ru catalysts onto N-doped carbon nanotubes

    NARCIS (Netherlands)

    Johansson, A.-C.; Larsen, J.V.; Verheijen, M.A.; Haugshøj, K.B.; Clausen, H.; Kessels, W.M.M.; Christensen, L.H.; Thomsen, E.V.

    2014-01-01

    Pt-Ru catalysts of various compositions, between 0 and 100 at.% of Ru, were deposited onto N-doped multi-walled carbon nanotubes (N-CNTs) by atomic layer deposition (ALD) at 250 C. The Pt and Ru precursors were trimethyl(methylcyclopentadienyl)platinum (MeCpPtMe3) and

  4. Electrochemical preparation of MoO{sub 3} buffer layer deposited onto the anode in organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Gacitua, M.; Soto, G.; Valle, M.A. del [Pontificia Universidad Catolica de Chile, Facultad de Quimica, Laboratorio de Electroquimica de Polimeros (LEP), Santiago (Chile); Boutaleb, Y.; Rehamnia, R. [Laboratoire d' Electrochimie, Universite Badji Mokhtar, Annaba (Algeria); Cattin, L.; Louarn, G. [Universite de Nantes, Nantes Atlantique Universites, Institut des Materiaux Jean Rouxel (IMN)-CNRS, Faculte des Sciences et Techniques, Nantes (France); Abe, S.Y. [Laboratoire de Physique de la Matiere Condensee et de Technologie (LPMCT), Universite de Cocody (Ivory Coast); Lare, Y. [Laboratoire d' Energie Solaire, Universite de Lome, Lome (Togo); Morsli, M; Bernede, J.C. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, Nantes (France); Drici, A. [LEREC Departement de physique, Universite Badji Mokhtar, Annaba (Algeria)

    2010-08-15

    In this work the authors have studied the advantages of using electrochemically deposited molybdenum oxide as a buffer layer in an organic bilayer heterojunction solar cell arrangement. Furthermore, it has been probed that electrochemistry provides an alternative low cost, reproducible and less laborious method to prepare thin layered deposits. The precursor solution is composed by a concentrated molybdic acid solution in a sulphuric media in order to ensure the obtainment of low reduced molybdenum species. Therefore, by means of potentiostatic techniques, ITO/molybdenum oxide transparent anodes were tested for the photovoltaic device showing improved surface properties. XDR and AFM techniques were used to characterize the morphology of the deposits. The films with optimum thickness (5 nm) are amorphous. XPS analysis indicates that the best results in solar cell performance are in hand with a heterogeneous composition of the molybdenum oxide film presenting Mo{sup V} and Mo{sup VI} as predominant species. The MoO{sub 3} films deposited by cyclic voltammetry are not as homogeneous as those deposited by potentiostatic technique and only Mo{sup VI} species are present. These differences may justify the different behaviour of the solar cells using these different buffer layers. Only buffer layers deposited by potentiostatic technique allow improving the cells performances in the same way than those achieved by evaporation. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  5. Atomic-layer deposited passivation schemes for c-Si solar cells

    NARCIS (Netherlands)

    van de Loo, B.W.H.; Macco, B.; Melskens, J.; Verheijen, M.A.; Kessels, W.M.M.E.

    2016-01-01

    A review of recent developments in the field of passivation of c-Si surfaces is presented, with a particular focus on materials that can be prepared by atomic layer deposition (ALD). Besides Al2O3, various other novel passivation schemes have recently been developed, such as Ga2O3, Ta2O5,

  6. Pulsed laser deposition of YBCO coated conductor using Y2O3 as the seed and cap layer

    International Nuclear Information System (INIS)

    Barnes, P N; Nekkanti, R M; Haugan, T J; Campbell, T A; Yust, N A; Evans, J M

    2004-01-01

    Although a variety of buffer layers have been routinely reported, a standard architecture commonly used for the Y Ba 2 Cu 3 O 7-x (YBCO) coated conductor is Y BCO/CeO 2 /Y SZ/CeO 2 /substrate or Y BCO/CeO 2 /Y SZ/Y 2 O 3 /substrate where ceria is typically the cap layer. CeO 2 is generally used as only a seed (or cap layer) since cracking within the film occurs in thicker CeO 2 layers due to the stress of lattice mismatching. Y 2 O 3 has been proposed as a seed and as a cap layer but usually not for both in a given architecture, especially with all layers deposited in situ. Yttrium oxide films grown on nickel by electron beam evaporation processes were found to be dense and crack free with good epitaxy. In this report, pulsed laser deposition (PLD) of Y 2 O 3 is given where Y 2 O 3 serves as both the seed and cap layer in the YBCO architecture. A comparison to PLD CeO 2 is provided. Deposited layers of the YBCO coated conductor are also grown by laser ablation. Initial deposition resulted in specimens on textured Ni substrates with current densities of more than 1 MA cm -2 at 77 K, self-field

  7. Atomic layer deposition of superparamagnetic and ferrimagnetic magnetite thin films

    International Nuclear Information System (INIS)

    Zhang, Yijun; Liu, Ming; Ren, Wei; Zhang, Yuepeng; Chen, Xing; Ye, Zuo-Guang

    2015-01-01

    One of the key challenges in realizing superparamagnetism in magnetic thin films lies in finding a low-energy growth way to create sufficiently small grains and magnetic domains which allow the magnetization to randomly and rapidly reverse. In this work, well-defined superparamagnetic and ferrimagnetic Fe 3 O 4 thin films are successfully prepared using atomic layer deposition technique by finely controlling the growth condition and post-annealing process. As-grown Fe 3 O 4 thin films exhibit a conformal surface and poly-crystalline nature with an average grain size of 7 nm, resulting in a superparamagnetic behavior with a blocking temperature of 210 K. After post-annealing in H 2 /Ar at 400 °C, the as-grown α−Fe 2 O 3 sample is reduced to Fe 3 O 4 phase, exhibiting a ferrimagnetic ordering and distinct magnetic shape anisotropy. Atomic layer deposition of magnetite thin films with well-controlled morphology and magnetic properties provides great opportunities for integrating with other order parameters to realize magnetic nano-devices with potential applications in spintronics, electronics, and bio-applications

  8. Changes in wetting and energetic properties of glass caused by deposition of different lipid layers

    Energy Technology Data Exchange (ETDEWEB)

    Golabek, Monika [Department of Physical Chemistry - Interfacial Phenomena, Faculty of Chemistry, Maria-Curie Sklodowska University, 20-031 Lublin (Poland); Holysz, Lucyna, E-mail: lucyna.holysz@poczta.umcs.lublin.pl [Department of Physical Chemistry - Interfacial Phenomena, Faculty of Chemistry, Maria-Curie Sklodowska University, 20-031 Lublin (Poland)

    2010-06-15

    An investigation of wetting and energetic properties of different lipid layers deposited on the glass surface was carried out by contact angles measurements and determination of the apparent surface free energy. The topography of the lipid layers was also determined with the help of atomic force microscopy (AFM). Two synthetic phospholipids were chosen for these studies, having the same phosphatidylcholine headgroup bound to the apolar part composed either by two saturated chains (1,2-dipalmitoyl-sn-glycero-3-phospshocholine - DPPC) or two unsaturated chains (1,2-dioleoyl-sn-glycero-3-phosphocholine - DOPC) and one lipid (1,2,3-trihexadecanoyl-sn-glycerol - tripalmitoylglycerol - TPG). The lipid layers, from the 1st to the 5th statistical monolayer, were deposited on the glass surface from chloroform solutions by spreading. The apparent surface free energy of the deposited layers was determined by contact angles measurements (advancing and receding) for three probe liquids (diiodomethane, water, and formamide), and then two concepts of interfacial interactions were applied. In the contact angle hysteresis approach (CAH) the apparent total surface free energy was calculated from the advancing and receding contact angles and surface tension of probe liquids. In the Lifshitz-van der Waals/acid-base approach (LWAB) the total surface free energy was calculated from the determined components of the energy, which were obtained from the advancing contact angles of the probe liquids only. Comparison of the results obtained by two approaches provided more information about the changes in the hydrophobicity/hydrophilicity of the layers depending on the number of monolayers and kind of the lipid deposited on the glass surface. It was found that the most visible changes in the surface free energy took place for the first two statistical monolayers irrespectively of the kind of the lipid used. Additionally, in all cases periodic oscillations from layer-to-layer in the lipid

  9. Improvement of carrier transport and luminous efficiency of organic light emitting diodes by introducing a co-deposited active layer

    Energy Technology Data Exchange (ETDEWEB)

    Ohtani, Naoki; Murata, Masaya; Kashiwabara, Keiichiro; Kurata, Kazunori, E-mail: ohtani@mail.doshisha.ac.j [Department of Electronics, Doshisha University, 3-1 Tatara-Miyakodani, Kyotanabe-shi, Kyoto 610-0321 (Japan)

    2009-11-15

    We evaluated carrier transport and luminous efficiency of organic light-emitting diodes (OLEDs) whose active regions consist of a single co-deposited layer. One organic material is a hole transport material N,N'-Bis(3-methylphenyl)-N,N'-diphenylbenzidine (TPD), while the other is an electron transport/emissive material Tris(8-hydroxyquinolinato)-aluminum (Alq3). It was found that the luminous efficiency strongly depends on the thickness and the ratio of the TPD:Alq3 co-deposited layer. This indicates that the carrier balance in the active region can be improved by changing the co-deposited layers. In addition, we performed the dye-doping method to clarify the recombination region. As a result, we found that the radiative recombination is caused in the whole TPD:Alq3 co-deposited layer.

  10. TiO{sub 2} nanofiber solid-state dye sensitized solar cells with thin TiO{sub 2} hole blocking layer prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jinwei; Chen, Xi; Xu, Weihe [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Nam, Chang-Yong, E-mail: cynam@bnl.gov [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY 11973 (United States); Shi, Yong, E-mail: Yong.Shi@stevens.edu [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States)

    2013-06-01

    We incorporated a thin but structurally dense TiO{sub 2} layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO{sub 2} nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO{sub 2} layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO{sub 2} precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO{sub 2} layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO{sub 2} blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO{sub 2} layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime.

  11. The Corrosion Protection of Metals by Ion Vapor Deposited Aluminum

    Science.gov (United States)

    Danford, M. D.

    1993-01-01

    A study of the corrosion protection of substrate metals by ion vapor deposited aluminum (IVD Al) coats has been carried out. Corrosion protection by both anodized and unanodized IVD Al coats has been investigated. Base metals included in the study were 2219-T87 Al, 7075-T6 Al, Titanium-6 Al-4 Vanadium (Ti-6Al-4V), 4130 steel, D6AC steel, and 4340 steel. Results reveal that the anodized IVD Al coats provide excellent corrosion protection, but good protection is also achieved by IVD Al coats that have not been anodized.

  12. Monitoring of Soft Deposition Layers in Liquid-Filled Tubes with Guided Acoustic Waves Excited by Clamp-on Transducers.

    Science.gov (United States)

    Tietze, Sabrina; Singer, Ferdinand; Lasota, Sandra; Ebert, Sandra; Landskron, Johannes; Schwuchow, Katrin; Drese, Klaus Stefan; Lindner, Gerhard

    2018-02-09

    The monitoring of liquid-filled tubes with respect to the formation of soft deposition layers such as biofilms on the inner walls calls for non-invasive and long-term stable sensors, which can be attached to existing pipe structures. For this task a method is developed, which uses an ultrasonic clamp-on device. This method is based on the impact of such deposition layers on the propagation of circumferential guided waves on the pipe wall. Such waves are partly converted into longitudinal compressional waves in the liquid, which are back-converted to guided waves in a circular cross section of the pipe. Validating this approach, laboratory experiments with gelatin deposition layers on steel tubes exhibited a distinguishable sensitivity of both wave branches with respect to the thickness of such layers. This allows the monitoring of the layer growth.

  13. Sol-gel deposition of buffer layers on biaxially textured metal substances

    Science.gov (United States)

    Shoup, Shara S.; Paranthamam, Mariappan; Beach, David B.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    A method is disclosed for forming a biaxially textured buffer layer on a biaxially oriented metal substrate by using a sol-gel coating technique followed by pyrolyzing/annealing in a reducing atmosphere. This method is advantageous for providing substrates for depositing electronically active materials thereon.

  14. Numerical modelling of the erosion and deposition of sand inside a filter layer

    DEFF Research Database (Denmark)

    Jacobsen, Niels Gjøl; van Gent, Marcel R. A.; Fredsøe, Jørgen

    2017-01-01

    This paper treats the numerical modelling of the behaviour of a sand core covered by rocks and exposed to waves. The associated displacement of the rock is also studied. A design that allows for erosion and deposition of the sand core beneath a rock layer in a coastal structure requires an accurate...... prediction method to assure that the amount of erosion remains within acceptable limits. This work presents a numerical model that is capable of describing the erosion and deposition patterns inside of an open filter of rock on top of sand. The hydraulic loading is that of incident irregular waves...... and the open filters are surface piercing. Due to the few experimental data sets on sediment transport inside of rock layers, a sediment transport formulation has been proposed based on a matching between the numerical model and experimental data on the profile deformation inside an open filter. The rock layer...

  15. Atomic Layer Deposition Re Ective Coatings For Future Astronomical Space Telescopes And The Solar Corona Viewed Through The Minxss (Miniature X-Ray Solar Spectrometer) Cubesats

    Science.gov (United States)

    Moore, Christopher Samuel

    2017-11-01

    Advances in technology and instrumentation open new windows for observing astrophysical objects. The first half of my dissertation involves the development of atomic layer deposition (ALD) coatings to create high reflectivity UV mirrors for future satellite astronomical telescopes. Aluminum (Al) has intrinsic reflectance greater than 80% from 90 – 2,000 nm, but develops a native aluminum oxide (Al2O3) layer upon exposure to air that readily absorbs light below 250 nm. Thus, Al based UV mirrors must be protected by a transmissive overcoat. Traditionally, metal-fluoride overcoats such as MgF2 and LiF are used to mitigate oxidation but with caveats. We utilize a new metal fluoride (AlF3) to protect Al mirrors deposited by ALD. ALD allows for precise thickness control, conformal and near stoichiometric thin films. We prove that depositing ultra-thin ( 3 nm) ALD ALF3 to protect Al mirrors after removing the native oxide layer via atomic layer etching (ALE) enhances the reflectance near 90 nm from 5% to 30%.X-ray detector technology with high readout rates are necessary for the relatively bright Sun, particularly during large flares. The hot plasma in the solar corona generates X-rays, which yield information on the physical conditions of the plasma. The second half of my dissertation includes detector testing, characterization and solar science with the Miniature X-ray Solar Spectrometer (MinXSS) CubeSats. The MinXSS CubeSats employ Silicon Drift Diode (SDD) detectors called X123, which generate full sun spectrally resolved ( 0.15 FWHM at 5.9 keV) measurements of the sparsely measured, 0.5 – 12 keV range. The absolute radiometric calibration of the MinXSS instrument suite was performed at the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF) and spectral resolution determined from radioactive sources. I used MinXSS along with data from the Geostationary Operational Environmental Satellites (GOES), Reuven Ramaty

  16. Layer-selective synthesis of bilayer graphene via chemical vapor deposition

    Science.gov (United States)

    Yang, Ning; Choi, Kyoungjun; Robertson, John; Park, Hyung Gyu

    2017-09-01

    A controlled synthesis of high-quality AB-stacked bilayer graphene by chemical vapor deposition demands a detailed understanding of the mechanism and kinetics. By decoupling the growth of the two layers via a growth-and-regrowth scheme, we report the kinetics and termination mechanisms of the bilayer graphene growth on copper. We observe, for the first time, that the secondary layer growth follows Gompertzian kinetics. Our observations affirm the postulate of a time-variant transition from a mass-transport-limited to a reaction-limited regimes and identify the mechanistic disparity between the monolayer growth and the secondary-layer expansion underneath the monolayer cover. It is the continuous carbon supply that drives the expansion of the graphene secondary layer, rather than the initially captured carbon amount, suggesting an essential role of the surface diffusion of reactant adsorbates in the interspace between the top graphene layer and the underneath copper surface. We anticipate that the layer selectivity of the growth relies on the entrance energetics of the adsorbed reactants to the graphene-copper interspace across the primary-layer edge, which could be engineered by tailoring the edge termination state. The temperature-reliant saturation area of the secondary-layer expansion is understood as a result of competitive attachment of carbon and hydrogen adatoms to the secondary-layer graphene edge.

  17. Methods for using atomic layer deposition to produce a film for solid state electrolytes and protective electrode coatings for lithium batteries

    Science.gov (United States)

    Elam, Jeffrey W.; Meng, Xiangbo

    2018-03-13

    A method for using atomic layer deposition to produce a film configured for use in an anode, cathode, or solid state electrolyte of a lithium-ion battery or a lithium-sulfur battery. The method includes repeating a cycle for a predetermined number of times in an inert atmosphere. The cycle includes exposing a substrate to a first precursor, purging the substrate with inert gas, exposing the substrate to a second precursor, and purging the substrate with inert gas. The film is a metal sulfide.

  18. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  19. Inorganic-organic hybrid coatings on stainless steel by layer-by-layer deposition and surface-initiated atom-transfer-radical polymerization for combating biocorrosion.

    Science.gov (United States)

    Yuan, S J; Pehkonen, S O; Ting, Y P; Neoh, K G; Kang, E T

    2009-03-01

    To improve the biocorrosion resistance of stainless steel (SS) and to confer the bactericidal function on its surface for inhibiting bacterial adhesion and biofilm formation, well-defined inorganic-organic hybrid coatings, consisting of the inner compact titanium oxide multilayers and outer dense poly(vinyl-N-hexylpyridinium) brushes, were successfully developed. Nanostructured titanium oxide multilayer coatings were first built up on the SS substrates via the layer-by-layer sol-gel deposition process. The trichlorosilane coupling agent, containing the alkyl halide atom-transfer-radical polymerization (ATRP) initiator, was subsequently immobilized on the titanium oxide coatings for surface-initiated ATRP of 4-vinylpyridine (4VP). The pyridium nitrogen moieties of the covalently immobilized 4VP polymer, or P(4VP), brushes were quaternized with hexyl bromide to produce a high concentration of quaternary ammonium salt on the SS surfaces. The excellent antibacterial efficiency of the grafted polycations, poly(vinyl-N-pyridinium bromide), was revealed by viable cell counts and atomic force microscopy images of the surface. The effectiveness of the hybrid coatings in corrosion protection was verified by the Tafel plot and electrochemical impedance spectroscopy measurements.

  20. Tribological improvements of carbon-carbon composites by infiltration of atomic layer deposited lubricious nanostructured ceramic oxides

    Science.gov (United States)

    Mohseni, Hamidreza

    A number of investigators have reported enhancement in oxidation and wear resistant of carbon-carbon composites (CCC) in the presence of protective coating layers. However, application of a surface and subsurface coating system that can preserve its oxidation and wear resistance along with maintaining lubricity at high temperature remains unsolved. To this end, thermodynamically stable protective oxides (ZnO/Al2O3/ZrO2) have been deposited by atomic layer deposition (ALD) to infiltrate porous CCC and graphite foams in order to improve the thermal stability and wear resistance in low and high speed sliding contacts. Characterization of microstructural evolution was achieved by using energy dispersive x-ray spectroscopy (EDS) mapping in scanning electron microscope (SEM) coupled with focused ion beam (FIB), x-ray tomography, high resolution transmission electron microscopy (HRTEM), scanning transmission electron microscopy (STEM) and X-ray diffraction (XRD). Evaluation of the tribological properties of CCC coated with abovementioned ALD thin films were performed by employing low speed pure sliding tribometer and a high speed/frequency reciprocating rig to simulate the fretting wear behavior at ambient temperature and elevated temperatures of 400°C. It was determined with x-ray tomography imaging and EDS mapping that ALD ZnO/Al2O3/ZrO2 nanolaminates and baseline ZrO2 coatings exhibited excellent conformality and pore-filling capabilities down to ˜100 microm and 1.5 mm in the porous CCC and graphite foam, respectively, which were dependent on the exposure time of the ALD precursors. XRD and HRTEM determined the crystalline phases of {0002} textured ZnO (wurtzite), amorphous Al2O3, and {101}-tetragonal ZrO2. Significant improvements up to ˜65% in the sliding and fretting wear factors were determined for the nanolaminates in comparison to the uncoated CCC. A tribochemical sliding-induced mechanically mixed layer (MML) was found to be responsible for these improvements

  1. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    Science.gov (United States)

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer ...Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition Report Term: 0-Other Email: pcappillino... Layer Electroless Deposition (ALED, Figure 1) is the ability to tune growth mechanism, hence growth morphology, by altering conditions. In this

  2. Effect of hydrogen on passivation quality of SiNx/Si-rich SiNx stacked layers deposited by catalytic chemical vapor deposition on c-Si wafers

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2015-01-01

    We investigate the role of hydrogen content and fixed charges of catalytic chemical vapor deposited (Cat-CVD) SiN x /Si-rich SiN x stacked layers on the quality of crystalline silicon (c-Si) surface passivation. Calculated density of fixed charges is on the order of 10 12 cm −2 , which is high enough for effective field effect passivation. Hydrogen content in the films is also found to contribute significantly to improvement in passivation quality of the stacked layers. Furthermore, Si-rich SiN x films deposited with H 2 dilution show better passivation quality of SiN x /Si-rich SiN x stacked layers than those prepared without H 2 dilution. Effective minority carrier lifetime (τ eff ) in c-Si passivated by SiN x /Si-rich SiN x stacked layers is as high as 5.1 ms when H 2 is added during Si-rich SiN x deposition, which is much higher than the case of using Si-rich SiN x films prepared without H 2 dilution showing τ eff of 3.3 ms. - Highlights: • Passivation mechanism of Si-rich SiN x /SiN x stacked layers is investigated. • H atoms play important role in passivation quality of the stacked layer. • Addition of H 2 gas during Si-rich SiN x film deposition greatly enhances effective minority carrier lifetime (τ eff ). • For a Si-rich SiN x film with refractive index of 2.92, τ eff improves from 3.3 to 5.1 ms by H 2 addition

  3. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  4. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  5. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization.

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M

    2018-05-04

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO 2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al 2 O 3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  6. Development and application of a green-chemistry solution deposition technique for buffer layer coating on cube-textured metal substrates in view of further deposition of rare-earth based superconductors

    DEFF Research Database (Denmark)

    Pallewatta, Pallewatta G A P

    which consist of YBCO superconducting coatings on cube-textured Ni based alloy tapes.  Before the epitaxial deposition this superconducting layer, a buffer layer is applied on the metal substrate as a diffusion barrier which is also required to transfer the strong texture of the underlying substrate......, allowing the epitaxial growth of the superconducting layer. State-of-the-art coated conductor hetero structures are mainly based on CeO2 based buffer stacks that consist of a sequence of several different buffer layers. Buffer layers deposited by continuous chemical deposition techniques, which...... is expected to be very advantageous in reel-to-reel applications. The thickness of these SrTiO3 monolayers was large enough to stop the nickel and copper diffusion from the Cu/Ni substrate. Hence, the developed high quality buffer layers are expected to be acting as efficient diffusion barriers and also...

  7. Stratigraphy of the north polar layered deposits of Mars from high-resolution topography

    Science.gov (United States)

    Becerra, Patricio; Byrne, Shane; Sori, Michael M.; Sutton, Sarah; Herkenhoff, Kenneth E.

    2016-01-01

    The stratigraphy of the layered deposits of the polar regions of Mars is theorized to contain a record of recent climate change linked to insolation changes driven by variations in the planet's orbital and rotational parameters. In order to confidently link stratigraphic signals to insolation periodicities, a description of the stratigraphy is required based on quantities that directly relate to intrinsic properties of the layers. We use stereo Digital Terrain Models (DTMs) from the High Resolution Imaging Science Experiment (HiRISE) to derive a characteristic of North Polar Layered Deposits (NPLD) strata that can be correlated over large distances: the topographic protrusion of layers exposed in troughs, which is a proxy for the layers’ resistance to erosion. Using a combination of image analysis and a signal-matching algorithm to correlate continuous depth-protrusion signals taken from DTMs at different locations, we construct a stratigraphic column that describes the upper ~500 m of at least 7% of the area of the NPLD, and find accumulation rates that vary by factors of up to two. We find that, when coupled with observations of exposed layers in orbital images, the topographic expression of the strata is consistently continuous through large distances in the top 300 – 500 m of the NPLD, suggesting it is better related to intrinsic layer properties than brightness alone.

  8. Effect of layer-by-layer polyelectrolyte method on encapsulation of vanillin.

    Science.gov (United States)

    Noshad, Mohammad; Mohebbi, Mohebbat; Shahidi, Fakhri; Koocheki, Arash

    2015-11-01

    The objective of this work was to microencapsulate vanillin by multilayer emulsion followed by spray drying, aiming to protect it and control its release. An electrostatic layer-by-layer deposition method was used to create the multilayered interfacial membranes around microcapsules with different compositions: (i) one-layer (soy protein isolate); (ii) two-layer (soy protein isolate - OSA starch); (iii) three-layer (soy protein isolate - OSA starch - Chitosan). The morphology of the microcapsules was analyzed by scanning electronic microscopy. The hygroscopicity, solubility, particle size, encapsulation efficiency, Fourier transform infrared spectroscopy and release into water (37°C and 80°C) were also examined. FTIR confirmed the interaction between the wall materials. All microcapsules were not very water-soluble or hygroscopic while three-layer microcapsules compared to one and two layer microcapsules have lower moisture content and predominantly shriveled surfaces. The results indicated it was possible to encapsulate vanillin with the techniques employed and that these protected the vanillin even at 80°C. The reduced solubility and low release rates indicated the enormous potential of the vehicle developed in controlling the release of the vanillin into the food and pharmaceuticals. Copyright © 2015 Elsevier B.V. All rights reserved.

  9. Atmospheric spatial atomic-layer-deposition of Zn(O, S) buffer layer for flexible Cu(In, Ga)Se2 solar cells: From lab-scale to large area roll to roll processing

    NARCIS (Netherlands)

    Frijters, C.H.; Bolt, P.J.; Poodt, P.W.G.; Knaapen, R.; Brink, J. van den; Ruth, M.; Bremaud, D.; Illiberi, A.

    2016-01-01

    In this manuscript we present the first successful application of a spatial atomic-layer-deposition process to thin film solar cells. Zn(O,S) has been grown by spatial atomic layer deposition (S-ALD) at atmospheric pressure and applied as buffer layer in rigid and flexible CIGS cells by a lab-scale

  10. Efficient hole-transporting layer MoO{sub 3}:CuI deposited by co-evaporation in organic photovoltaic cells

    Energy Technology Data Exchange (ETDEWEB)

    Barkat, L.; Khelil, A. [Universite d' Oran 1 - Ahmed Ben Bella, LPCM2E, Oran (Algeria); Hssein, M. [Universite de Nantes, Institut des Materiaux Jean Rouxel (IMN), CNRS, UMR 6502, Nantes (France); Laboratoire Optoelectronique et Physico-chimie des Materiaux, Universite Ibn Tofail, Faculte des Sciences, Kenitra (Morocco); El Jouad, Z. [Laboratoire Optoelectronique et Physico-chimie des Materiaux, Universite Ibn Tofail, Faculte des Sciences, Kenitra (Morocco); Universite de Nantes, MOLTECH-Anjou, CNRS, UMR 6200, Nantes (France); Cattin, L.; Louarn, G.; Stephant, N. [Universite de Nantes, Institut des Materiaux Jean Rouxel (IMN), CNRS, UMR 6502, Nantes (France); Ghamnia, M. [Universite d' Oran 1 - Ahmed Ben Bella, Laboratoire des Sciences de la Matiere Condensee (LSMC), Oran (Algeria); Addou, M. [Laboratoire Optoelectronique et Physico-chimie des Materiaux, Universite Ibn Tofail, Faculte des Sciences, Kenitra (Morocco); Morsli, M. [Universite de Nantes, Faculte des Sciences et des Techniques, Nantes (France); Bernede, J.C. [Universite de Nantes, MOLTECH-Anjou, CNRS, UMR 6200, Nantes (France)

    2017-01-15

    In order to improve hole collection at the interface anode/electron donor in organic photovoltaic cells, it is necessary to insert a hole-transporting layer. CuI was shown to be a very efficient hole-transporting layer. However, its tendency to be quite rough tends to induce leakage currents and it is necessary to use a very slow deposition rate for CuI to avoid such negative effect. Herein, we show that the co-deposition of MoO{sub 3} and CuI avoids this difficulty and allows deposition of a homogeneous efficient hole-collecting layer at an acceptable deposition rate. Via an XPS study, we show that blending MoO{sub 3}:CuI improves the hole collection efficiency through an increase of the gap state density. This increase is due to the formation of Mo{sup 5+} following interaction between MoO{sub 3} and CuI. Not only does the co-evaporation process allow for decreasing significantly the deposition time of the hole-transporting layer, but also it increases the efficiency of the device based on the planar heterojunction, CuPc/C{sub 60}. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2017-02-24

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications. The SnO2/MXene anode exploits the high Li-ion capacity offered by SnO2, while maintaining the structural and mechanical integrity by the conductive MXene platform. The atomic layer deposition (ALD) conditions used to deposit SnO2 on MXene terminated with oxygen, fluorine, and hydroxyl-groups were found to be critical for preventing MXene degradation during ALD. We demonstrate that SnO2/MXene electrodes exhibit excellent electrochemical performance as Li-ion battery anodes, where conductive MXene sheets act to buffer the volume changes associated with lithiation and delithiation of SnO2. The cyclic performance of the anodes is further improved by depositing a very thin passivation layer of HfO2, in the same ALD reactor, on the SnO2/MXene anode. This is shown by high-resolution transmission electron microscopy to also improve the structural integrity of SnO2 anode during cycling. The HfO2 coated SnO2/MXene electrodes demonstrate a stable specific capacity of 843 mAh/g when used as Li-ion battery anodes.

  12. Formation mechanism of the graphite-rich protective layer in blast furnace hearths

    Science.gov (United States)

    Jiao, Ke-xin; Zhang, Jian-liang; Liu, Zheng-jian; Liu, Feng; Liang, Li-sheng

    2016-01-01

    A long campaign life of blast furnaces is heavily linked to the existence of a protective layer in their hearths. In this work, we conducted dissection studies and investigated damage in blast furnace hearths to estimate the formation mechanism of the protective layer. The results illustrate that a significant amount of graphite phase was trapped within the hearth protective layer. Furthermore, on the basis of the thermodynamic and kinetic calculations of the graphite precipitation process, a precipitation potential index related to the formation of the graphite-rich protective layer was proposed to characterize the formation ability of this layer. We determined that, under normal operating conditions, the precipitation of graphite phase from hot metal was thermodynamically possible. Among elements that exist in hot metal, C, Si, and P favor graphite precipitation, whereas Mn and Cr inhibit this process. Moreover, at the same hot-face temperature, an increase of carbon concentration in hot metal can shorten the precipitation time. Finally, the results suggest that measures such as reducing the hot-face temperature and increasing the degree of carbon saturation in hot metal are critically important to improve the precipitation potential index.

  13. Simulation and growing study of Cu–Al–S thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duclaux, L., E-mail: loraine-externe.duclaux@edf.fr [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Donsanti, F.; Vidal, J. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Bouttemy, M. [Lavoisier Institute of Versailles, UMR 8180, 45 avenue des Etats-Unis, 78035 Versailles cedex (France); Schneider, N.; Naghavi, N. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France)

    2015-11-02

    In this paper, we have explored the potential of Cu–Al–S compounds as p-type transparent conducting material by means of atomistic simulation using CuAlS{sub 2} as a reference ternary compound and atomic layer deposition (ALD) growth. We have identified key intrinsic point defects acting either as shallow acceptor or deep donor which define the conductivity of CuAlS{sub 2}. Higher p-type conductivity was found to be achievable under metal-poor and chalcogen-rich growth conditions. According to this precept, ALD growth of Cu{sub x}Al{sub y}S{sub z} was attempted using Cu(acac){sub 2} and Al(CH{sub 3}){sub 3} as precursors for Cu and Al respectively and under H{sub 2}S atmosphere. While as grown thin films present low content of Al, it influences the band gap values as well as the obtained structures. - Highlights: • Ab-initio investigation of CuAlS{sub 2} • Indentification of two opposite main-contributive intrinsic defects on the conductivity: V{sub Cu} and Al{sub Cu} • Synthesis of Cu-Al-S ternary compound using atomic layer deposition • Impact of aluminum insertion on the optical and structural properties of the films.

  14. Silver-doped layers of implants prepared by pulsed laser deposition

    Czech Academy of Sciences Publication Activity Database

    Kocourek, Tomáš; Jelínek, Miroslav; Mikšovský, Jan; Jurek, Karel; Čejka, Z.; Kopeček, Jaromír

    2013-01-01

    Roč. 1, č. 7 (2013), s. 59-61 ISSN 2327-5219 R&D Projects: GA AV ČR KAN300100801 Institutional support: RVO:68378271 Keywords : thin layer * silver * titanium alloy * steel * pulsed laser deposition * adhesion * implant Subject RIV: BM - Solid Matter Physics ; Magnetism http://www.scirp.org/journal/PaperInformation.aspx?paperID=40308#.UvECAfu5dHA

  15. Investigation of Ni@CoO core-shell nanoparticle films synthesized by sequential layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Spadaro, M.C., E-mail: mariachiara.spadaro@unimore.it [CNR-NANO, via G. Campi 213/a, 41125 Modena (Italy); Dipartimento FIM, Università di Modena e Reggio Emilia, via G. Campi 213/a, 41125 Modena (Italy); Luches, P. [Dipartimento FIM, Università di Modena e Reggio Emilia, via G. Campi 213/a, 41125 Modena (Italy); Benedetti, F.; Valeri, S. [CNR-NANO, via G. Campi 213/a, 41125 Modena (Italy); Dipartimento FIM, Università di Modena e Reggio Emilia, via G. Campi 213/a, 41125 Modena (Italy); Turchini, S. [CNR-ISM, Via Fosso del Cavaliere 100, 00133 Roma (Italy); Bertoni, G. [CNR-IMEM, Parco Area delle Scienze 37/a, 43124 Parma (Italy); Ferretti, A.M.; Capetti, E.; Ponti, A. [Laboratorio di Nanotecnologie, Istituto di Scienze e Tecnologie Molecolari, Consiglio Nazionale delle Ricerche, via G. Fantoli 16/15, 20138 Milano (Italy); D’Addato, S. [CNR-NANO, via G. Campi 213/a, 41125 Modena (Italy); Dipartimento FIM, Università di Modena e Reggio Emilia, via G. Campi 213/a, 41125 Modena (Italy)

    2017-02-28

    Highlights: • We studied Ni/CoO core-shell nanoparticles (NP) obtained with a gas aggregation source. • The NP oxide shells were produced bye reactive deposition of Co in Oxygen atmosphere (p{sub O2} ≈ 10{sup −7} mbar). • XPS, SEM, STEM were used to obtain information on Ni chemical state and NP structure and morphology. • XMCD result showed evidence of remanent magnetization at room temperature. • We interpret XMCD results as due to stabilization induced by exchange bias due to AFM/FM coupling at the core/shell interface. - Abstract: Films of Ni@CoO core-shell nanoparticles (NP Ni core size d ≈ 11 nm) have been grown on Si/SiO{sub x} and lacey carbon supports, by a sequential layer deposition method: a first layer of CoO was evaporated on the substrate, followed by the deposition of a layer of pre-formed, mass-selected Ni NPs, and finally an overlayer of CoO was added. The Ni NPs were formed by a magnetron gas aggregation source, and mass selected with a quadrupole mass filter. The morphology of the films was investigated with Scanning Electron Microscopy and Scanning Transmission Electron Microscopy. The Ni NP cores have a shape compatible with McKay icosahedron, caused by multitwinning occurring during their growth in the source, and the Ni NP layer shows the typical random paving growth mode. After the deposition of the CoO overlayer, CoO islands are observed, gradually extending and tending to merge with each other, with the formation of shells that enclose the Ni NP cores. In situ X-ray Photoelectron Spectroscopy showed that a few Ni atomic layers localized at the core-shell interface are oxidized, hinting at the possibility of creating an intermediate NiO shell between Ni and CoO, depending on the deposition conditions. Finally, X-ray Magnetic Circular Dichroism at the Ni L{sub 2,3} absorption edge showed the presence of magnetization at room temperature even at remanence, revealing the possibility of magnetic stabilization of the NP film.

  16. Atomic-Layer-Deposited SnO2 as Gate Electrode for Indium-Free Transparent Electronics

    KAUST Repository

    Alshammari, Fwzah Hamud; Hota, Mrinal Kanti; Wang, Zhenwei; Aljawhari, Hala; Alshareef, Husam N.

    2017-01-01

    Atomic-layer-deposited SnO2 is used as a gate electrode to replace indium tin oxide (ITO) in thin-film transistors and circuits for the first time. The SnO2 films deposited at 200 °C show low electrical resistivity of ≈3.1 × 10−3 Ω cm with ≈93

  17. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  18. Observations of Flaking of Co-deposited Layers in TFTR

    International Nuclear Information System (INIS)

    Gentile, C.A.; Skinner, C.H.; Young, K.M.

    1999-01-01

    Flaking of co-deposited layers in the Tokamak Fusion Test Reactor (TFTR) has been observed after the termination of plasma operations. This unexpected flaking affects approximately 15% of the tiles and appears on isotropic graphite tiles but not on carbon fiber composite tiles. Samples of tiles, flakes and dust were recently collected from the inside of the vacuum vessel and will be analyzed to better characterize the behavior of tritium on plasma facing components in DT fusion devices

  19. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  20. Analysis of signals propagating in a phononic crystal PZT layer deposited on a silicon substrate.

    Science.gov (United States)

    Hladky-Hennion, Anne-Christine; Vasseur, Jérôme; Dubus, Bertrand; Morvan, Bruno; Wilkie-Chancellier, Nicolas; Martinez, Loïc

    2013-12-01

    The design of a stop-band filter constituted by a periodically patterned lead zirconate titanate (PZT) layer, polarized along its thickness, deposited on a silicon substrate and sandwiched between interdigitated electrodes for emission/reception of guided elastic waves, is investigated. The filter characteristics are theoretically evaluated by using finite element simulations: dispersion curves of a patterned PZT layer with a specific pattern geometry deposited on a silicon substrate present an absolute stop band. The whole structure is modeled with realistic conditions, including appropriate interdigitated electrodes to propagate a guided mode in the piezoelectric layer. A robust method for signal analysis based on the Gabor transform is applied to treat transmitted signals; extract attenuation, group delays, and wave number variations versus frequency; and identify stop-band filter characteristics.

  1. pH-Dependent Release of Insulin from Layer-by-Layer-Deposited Polyelectrolyte Microcapsules

    Directory of Open Access Journals (Sweden)

    Kentaro Yoshida

    2015-07-01

    Full Text Available Insulin-containing microcapsules were prepared by a layer-by-layer (LbL deposition of poly(allylamine hydrochloride (PAH and polyanions, such as poly(styrenesulfonate (PSS, poly(vinyl sulfate (PVS, and dextran sulfate (DS on insulin-containing calcium carbonate (CaCO3 microparticles. The CaCO3 core was dissolved in diluted HCl solution to obtain insulin-containing hollow microcapsules. The microcapsules were characterized by scanning electron microscope (SEM and atomic force microscope (AFM images and ζ-potential. The release of insulin from the microcapsules was faster at pH 9.0 and 7.4 than in acidic solutions due to the different charge density of PAH. In addition, insulin release was suppressed when the microcapsules were constructed using PAH with a lower molecular weight, probably owing to a thicker shell of the microcapsules. The results suggested a potential use of the insulin-containing microcapsules for developing insulin delivery systems.

  2. Characterization of amorphous yttria layers deposited by aqueous solutions of Y-chelate alkoxides complex

    Science.gov (United States)

    Kim, Young-Soon; Lee, Yu-Ri; Kim, Byeong-Joo; Lee, Jae-Hun; Moon, Seung-Hyun; Lee, Hunju

    2015-01-01

    Crack-free amorphous yttria layers were deposited by dip coating in solutions of different Y-chelate alkoxides complex. Three Y-chelate solutions of different concentrations were prepared using yttrium acetate tetrahydrate, yttrium stearic acid as Y source materials. PEG, diethanolamine were used as chelating agents, while ethanol, methanol and tetradecane were used as solvent. Three different combinations of chelating and solvents were used to prepare solutions for Y2O3 dip coating on SUS, electropolished and non-electropolished Hastelloy C-276 substrates. The thickness of the films was varied by changing the number of dipping cycles. At an optimized condition, the substrate surface roughness (rms) value was reduced from ∼50 nm to ∼1 nm over a 10 × 10 μm2 area. After Y2O3 deposition, MgO was deposited using ion-beam assisted deposition (IBAD), then LaMnO3 (LMO) was deposited using sputtering and GdBCO was deposited using reactive co-evaporation by deposition and reaction (RCE-DR). Detailed X-ray study indicates that LMO/MgO/Y2O3 and GdBCO/LMO/MgO/Y2O3 stack films have good out-of-plane and in-plane textures with strong c-axis alignment. The critical current (Ic) of GdBCO/LMO/MgO/Y2O3 multilayer structure varied from 190 to 420 A/cm with different solutions, when measured at 77 K. These results demonstrated that amorphous yttria can be easily deposited by dip coating using Y-chelates complex as a diffusion barrier and nucleation layer.

  3. Effect of the substrate surface topology and temperature on the structural properties of ZnO layers obtained by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kitova, S; Danev, G, E-mail: skitova@clf.bas.b [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria)

    2010-04-01

    In this work thin ZnO layers were grown by metal-organic PECVD (RF 13.56 MHz) on Si wafers. Zn acetylacetonate was used as a precursor and oxygen as oxidant. A system for dosed injection of the precursor and oxidant into the plasma reactor was developed. The influence of the substrate surface topology and temperature on the structural properties of the deposited layers was studied. ZnO and graphite powder dispersions were used to modify the silicon wafers before starting the deposition process of the layers. Some of the ZnO layers were deposited on the back, unpolished, side of Si wafers. Depositions at 400 {sup 0}C were performed to examine the effect of the substrate temperatures on the layer growth. The film structure was examined by XRD and SEM. The results show that all layers are crystalline with hexagonal wurtzite structure. The crystallites are preferentially oriented along the c-axis direction perpendicular to the substrate surfaces. ZnO layers deposited on thin ZnO seed films and clean Si surface exhibit well-developed grain structures and more c-axis preferred phase with better crystal quality than that of the layers deposited on graphite seed layer or rough, unpolished Si wafer.

  4. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    International Nuclear Information System (INIS)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H.; Kinge, Sachin

    2015-01-01

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO 2 layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10 −2  A W −1 and a shot-derived specific detectivity of 3 × 10 9  Jones at 1530 nm wavelength

  5. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  6. Atmospheric Plasma Deposition of SiO2 Films for Adhesion Promoting Layers on Titanium

    Directory of Open Access Journals (Sweden)

    Liliana Kotte

    2014-12-01

    Full Text Available This paper evaluates the deposition of silica layers at atmospheric pressure as a pretreatment for the structural bonding of titanium (Ti6Al4V, Ti15V3Cr3Sn3Al in comparison to an anodizing process (NaTESi process. The SiO2 film was deposited using the LARGE plasma source, a linearly extended DC arc plasma source and applying hexamethyldisiloxane (HMDSO as a precursor. The morphology of the surface was analyzed by means of SEM, while the characterization of the chemical composition of deposited plasma layers was done by XPS and FTIR. The long-term durability of bonded samples was evaluated by means of a wedge test in hot/wet condition. The almost stoichiometric SiO2 film features a good long-term stability and a high bonding strength compared to the films produced with the wet-chemical NaTESi process.

  7. Subnanometer Ga 2 O 3 Tunnelling Layer by Atomic Layer Deposition to Achieve 1.1 V Open-Circuit Potential in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar; Tetreault, Nicolas; Humphry-Baker, Robin; Kessler, Florian; Baranoff, Etienne; Yi, Chenyi; Nazeeruddin, Mohammad Khaja; Grä tzel, Michael

    2012-01-01

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new

  8. Deposition of very thin uniform indium sulfide layers over metallic nano-rods by the Spray-Ion Layer Gas Reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Genduso, G. [Dipartimento di Ingegneria Chimica, Gestionale, Informatica, Meccanica, Università di Palermo, Viale delle Scienze, 90100 Palermo (Italy); Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); Inguanta, R.; Sunseri, C.; Piazza, S. [Dipartimento di Ingegneria Chimica, Gestionale, Informatica, Meccanica, Università di Palermo, Viale delle Scienze, 90100 Palermo (Italy); Kelch, C.; Sáez-Araoz, R. [Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); Zykov, A. [Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); present address: Institut für Physik, Humboldt-Universität zu Berlin, Newtonstr. 15,12489 Berlin (Germany); Fischer, Ch.-H., E-mail: fischer@helmholtz-berlin.de [Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); second affiliation: Free University Berlin, Chemistry Institute, Takustr. 3, D-14195 Berlin (Germany)

    2013-12-02

    Very thin and uniform layers of indium sulfide were deposited on nickel nano-rods using the sequential and cyclical Spray-ILGAR® (Ion Layer Gas Reaction) technique. Substrates were fabricated by electrodeposition of Ni within the pores of polycarbonate membranes and subsequent chemical dissolution of the template. With respect to the depositions on flat substrates, experimental conditions were modified and optimized for the present geometry. Our results show that nano-rods up to a length of 10 μm were covered uniformly along their full length and with an almost constant film growth rate, thus allowing a good control of the coating thickness; the effect of the deposition temperature was also investigated. However, for high numbers of process steps, i.e. thickness, the films became uneven and crusty, especially at higher temperature, mainly owing to the simultaneous side reaction of the metallic Ni forming nickel sulfide at the surface of the rods. However, such a problem occurs only in the case of reactive nano-rod materials, such as less noble metals. It could be strongly reduced by doubling the spray step duration and thereby sealing the metallic surface before the process step of the sulfurization. Thus, quite smooth, about 100 nm thick coatings could be obtained. - Highlights: • Ni nano-rod substrates were grown within polycarbonate membranes. • We can coat nano-rods uniformly by the Ion Layer Gas Reaction method. • As a model we deposited up to about 100 nm In{sub 2}S{sub 3} on Ni nanorods (250 nm × 10 μm). • Element mapping at insulated rods showed homogenous coating over the full length. • Parameter optimization reduced effectively the Ni sulfide formation.

  9. Effects of interfacial layer on characteristics of TiN/ZrO2 structures.

    Science.gov (United States)

    Kim, Younsoo; Kang, Sang Yeol; Choi, Jae Hyoung; Lim, Jae Soon; Park, Min Young; Chung, Suk-Jin; Chung, Jaegwan; Lee, Hyung Ik; Kim, Ki Hong; Kyoung, Yong Koo; Heo, Sung; Yoo, Cha Young; Kang, Ho-Kyu

    2011-09-01

    To minimize the formation of unwanted interfacial layers, thin interfacial layer (ZrCN layer) was deposited between TiN bottom electrode and ZrO2 dielectric in TiN/ZrO2/TiN capacitor. Carbon and nitrogen were also involved in the layer because ZrCN layer was thermally deposited using TEMAZ without any reactant. Electrical characteristics of TiN/ZrO2/TiN capacitor were improved by insertion of ZrCN layer. The oxidation of TiN bottom electrode was largely inhibited at TiN/ZrCN/ZrO2 structure compared to TiN/ZrO2 structure. While the sheet resistance of TiN/ZrCN/ZrO2 structure was constantly sustained with increasing ZrO2 thickness, the large increase of sheet resistance was observed in TiN/ZrO2 structure after 6 nm ZrO2 deposition. When ZrO2 films were deposited on ZrCN layer, the deposition rate of ZrO2 also increased. It is believed that ZrCN layer acted both as a protection layer of TiN oxidation and a seed layer of ZrO2 growth.

  10. Low temperature (100 °C) atomic layer deposited-ZrO2 for recessed gate GaN HEMTs on Si

    Science.gov (United States)

    Byun, Young-Chul; Lee, Jae-Gil; Meng, Xin; Lee, Joy S.; Lucero, Antonio T.; Kim, Si Joon; Young, Chadwin D.; Kim, Moon J.; Kim, Jiyoung

    2017-08-01

    In this paper, the effect of atomic layer deposited ZrO2 gate dielectrics, deposited at low temperature (100 °C), on the characteristics of recessed-gate High Electron Mobility Transistors (HEMTs) on Al0.25Ga0.75N/GaN/Si is investigated and compared with the characteristics of those with ZrO2 films deposited at typical atomic layer deposited (ALD) process temperatures (250 °C). Negligible hysteresis (ΔVth 4 V), and low interfacial state density (Dit = 3.69 × 1011 eV-1 cm-2) were observed on recessed gate HEMTs with ˜5 nm ALD-ZrO2 films grown at 100 °C. The excellent properties of recessed gate HEMTs are due to the absence of an interfacial layer and an amorphous phase of the film. An interfacial layer between 250 °C-ZrO2 and GaN is observed via high-resolution transmission electron microscopy and X-ray photoelectron spectroscopy. However, 100 °C-ZrO2 and GaN shows no significant interfacial layer formation. Moreover, while 100 °C-ZrO2 films maintain an amorphous phase on either substrate (GaN and Si), 250 °C-ZrO2 films exhibit a polycrystalline-phase when deposited on GaN and an amorphous phase when deposited on Si. Contrary to popular belief, the low-temperature ALD process for ZrO2 results in excellent HEMT performance.

  11. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  12. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    Energy Technology Data Exchange (ETDEWEB)

    Bulusu, A.; Singh, A.; Kim, H. [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B. [School of Electrical and Computer Engineering, Georgia Institute of Technology, and Center for Organic Photonics and Electronics, Atlanta, Georgia 30332 (United States); Cullen, D. [Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States); Graham, S., E-mail: sgraham@gatech.edu [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States)

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al{sub 2}O{sub 3})/hafnium oxide (HfO{sub 2}) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN{sub x} layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.

  13. Graphene growth by transfer-free chemical vapour deposition on a cobalt layer

    Science.gov (United States)

    Macháč, Petr; Hejna, Ondřej; Slepička, Petr

    2017-01-01

    The contribution deals with the preparation of graphene films by a transfer-free chemical vapour deposition process utilizing a thin cobalt layer. This method allows growing graphene directly on a dielectric substrate. The process was carried out in a cold-wall reactor with methane as carbon precursor. We managed to prepare bilayer graphene. The best results were obtained for a structure with a cobalt layer with a thickness of 50 nm. The quality of prepared graphene films and of the number of graphene layers were estimated using Raman spectroscopy. with a minimal dots diameter of 180 nm and spacing of 1000 nm were successfully developed.

  14. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  15. Adhesion-enhanced thick copper film deposition on aluminum oxide by an ion-beam-mixed Al seed layer

    International Nuclear Information System (INIS)

    Kim, Hyung-Jin; Park, Jae-Won

    2012-01-01

    We report a highly-adherent 30-μm Cu conductive-path coating on an aluminum-oxide layer anodized on an aluminum-alloy substrate for a metal-printed circuit-board application. A 50-nm Al layer was first coated with an e-beam evaporative deposition method on the anodized oxide, followed by ion bombardment to mix the interfacial region. Subsequently, a Cu coating was deposited onto the mixed seed layer to the designed thickness. Adhesions of the interface were tested by using tape adhesion test, and pull-off tests and showed commercially acceptable adhesions for such thick coating layers. The ion beam mixing (IBM) plays the role of fastening the thin seed coating layer to the substrate and enhancing the adhesion of the Cu conductive path on the anodized aluminum surface.

  16. Aluminum oxide barrier coating on polyethersulfone substrate by atomic layer deposition for barrier property enhancement

    International Nuclear Information System (INIS)

    Kim, Hyun Gi; Kim, Sung Soo

    2011-01-01

    Aluminum oxide layers were deposited on flexible polyethersulfone (PES) substrates via plasma enhanced atomic layer deposition (PEALD) process using trimethylaluminum (TMA) and oxygen as precursor and reactant materials. Several process parameters in PEALD process were investigated in terms of refractive index and layer thickness. Number of process cycle increased the thickness and refractive index of the layer to enhance the barrier properties. Non-physisorbed TMA and unreacted oxygen were purged before and after the plasma reaction, respectively. Identical purge time was applied to TMA and oxygen and it was optimized for 10 s. Thinner and denser layer was formed as substrate temperature increased. However, the PES substrate could be deformed above 120 o C. Aluminum oxide layer formed on PES at optimized conditions have 11.8 nm of thickness and reduced water vapor transmission rate and oxygen transmission rate to below 4 x 10 -3 g/m 2 day and 4 x 10 -3 cm 3 /m 2 day, respectively. Polycarbonate and polyethylene naphthalate films were also tested at optimized conditions, and they also showed quite appreciable barrier properties to be used as plastic substrates.

  17. Preparation of insulin-containing microcapsules by a layer-by-layer deposition of concanavalin A and glycogen.

    Science.gov (United States)

    Sato, Katsuhiko; Kodama, Daisuke; Endo, Yoshihiro; Anzai, Jun-ichi

    2009-01-01

    The sugar sensitive microcapsules were prepared by a layer-by-layer deposition of concanavalin A (Con A) and glycogen on a calcium carbonate particle containing fluorescein-labeled insulin (F-insulin). The Con A/glycogen multilayer capsules were formed through sugar-lectin interactions by using inner and outer poly(ethyleneimine)/poly(vinyl sulfate) multilayers as supports, while without the supports the microcapsules could not be formed. Fluorescent microscope observations revealed that the capsules thus prepared are spherical in shape with 3-10 microm diameter. The microcapsules released encapsulated F-insulin upon addition of sugars. This is because the added sugars replace glycogen in the binding site of Con A, resulting in the enhanced permeability of the microcapsules to insulin.

  18. Deposition rates of viruses and bacteria above the atmospheric boundary layer.

    Science.gov (United States)

    Reche, Isabel; D'Orta, Gaetano; Mladenov, Natalie; Winget, Danielle M; Suttle, Curtis A

    2018-04-01

    Aerosolization of soil-dust and organic aggregates in sea spray facilitates the long-range transport of bacteria, and likely viruses across the free atmosphere. Although long-distance transport occurs, there are many uncertainties associated with their deposition rates. Here, we demonstrate that even in pristine environments, above the atmospheric boundary layer, the downward flux of viruses ranged from 0.26 × 10 9 to >7 × 10 9  m -2 per day. These deposition rates were 9-461 times greater than the rates for bacteria, which ranged from 0.3 × 10 7 to >8 × 10 7  m -2 per day. The highest relative deposition rates for viruses were associated with atmospheric transport from marine rather than terrestrial sources. Deposition rates of bacteria were significantly higher during rain events and Saharan dust intrusions, whereas, rainfall did not significantly influence virus deposition. Virus deposition rates were positively correlated with organic aerosols 0.7 μm, implying that viruses could have longer residence times in the atmosphere and, consequently, will be dispersed further. These results provide an explanation for enigmatic observations that viruses with very high genetic identity can be found in very distant and different environments.

  19. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  20. Atomic layer deposition of HfO{sub 2} for integration into three-dimensional metal-insulator-metal devices

    Energy Technology Data Exchange (ETDEWEB)

    Assaud, Loic [Aix Marseille Univ, CNRS, CINAM, Marseille (France); ICMMO-ERIEE, Universite Paris-Sud / Universite Paris-Saclay, CNRS, Orsay (France); Pitzschel, Kristina; Barr, Maissa K.S.; Petit, Matthieu; Hanbuecken, Margrit; Santinacci, Lionel [Aix Marseille Univ, CNRS, CINAM, Marseille (France); Monier, Guillaume [Universite Clermont Auvergne, Universite Blaise Pascal, CNRS, Institut Pascal, Clermont-Ferrand (France)

    2017-12-15

    HfO{sub 2} nanotubes have been fabricated via a template-assisted deposition process for further use in three-dimensional metal-insulator-metal (MIM) devices. HfO{sub 2} thin layers were grown by Atomic Layer Deposition (ALD) in anodic alumina membranes (AAM). The ALD was carried out using tetrakis(ethylmethylamino)hafnium and water as Hf and O sources, respectively. Long exposure durations to the precursors have been used to maximize the penetration depth of the HfO{sub 2} layer within the AAM and the effect of the process temperature was investigated. The morphology, the chemical composition, and the crystal structure were studied as a function of the deposition parameters using transmission and scanning electron microscopies, X-ray photoelectron spectroscopy, and X-ray diffraction, respectively. As expected, the HfO{sub 2} layers grown at low-temperature (T = 150 C) were amorphous, while for a higher temperature (T = 250 C), polycrystalline films were observed. The electrical characterizations have shown better insulating properties for the layers grown at low temperature. Finally, TiN/HfO{sub 2}/TiN multilayers were grown in an AAM as proof-of-concept for three-dimensional MIM nanostructures. (orig.)

  1. Tungsten atomic layer deposition on polymers

    Energy Technology Data Exchange (ETDEWEB)

    Wilson, C.A. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); McCormick, J.A. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); Cavanagh, A.S. [Department of Physics, University of Colorado, Boulder, Colorado 80309-0390 (United States); Goldstein, D.N. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Weimer, A.W. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); George, S.M. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States)], E-mail: Steven.George@Colorado.Edu

    2008-07-31

    Tungsten (W) atomic layer deposition (ALD) was investigated on a variety of polymer films and polymer particles. These polymers included polyethylene, polyvinyl chloride, polystyrene, polycarbonate, polypropylene and polymethylmethacrylate. The W ALD was performed at 80 {sup o}C using WF{sub 6} and Si{sub 2}H{sub 6} as the gas phase reactants. W ALD on flat polymer films can eventually nucleate and grow after more than 60 AB cycles. X-ray photoelectron spectroscopy studies of W ALD on polystyrene after 50 AB cycles suggested that tungsten nanoclusters are present in the W ALD nucleation regime. The W ALD nucleation is greatly facilitated by a few cycles of Al{sub 2}O{sub 3} ALD. W ALD films were grown at 80 {sup o}C on spin-coated polymers on silicon wafers after 10 AB cycles of Al{sub 2}O{sub 3} ALD. The W ALD film was observed to grow linearly with a growth rate of 3.9 A per AB cycle on the polymer films treated with the Al{sub 2}O{sub 3} ALD seed layer. The W ALD films displayed an excellent, mirror-like optical reflectivity. The resistivity was 100-400 {mu}{omega} cm for W ALD films with thicknesses from 95-845 A. W ALD was also observed on polymer particles after W ALD in a rotary reactor. Without the Al{sub 2}O{sub 3} ALD seed layer, the nucleation of W ALD directly on the polymer particles at 80 {sup o}C required > 50 AB cycles. In contrast, the polymer particles treated with only 5 AB cycles of Al{sub 2}O{sub 3} ALD were observed to blacken after 25 AB cycles of W ALD. W ALD on polymers may have applications for flexible optical mirrors, electromagnetic interference shielding and gas diffusion barriers.

  2. ZnS/Zn(O,OH)S-based buffer layer deposition for solar cells

    Science.gov (United States)

    Bhattacharya, Raghu N [Littleton, CO

    2009-11-03

    The invention provides CBD ZnS/Zn(O,OH)S and spray deposited ZnS/Zn(O,OH)S buffer layers prepared from a solution of zinc salt, thiourea and ammonium hydroxide dissolved in a non-aqueous/aqueous solvent mixture or in 100% non-aqueous solvent. Non-aqueous solvents useful in the invention include methanol, isopropanol and triethyl-amine. One-step deposition procedures are described for CIS, CIGS and other solar cell devices.

  3. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  4. Multilayer graphene as an effective corrosion protection coating for copper

    Science.gov (United States)

    Ravishankar, Vasumathy; Ramaprabhu, S.; Jaiswal, Manu

    2018-04-01

    Graphene grown by chemical vapor deposition (CVD) has been studied as a protective layer against corrosion of copper. The layer number dependence on the protective nature of graphene has been investigated using techniques such as Tafel analysis and Electroimpedance Spectroscopy. Multiple layers of graphene were achieved by wet transfer above CVD grown graphene. Though this might cause grain boundaries, the sites where corrosion is initiated, to be staggered, wet transfer inherently carries the disadvantage of tearing of graphene, as confirmed by Raman spectroscopy measurements. However, Electroimpedance Spectroscopy (EIS) reflects that graphene protected copper has a layer dependent resistance to corrosion. Decrease in corrosion current (Icorr) for graphene protected copper is presented. There is only small dependence of corrosion current on the layer number, Tafel plots clearly indicate passivation in the presence of graphene, whether it be single layer or multiple layers. Notwithstanding the crystallite size, defect free layers of graphene with staggered grain boundaries combined with passivation could offer good corrosion protection for metals.

  5. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H., E-mail: ted.sargent@utoronto.ca [Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario M5S 3G4 (Canada); Kinge, Sachin [Advanced Technology, Materials and Research, Research and Development, Hoge Wei 33- Toyota Technical Centre, B-1930 Zaventem (Belgium)

    2015-10-12

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO{sub 2} layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10{sup −2} A W{sup −1} and a shot-derived specific detectivity of 3 × 10{sup 9} Jones at 1530 nm wavelength.

  6. Analyses of erosion and re-deposition layers on graphite tiles used in the W-shaped divertor region of JT-60U

    International Nuclear Information System (INIS)

    Gotoh, Y.; Yagyu, J.; Masaki, K.; Kizu, K.; Kaminaga, A.; Kodama, K.; Arai, T.; Tanabe, T.; Miya, N.

    2003-01-01

    Erosion and re-deposition profiles were studied on graphite tiles used in the W-shaped divertor of JT-60U in June 1997-October 1998 periods, operated with all-carbon walls with boronizations and inner-private flux pumping. Continuous re-deposition layers were found neither on the dome top nor on the outer wing, while re-deposition layers of around 20 μm thickness were found on the inner wing, in the region close to the dome top. On the outer divertor target, erosion was found to be dominant: maximum erosion depth of around 20 μm was measured, while on the inner target, re-deposition was dominant: columnar structure layers of maximum thickness at around 30 μm on the inner zone while laminar/columnar-layered structures of maximum thickness around 60 μm were found on the outer zone. Poloidal distributions of the erosion depth/re-deposition layer thickness were well correlated with the frequency histograms of strike point position, which were weighted with total power of neutral beam injection, on both the outer and inner targets. Through X-ray photoelectron spectroscopy, composition of the re-deposition layers at a mid zone on the inner target were 3-4 at.% B and <0.6 at.% O, Fe, Cr, and Ni with remaining C. Boron atoms are mostly bound to C atoms but some may precipitated as boron

  7. Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ananda Sagari, A.R., E-mail: arsagari@gmail.com [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Malm, Jari [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Laitinen, Mikko [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Rahkila, Paavo [Department of Biology of Physical Activity, P.O. Box 35, FIN-40014 University of Jyväskylä (Finland); Hongqiang, Ma [Department of Health Sciences, P.O. Box 35 (L), FIN-40014 University of Jyväskylä (Finland); Putkonen, Matti [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Beneq Oy, P.O. Box 262, FI-01511 Vantaa (Finland); Karppinen, Maarit [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Whitlow, Harry J.; Sajavaara, Timo [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland)

    2013-03-01

    Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R{sub rms} values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on rough metal surfaces with a clear dependence of substrate roughness on the Ca/P atomic ratio of thin films. The in vitro cell-culture studies using MC3T3 mouse osteoblast showed a greater coverage of cells on the surface polished with diamond paste in comparison to rougher surfaces after 24 h culture. No statistically significant difference was observed between Ca–P–O coated and un-coated Ti surfaces for the measured roughness value. The deposited 50 nm thick films did not dissolve during the cell culture experiment. - Highlights: ► Atomic layer deposition of Ca–P–O films on abraded Ti substrate ► Surface analysis using Time-Of-Flight Elastic Recoil Detection Analysis ► Dependence of substrate roughness on the Ca/P atomic ratio of thin films ► An increase in Ca/P atomic ratio with decreasing roughness ► Mouse osteoblast showed greater coverage of cells in polished surface.

  8. Ion - beam assisted process in the physical deposition of organic thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Dimov, D; Spassova, E; Assa, J; Danev, G [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria); Georgiev, A, E-mail: dean@clf.bas.b [University of Chemical Technology and Metallurgy, 8 Kl. Ohridski Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    A novel method was developed for physical deposition of thin polyimide layers by applying an argon plasma assisted process. The influence was investigated of the plasma on the combined molecular flux of the two thermally evaporated precursors - oxydianiline and pyromellitic dianhydride. The effects observed on the properties of the deposited films are explained with the increased energy of the precursor molecules resulting from the ion-molecular collisions. As could be expected, molecules with higher energy possess higher mobility and thus determine the modification of the films structure and their electrical properties.

  9. Effect of oxygen pressure of SiOx buffer layer on the electrical properties of GZO film deposited on PET substrate

    International Nuclear Information System (INIS)

    Ahn, Byung Du; Ko, Young Gun; Oh, Sang Hoon; Song, Jean-Ho; Kim, Hyun Jae

    2009-01-01

    The present work was made to investigate the effect of oxygen pressure of SiO x layer on the electrical properties of Ga-doped ZnO (GZO) films deposited on poly-ethylene telephthalate (PET) substrate by utilizing the pulsed-laser deposition at ambient temperature. For this purpose, the SiO x buffer layers were deposited at various oxygen pressures ranging from 13.3 to 46.7 Pa. With increasing oxygen pressure during the deposition of SiO x layer as a buffer, the electrical resistivity of GZO/SiO x /PET films gradually decreased from 7.6 x 10 -3 to 6.8 x 10 -4 Ω.cm, due to the enhanced mobility of GZO films. It was mainly due to the grain size of GZO films related to the roughened surface of the SiO x buffer layers. In addition, the average optical transmittance of GZO/SiO x /PET films in a visible regime was estimated to be ∼ 90% comparable to that of GZO deposited onto a glass substrate.

  10. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati

    2014-03-14

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery capacity at a current density of 150 mAg -1 after 100 cycles is 548 and 853 mAhg-1 for the uncoated and HfO2-coated anodes, respectively. Material analysis reveals that the HfO2 layers are amorphous in nature and conformably coat the SnO2-based anodes. In addition, the analysis reveals that ALD HfO2 not only protects the SnO2-based anodes from irreversible reactions with the electrolyte and buffers its volume change, but also chemically interacts with the SnO2 anodes to increase battery capacity, despite the fact that HfO2 is itself electrochemically inactive. The amorphous nature of HfO2 is an important factor in explaining its behavior, as it still allows sufficient Li diffusion for an efficient anode lithiation/delithiation process to occur, leading to higher battery capacity. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M.

    2018-05-01

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al2O3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA < 10°, were achieved for deioinized water, diiodomethane, and ethylene glycol. The mechanical stability of the coating could be varied by tuning the thickness of the ALD layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  12. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    Science.gov (United States)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  13. Native oxide transport and removal during the atomic layer deposition of Ta{sub 2}O{sub 5} on InAs(100) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Henegar, Alex J.; Gougousi, Theodosia, E-mail: gougousi@umbc.edu [Department of Physics, UMBC, Baltimore, Maryland 21250 (United States)

    2016-05-15

    Atomic layer deposition (ALD) was used to deposit Ta{sub 2}O{sub 5} on etched and native oxide-covered InAs(100) using pentakis dimethyl amino tantalum and H{sub 2}O at 200–300 °C. The transport and removal of the native oxides during the ALD process was investigated using x-ray photoelectron spectroscopy (XPS). Depositions above 200 °C on etched surfaces protected the interface from reoxidation. On native oxide-covered surfaces, depositions resulted in enhanced native oxide removal at higher temperatures. The arsenic oxides were completely removed above 250 °C after 3 nm of film growth, but some of the As{sub 2}O{sub 3} remained in the film at lower temperatures. Angle-resolved and sputter depth profiling XPS confirmed indium and arsenic oxide migration into the Ta{sub 2}O{sub 5} film at deposition temperatures as low as 200 °C. Continuous removal of both arsenic and indium oxides was confirmed even after the deposition of several monolayers of a coalesced Ta{sub 2}O{sub 5} film, and it was demonstrated that native oxide transport is a prevalent component of the interface “clean-up” mechanism.

  14. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  15. Evolution of microstructure and related optical properties of ZnO grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Adib Abou Chaaya

    2013-10-01

    Full Text Available A study of transmittance and photoluminescence spectra on the growth of oxygen-rich ultra-thin ZnO films prepared by atomic layer deposition is reported. The structural transition from an amorphous to a polycrystalline state is observed upon increasing the thickness. The unusual behavior of the energy gap with thickness reflected by optical properties is attributed to the improvement of the crystalline structure resulting from a decreasing concentration of point defects at the growth of grains. The spectra of UV and visible photoluminescence emissions correspond to transitions near the band-edge and defect-related transitions. Additional emissions were observed from band-tail states near the edge. A high oxygen ratio and variable optical properties could be attractive for an application of atomic layer deposition (ALD deposited ultrathin ZnO films in optical sensors and biosensors.

  16. A simple model for quantifying the degree of layer-by-layer growth in low energy ion deposition of thin films

    International Nuclear Information System (INIS)

    Huhtamaeki, T.; Jahma, M.O.; Koponen, I.T.

    2007-01-01

    Layer-by-layer growth of thin films can be promoted by using low energy ion deposition (LEID) techniques. The basic process affecting the growth are often quite diverse, but often the ion impact induced inter layer mass transfer processes due to adatom insertion to lower step edges or pile-ups to step edges above dominate. In this paper we propose a simple phenomenological model which describes the growth of thin films in LEID under these conditions. The model makes possible to distinguish the dominant growth, the detection of the transition from the 3D growth to 2D growth, and it can be used to quantify the degree of layer-by-layer growth. The model contains only two parameters, which can be phenomenologically related to the properties of the bombarding ion beam

  17. Atomic layer deposition synthesis and evaluation of core–shell Pt-WC electrocatalysts

    International Nuclear Information System (INIS)

    Hsu, Irene J.; Chen, Jingguang G.; Jiang, Xiaoqiang; Willis, Brian G.

    2015-01-01

    Pt-WC core shell particles were produced using atomic layer deposition (ALD) to deposit Pt layers onto WC particle substrates. A range of Pt depositions were used to determine the growth mechanism for the Pt-WC powder system. TEM imaging and Cu stripping voltammetry found that Pt ALD growth on WC powder substrates was similar to that on WC thin films. However, excess free carbon was found to affect Pt ALD by blocking adsorption sites on WC. The Pt-WC samples were evaluated for the oxygen reduction reaction using a rotating disk electrode to obtain quantitative activity information. The mass and specific activities for the 30 and 50 ALD cycle samples were found to be comparable to a 10 wt. % Pt/C catalyst. However, higher overpotentials and lower limiting currents were observed with ALD Pt-WC compared to Pt/C catalysts, indicating that the oxygen reduction mechanism is not as efficient on Pt-WC as on bulk Pt. Additionally, these Pt-WC catalysts were used to demonstrate hydrogen evolution reaction activity and were found to perform as well as bulk Pt catalyst but with a fraction of the Pt loading, in agreement with the previous work on Pt-WC thin film catalysts

  18. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng

    2018-05-11

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  19. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng; Wang, Zhenwei; Firdaus, Yuliar; Babics, Maxime; Alshareef, Husam N.; Beaujuge, Pierre

    2018-01-01

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  20. A fracture mechanics assessment of surface cracks existing in protective layers of multi-layer composite pipes

    Czech Academy of Sciences Publication Activity Database

    Hutař, Pavel; Náhlík, Luboš; Šestáková, Lucie; Ševčík, Martin; Knésl, Zdeněk; Nezbedová, E.

    2010-01-01

    Roč. 92, č. 5 (2010), s. 1120-1125 ISSN 0263-8223 R&D Projects: GA ČR GA106/09/0279 Institutional research plan: CEZ:AV0Z20410507 Keywords : Protective layers * Multi-layer pipes damage * Fracture mechanics * Bi-material interface * Generalized stress intensity factor Subject RIV: JL - Materials Fatigue, Friction Mechanics Impact factor: 2.028, year: 2010

  1. Yttrium silicate as an oxidation protection layer for C/C-SiC materials. Synthesis, electrophoretic deposition and high temperature oxidation; Yttriumsilikat als Oxidationsschutzschicht fuer C/C-SiC-Werkstoffe. Synthese, elektrophoretische Abscheidung und Hochtemperaturoxidation

    Energy Technology Data Exchange (ETDEWEB)

    Grosse-Brauckmann, Jana

    2012-07-01

    Carbon fibre reinforced carbon composites are promising materials for high temperature applications. They exhibit excellent thermal shock resistance and nearly constant mechanical strength. A serious draw-back of this material is their poor resistivity towards oxidation at temperatures above 400 C. To make use of the very good thermal stability the material needs an outer oxidation protection coating. Silicon carbide has been successfully employed at temperatures up to 1300 C. To increase the application range towards higher temperatures an outer environmental barrier coating is needed. In the present work yttrium silicates were used to complement the silicon carbide coated carbon fibre reinforced carbon material. Both stable compounds in the quasi-binary system Y{sub 2}O{sub 3}-SiO{sub 2}, yttrium orthosilicate (Y{sub 2}SiO{sub 5}) and yttrium pyrosilicate (Y{sub 2}Si{sub 2}O{sub 7}), were separately applied to the test samples via electrophoretic deposition. Suitable suspensions were prepared in butanone with iodine as charging agent to adjust conductivity and particle charge. Galvanostatic deposition obeys a linear growth law for the selected deposition times. Alternatively the feasibility of direct electrophoretic deposition from an yttrium silicate precursor sol was tested. Emphasis was put on the development of a suitable sol-system based on alkoxide precursors. Samples coated either with Y{sub 2}SiO{sub 5} or Y{sub 2}Si{sub 2}O{sub 7} were investigated using thermogravimetric high temperature oxidation in the temperature range from 1450 C to 1650 C, respectively. The coated samples exhibited very good oxidation resistance up to temperatures of 1600 C, while the performance was reduced at 1650 C to a few hours. All samples showed a parabolic mass increase with time indicating a diffusion limited process governing the oxidation kinetics. The cross sections of the samples show a sharp border between the SiO{sub 2} that crystallizes to cristobalite and the

  2. Dispersion engineering of thick high-Q silicon nitride ring-resonators via atomic layer deposition.

    Science.gov (United States)

    Riemensberger, Johann; Hartinger, Klaus; Herr, Tobias; Brasch, Victor; Holzwarth, Ronald; Kippenberg, Tobias J

    2012-12-03

    We demonstrate dispersion engineering of integrated silicon nitride based ring resonators through conformal coating with hafnium dioxide deposited on top of the structures via atomic layer deposition. Both, magnitude and bandwidth of anomalous dispersion can be significantly increased. The results are confirmed by high resolution frequency-comb-assisted-diode-laser spectroscopy and are in very good agreement with the simulated modification of the mode spectrum.

  3. Enhanced Performance of Nanowire-Based All-TiO2 Solar Cells using Subnanometer-Thick Atomic Layer Deposited ZnO Embedded Layer

    International Nuclear Information System (INIS)

    Ghobadi, Amir; Yavuz, Halil I.; Ulusoy, T. Gamze; Icli, K. Cagatay; Ozenbas, Macit; Okyay, Ali K.

    2015-01-01

    In this paper, the effect of angstrom-thick atomic layer deposited (ALD) ZnO embedded layer on photovoltaic (PV) performance of Nanowire-Based All-TiO 2 solar cells has been systematically investigated. Our results indicate that by varying the thickness of ZnO layer the efficiency of the solar cell can be significantly changed. It is shown that the efficiency has its maximum for optimal thickness of 1 ALD cycle in which this ultrathin ZnO layer improves device performance through passivation of surface traps without hampering injection efficiency of photogenerated electrons. The mechanisms contributing to this unprecedented change in PV performance of the cell have been scrutinized and discussed

  4. Protective layer formation on magnesium in cell culture medium.

    Science.gov (United States)

    Wagener, V; Virtanen, S

    2016-06-01

    In the past, different studies showed that hydroxyapatite (HA) or similar calcium phosphates can be precipitated on Mg during immersion in simulated body fluids. However, at the same time, in most cases a dark grey or black layer is built under the white HA crystals. This layer seems to consist as well of calcium phosphates. Until now, neither the morphology nor its influence on Mg corrosion have been investigated in detail. In this work commercially pure magnesium (cp) was immersed in cell culture medium for one, three and five days at room temperature and in the incubator (37 °C, 5% CO2). In addition, the influence of proteins on the formation of a corrosion layer was investigated by adding 20% of fetal calf serum (FCS) to the cell culture medium in the incubator. In order to analyze the formed layers, SEM images of cross sections, X-ray Photoelectron Spectroscopy (XPS), X-ray diffraction (XRD), Energy Dispersive X-ray Spectroscopy (EDX) and Fourier Transformed Infrared Spectroscopy (FTIR) measurements were carried out. Characterization of the corrosion behavior was achieved by electrochemical impedance spectroscopy (EIS) and by potentio-dynamic polarization in Dulbecco's Modified Eagle's Medium (DMEM) at 37°C. Surface analysis showed that all formed layers consist mainly of amorphous calcium phosphate compounds. For the immersion at room temperature the Ca/P ratio indicates the formation of HA, while in the incubator probably pre-stages to HA are formed. The different immersion conditions lead to a variation in layer thicknesses. However, electrochemical characterization shows that the layer thickness does not influence the corrosion resistance of magnesium. The main influencing factor for the corrosion behavior is the layer morphology. Thus, immersion at room temperature leads to the highest corrosion protection due to the formation of a compact outer layer. Layers formed in the incubator show much worse performances due to completely porous structures. The

  5. Electrochemical Deposition of Conformal and Functional Layers on High Aspect Ratio Silicon Micro/Nanowires.

    Science.gov (United States)

    Ozel, Tuncay; Zhang, Benjamin A; Gao, Ruixuan; Day, Robert W; Lieber, Charles M; Nocera, Daniel G

    2017-07-12

    Development of new synthetic methods for the modification of nanostructures has accelerated materials design advances to furnish complex architectures. Structures based on one-dimensional (1D) silicon (Si) structures synthesized using top-down and bottom-up methods are especially prominent for diverse applications in chemistry, physics, and medicine. Yet further elaboration of these structures with distinct metal-based and polymeric materials, which could open up new opportunities, has been difficult. We present a general electrochemical method for the deposition of conformal layers of various materials onto high aspect ratio Si micro- and nanowire arrays. The electrochemical deposition of a library of coaxial layers comprising metals, metal oxides, and organic/inorganic semiconductors demonstrate the materials generality of the synthesis technique. Depositions may be performed on wire arrays with varying diameter (70 nm to 4 μm), pitch (5 μ to 15 μ), aspect ratio (4:1 to 75:1), shape (cylindrical, conical, hourglass), resistivity (0.001-0.01 to 1-10 ohm/cm 2 ), and substrate orientation. Anisotropic physical etching of wires with one or more coaxial shells yields 1D structures with exposed tips that can be further site-specifically modified by an electrochemical deposition approach. The electrochemical deposition methodology described herein features a wafer-scale synthesis platform for the preparation of multifunctional nanoscale devices based on a 1D Si substrate.

  6. Electrodeposition, characterization and corrosion investigations of galvanic tin-zinc layers from pyrophosphate baths

    OpenAIRE

    STOPIC MILENA D.; FRIEDRICH BERND G.

    2016-01-01

    Tin-zinc alloy deposits are recognized as a potential alternative to toxic cadmium as corrosion resistant coatings. Tin-zinc alloy layers offer outstanding corrosion protection for steel by combining the barrier protection of tin with the galvanic protection of zinc. Tin-zinc coatings have been used on the chassis of electrical and electronic apparatus and on critical automotive parts such as fuel and brake line components. In this study, tin-zinc alloy deposits were successfully prepared fro...

  7. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    Science.gov (United States)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-06-01

    The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.

  8. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  9. In-situ atomic layer deposition growth of Hf-oxide

    Energy Technology Data Exchange (ETDEWEB)

    Karavaev, Konstantin

    2010-06-17

    We have grown HfO{sub 2} on Si(001) by atomic layer deposition (ALD) using HfCl{sub 4}, TEMAHf, TDMAHf and H{sub 2}O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl{sub 4} experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO{sub 2}. The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO{sub 2}: contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  10. Excellent c-Si surface passivation by thermal atomic layer deposited aluminum oxide after industrial firing activation

    International Nuclear Information System (INIS)

    Liao, B; Stangl, R; Ma, F; Mueller, T; Lin, F; Aberle, A G; Bhatia, C S; Hoex, B

    2013-01-01

    We demonstrate that by using a water (H 2 O)-based thermal atomic layer deposited (ALD) aluminum oxide (Al 2 O 3 ) film, excellent surface passivation can be attained on planar low-resistivity silicon wafers. Effective carrier lifetime values of up to 12 ms and surface recombination velocities as low as 0.33 cm s −1 are achieved on float-zone wafers after a post-deposition thermal activation of the Al 2 O 3 passivation layer. This post-deposition activation is achieved using an industrial high-temperature firing process which is commonly used for contact formation of standard screen-printed silicon solar cells. Neither a low-temperature post-deposition anneal nor a silicon nitride capping layer is required in this case. Deposition temperatures in the 100–400 °C range and peak firing temperatures of about 800 °C (set temperature) are investigated. Photoluminescence imaging shows that the surface passivation is laterally uniform. Corona charging and capacitance–voltage measurements reveal that the negative fixed charge density near the AlO x /c-Si interface increases from 1.4 × 10 12 to 3.3 × 10 12 cm −2 due to firing, while the midgap interface defect density reduces from 3.3 × 10 11 to 0.8 × 10 11 cm −2 eV −1 . This work demonstrates that direct firing activation of thermal ALD Al 2 O 3 is feasible, which could be beneficial for solar cell manufacturing. (paper)

  11. Site selective generation of sol-gel deposits in layered bimetallic macroporous electrode architectures.

    Science.gov (United States)

    Lalo, Hélène; Bon-Saint-Côme, Yémima; Plano, Bernard; Etienne, Mathieu; Walcarius, Alain; Kuhn, Alexander

    2012-02-07

    The elaboration of an original composite bimetallic macroporous electrode containing a site-selective sol-gel deposit is reported. Regular colloidal crystals, obtained by a modified Langmuir-Blodgett approach, are used as templates for the electrogeneration of the desired metals in the form of a well-defined layered bimetallic porous electrode. This porous matrix shows a spatially modulated electroactivity which is subsequently used as a strategy for targeted electrogeneration of a sol-gel deposit, exclusively in one predefined part of the porous electrode.

  12. Protection of mineral deposits - a way towards difficult compromises

    Science.gov (United States)

    Radwanek-Bąk, Barbara; Nieć, Marek

    2014-05-01

    Mineral deposits are non-renewable natural resources. Their protection and reasonable exploitation are crucial requests resulting from sustainable development principles. Those are also fundamental issues in frame of the intergeneration justice and fairness concept. Protection of mineral resources should be based on interrelated activities: maintaining the possibility of economic use of the identified mineral resources, reduced consumption of mineral resources and ensuring satisfactory results of new prospecting and development of innovative technologies for the mineral resources base. The main problem with guarantee to the use of mineral resources is the accessibility to sites with documented deposits and prospective areas of their occurrence. Often, this contradicts with the interests of residents, planners and needs of the biotic environment protection, thus is often a source of conflicts. Legislative regulations are necessary to mitigate such arguable matters. SWOT analysis carried out with respect to introducing such legal regulations serves to identify the sources of conflicts and difficulties associated with their solution. Consensus reaching is a difficult task, so all decision makers are required to show their mutual understanding and willingness to achieve the goals taking into consideration all benefits for the population (including future generations). Foundations for finding the middle ground are: making the communities aware of their demands on minerals and of indispensable conditions for satisfying these demands; providing complete and accessible information; factual, non-emotional negotiations between decision makers and the public.

  13. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  14. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  15. Growth model and structure evolution of Ag layers deposited on Ge films.

    Science.gov (United States)

    Ciesielski, Arkadiusz; Skowronski, Lukasz; Górecka, Ewa; Kierdaszuk, Jakub; Szoplik, Tomasz

    2018-01-01

    We investigated the crystallinity and optical parameters of silver layers of 10-35 nm thickness as a function 2-10 nm thick Ge wetting films deposited on SiO 2 substrates. X-ray reflectometry (XRR) and X-ray diffraction (XRD) measurements proved that segregation of germanium into the surface of the silver film is a result of the gradient growth of silver crystals. The free energy of Ge atoms is reduced by their migration from boundaries of larger grains at the Ag/SiO 2 interface to boundaries of smaller grains near the Ag surface. Annealing at different temperatures and various durations allowed for a controlled distribution of crystal dimensions, thus influencing the segregation rate. Furthermore, using ellipsometric and optical transmission measurements we determined the time-dependent evolution of the film structure. If stored under ambient conditions for the first week after deposition, the changes in the transmission spectra are smaller than the measurement accuracy. Over the course of the following three weeks, the segregation-induced effects result in considerably modified transmission spectra. Two months after deposition, the slope of the silver layer density profile derived from the XRR spectra was found to be inverted due to the completed segregation process, and the optical transmission spectra increased uniformly due to the roughened surfaces, corrosion of silver and ongoing recrystallization. The Raman spectra of the Ge wetted Ag films were measured immediately after deposition and ten days later and demonstrated that the Ge atoms at the Ag grain boundaries form clusters of a few atoms where the Ge-Ge bonds are still present.

  16. Electrodeposition and Properties of Copper Layer on NdFeB Device

    Directory of Open Access Journals (Sweden)

    LI Yue

    2017-06-01

    Full Text Available To decrease the impact of the regular Ni/Cu/Ni coating on the magnetic performance of sintered NdFeB device, alkaline system of HEDP complexing agent was applied to directly electro-deposit copper layer on NdFeB matrix, then nickel layer was electrodeposited on the copper layer and Cu/Ni coating was finally obtained to replace the regular Ni/Cu/Ni coating. The influence of concentration of HEDP complexing agent on deposition course was tested by electrochemical testing; morphology of copper layer was characterized by SEM, XRD and TEM; the binding force of copper layer and the thermal reduction of magnetic of NdFeB caused by electrodeposited coating were respectively explored through the thermal cycle test and thermal demagnetization test. The results show that the concentration of HEDP has great impact on the deposition overpotential of copper. In the initial electrodepositing stage, copper particles precipitate at the grain boundaries of NdFeB magnets with a preferred (111 orientation. The copper layer is compact and has enough binding force with the NdFeB matrix to meet the requirements in SJ 1282-1977. Furthermore, the thermal demagnetization loss rate of the sintered NdFeB with the protection of Cu/Ni coating is significantly less than that with the protection of Ni/Cu/Ni coating.

  17. Fabrication of Nanolaminates with Ultrathin Nanolayers Using Atomic Layer Deposition: Nucleation & Growth Issues

    Science.gov (United States)

    2009-02-01

    Tecnologia de Superficies y Materiales (SMCTSM), XXVII Congreso Nacional, Oaxaca, Oaxaca, Mexico, September 26, 2007. 26. "Atomic Layer Deposition of...Nanolaminates: Fabrication and Properties" (Plenary Lecture), Sociedad Mexicana de Ciencia y Tecnologia de Superficies y Materiales (SMCTSM), XXVII

  18. Carbon-layer-protected cuprous oxide nanowire arrays for efficient water reduction

    KAUST Repository

    Zhang, Zhonghai

    2013-02-26

    In this work, we propose a solution-based carbon precursor coating and subsequent carbonization strategy to form a thin protective carbon layer on unstable semiconductor nanostructures as a solution to the commonly occurring photocorrosion problem of many semiconductors. A proof-of-concept is provided by using glucose as the carbon precursor to form a protective carbon coating onto cuprous oxide (Cu2O) nanowire arrays which were synthesized from copper mesh. The carbon-layer-protected Cu2O nanowire arrays exhibited remarkably improved photostability as well as considerably enhanced photocurrent density. The Cu2O nanowire arrays coated with a carbon layer of 20 nm thickness were found to give an optimal water splitting performance, producing a photocurrent density of -3.95 mA cm-2 and an optimal photocathode efficiency of 0.56% under illumination of AM 1.5G (100 mW cm-2). This is the highest value ever reported for a Cu 2O-based electrode coated with a metal/co-catalyst-free protective layer. The photostability, measured as the percentage of the photocurrent density at the end of 20 min measurement period relative to that at the beginning of the measurement, improved from 12.6% on the bare, nonprotected Cu2O nanowire arrays to 80.7% on the continuous carbon coating protected ones, more than a 6-fold increase. We believe that the facile strategy presented in this work is a general approach that can address the stability issue of many nonstable photoelectrodes and thus has the potential to make a meaningful contribution in the general field of energy conversion. © 2013 American Chemical Society.

  19. Inductively coupled plasma nanoetching of atomic layer deposition alumina

    DEFF Research Database (Denmark)

    Han, Anpan; Chang, Bingdong; Todeschini, Matteo

    2018-01-01

    such as silicon dioxide, silicon nitride, and diamond. In this report, we systematically study nanoscale plasma etching of Al2O3 with electron beam lithography and deep UV resist masks. The gas composition and pressure were tuned for optimal etching, and redeposition conditions were mapped. With a BCl3 and Ar...... the resist profile angle. For Al2O3 patterned with deep UV lithography, the smallest structures were 220 nm. For electron beam lithography patterns, the smallest gratings were 18-nm-wide with 50-nm-pitch. Using alumina as a hard mask, we show aspect ratio of 7-10 for subsequent silicon plasma etching, and we......Al2O3 thin-film deposited by atomic layer deposition is an attractive plasma etch mask for Micro and Nano Electro-Mechanical Systems (MEMS and NEMS). 20-nm-thick Al2O3 mask enables through silicon wafer plasma etching. Al2O3 is also an excellent etch mask for other important MEMS materials...

  20. Effects of annealing on the properties of atomic layer deposited Ru thin films deposited by NH{sub 3} and H{sub 2} as reactants

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Joon; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr

    2016-08-01

    Atomic layer deposition (ALD) of Ru using a non-oxidizing reactant is indispensable considering its application as a seed layer for Cu electroplating and a bottom electrode for dynamic random access memory capacitors. In this study, ALD-Ru films were deposited using a sequential supply of dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C{sub 16}H{sub 22}O{sub 6}Ru) and potential non-oxidizing reducing agents, NH{sub 3} or H{sub 2}, as the reactants at a substrate temperature of 250 °C, and the effects of post-annealing in a H{sub 2} ambient on the film properties were investigated. The highly conformal deposition of Ru films was possible using the present reaction scheme but its resistivity was as high as ~ 750 μΩ-cm due to carbon incorporation into the film and the formation of an amorphous structure. Low temperature annealing at 300 °C at H{sub 2} ambient after deposition was found to improve the properties significantly in terms of the resistivity, impurities contents and crystallinity. For example, the film resistivity was decreased drastically to ~ 40 μΩ-cm with both the release of C in the film and crystallization after annealing based on secondary ion mass spectrometry and transmission electron microscopy, whereas perfect step coverage at a very small-sized dual trench (aspect ratio: ~ 3, the top opening size of 45 nm and bottom size of 20 nm) was maintained after annealing. - Highlights: • Ru thin films were deposited by atomic layer deposition (ALD) using NH{sub 3} and H{sub 2} molecules. • Effects of low temperature (300 °C) post-annealing on the film properties were investigated. • Post annealing improved the properties of ALD-Ru films. • Perfect step coverage of ALD-Ru was confirmed at trench structure (top opening width: 45 nm).

  1. Sims Characterisation of ZnO Layer Prepared By Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Andrej Vincze

    2005-01-01

    Full Text Available New material development requires new technologies to create and prepare basic material for semiconductor industry and device applications. Materials have given properties, which exhibit particulary small tolerances. One of the most important and promising material is recently ZnO. ZnO has specific properties for near UV emission and absorption optical devices. The pulsed laser deposition (PLD is one of the methods to prepare this type of material. The aim of this paper is to compare properties of ZnO layers deposited from pure Zn target in oxygen atmosphere and the analysis of their surface properties by secondary ion mass spectroscopy (SIMS, atomic force microscopy (AFM and scanning electron microscopy (SEM.

  2. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  3. Low-temperature atomic layer deposition of TiO2 thin layers for the processing of memristive devices

    International Nuclear Information System (INIS)

    Porro, Samuele; Conti, Daniele; Guastella, Salvatore; Ricciardi, Carlo; Jasmin, Alladin; Pirri, Candido F.; Bejtka, Katarzyna; Perrone, Denis; Chiolerio, Alessandro

    2016-01-01

    Atomic layer deposition (ALD) represents one of the most fundamental techniques capable of satisfying the strict technological requirements imposed by the rapidly evolving electronic components industry. The actual scaling trend is rapidly leading to the fabrication of nanoscaled devices able to overcome limits of the present microelectronic technology, of which the memristor is one of the principal candidates. Since their development in 2008, TiO 2 thin film memristors have been identified as the future technology for resistive random access memories because of their numerous advantages in producing dense, low power-consuming, three-dimensional memory stacks. The typical features of ALD, such as self-limiting and conformal deposition without line-of-sight requirements, are strong assets for fabricating these nanosized devices. This work focuses on the realization of memristors based on low-temperature ALD TiO 2 thin films. In this process, the oxide layer was directly grown on a polymeric photoresist, thus simplifying the fabrication procedure with a direct liftoff patterning instead of a complex dry etching process. The TiO 2 thin films deposited in a temperature range of 120–230 °C were characterized via Raman spectroscopy and x-ray photoelectron spectroscopy, and electrical current–voltage measurements taken in voltage sweep mode were employed to confirm the existence of resistive switching behaviors typical of memristors. These measurements showed that these low-temperature devices exhibit an ON/OFF ratio comparable to that of a high-temperature memristor, thus exhibiting similar performances with respect to memory applications

  4. Enhanced magnetic properties of chemical solution deposited BiFeO3 thin film with ZnO buffer layer

    International Nuclear Information System (INIS)

    Rajalakshmi, R.; Kambhala, Nagaiah; Angappane, S.

    2012-01-01

    Highlights: ► Enhanced magnetization of BiFeO 3 is important for strong magnetoelectric coupling. ► BiFeO 3 film with ZnO buffer layer was successfully synthesized by chemical method. ► Magnetization of BiFeO 3 has increased by more than 10 times with ZnO buffer layer. ► A mechanism for enhancement in ferromagnetism of BiFeO 3 film is proposed. - Abstract: Magnetic properties of BiFeO 3 films deposited on Si substrates with and without ZnO buffer layer have been studied in this work. We adopted the chemical solution deposition method for the deposition of BiFeO 3 as well as ZnO films. The x-ray diffraction measurements on the deposited films confirm the formation of crystalline phase of BiFeO 3 and ZnO films, while our electron microscopy measurements help to understand the morphology of few micrometers thick films. It is found that the deposited ZnO film exhibit a hexagonal particulate surface morphology, whereas BiFeO 3 film fully covers the ZnO surface. Our magnetic measurements reveal that the magnetization of BiFeO 3 has increased by more than ten times in BiFeO 3 /ZnO/Si film compared to BiFeO 3 /Si film, indicating the major role played by ZnO buffer layer in enhancing the magnetic properties of BiFeO 3 , a technologically important multiferroic material.

  5. Graphene crystal growth by thermal precipitation of focused ion beam induced deposition of carbon precursor via patterned-iron thin layers

    Directory of Open Access Journals (Sweden)

    Rius Gemma

    2014-01-01

    Full Text Available Recently, relevant advances on graphene as a building block of integrated circuits (ICs have been demonstrated. Graphene growth and device fabrication related processing has been steadily and intensively powered due to commercial interest; however, there are many challenges associated with the incorporation of graphene into commercial applications which includes challenges associated with the synthesis of this material. Specifically, the controlled deposition of single layer large single crystal graphene on arbitrary supports, is particularly challenging. Previously, we have reported the first demonstration of the transformation of focused ion beam induced deposition of carbon (FIBID-C into patterned graphitic layers by metal-assisted thermal treatment (Ni foils. In this present work, we continue exploiting the FIBID-C approach as a route for graphene deposition. Here, thin patterned Fe layers are used for the catalysis of graphenization and graphitization. We demonstrate the formation of high quality single and few layer graphene, which evidences, the possibility of using Fe as a catalyst for graphene deposition. The mechanism is understood as the minute precipitation of atomic carbon after supersaturation of some iron carbides formed under a high temperature treatment. As a consequence of the complete wetting of FIBID-C and patterned Fe layers, which enable graphene growth, the as-deposited patterns do not preserve their original shape after the thermal treatment

  6. Modular injector integrated linear apparatus with motion profile optimization for spatial atomic layer deposition

    Science.gov (United States)

    Wang, Xiaolei; Li, Yun; Lin, Jilong; Shan, Bin; Chen, Rong

    2017-11-01

    A spatial atomic layer deposition apparatus integrated with a modular injector and a linear motor has been designed. It consists of four parts: a precursor delivery manifold, a modular injector, a reaction zone, and a driving unit. An injector with multi-layer structured channels is designed to help improve precursor distribution homogeneity. During the back and forth movement of the substrate at high speed, the inertial impact caused by jerk and sudden changes of acceleration will degrade the film deposition quality. Such residual vibration caused by inertial impact will aggravate the fluctuation of the gap distance between the injector and the substrate in the deposition process. Thus, an S-curve motion profile is implemented to reduce the large inertial impact, and the maximum position error could be reduced by 84%. The microstructure of the film under the S-curve motion profile shows smaller root-mean-square and scanning voltage amplitude under an atomic force microscope, which verifies the effectiveness of the S-curve motion profile in reducing the residual vibration and stabilizing the gap distance between the injector and the substrate. The film deposition rate could reach 100 nm/min while maintaining good uniformity without obvious periodic patterns on the surface.

  7. Influence of the thickness of electrochemically deposited polyaniline used as hole transporting layer on the behaviour of polymer light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Alonso, J.L. [Dpto. de Fisica y Arquitectura de Computadores, Universidad Miguel Hernandez, Av. de la Universidad s/n, Ed. Torrepinet, 03202, Elche, Alicante (Spain)], E-mail: j.l.alonso@umh.es; Ferrer, J.C. [Dpto. de Fisica y Arquitectura de Computadores, Universidad Miguel Hernandez, Av. de la Universidad s/n, Ed. Torrepinet, 03202, Elche, Alicante (Spain); Cotarelo, M.A.; Montilla, F. [Dpto. de Quimica Fisica e Instituto Universitario de Materiales de Alicante, Apdo. de Correos 99, E-03080, Alicante (Spain); Fernandez de Avila, S. [Dpto. de Fisica y Arquitectura de Computadores, Universidad Miguel Hernandez, Av. de la Universidad s/n, Ed. Torrepinet, 03202, Elche, Alicante (Spain)

    2009-02-27

    An experimental study about the influence of the thickness of electrochemically deposited polyaniline (PANI), used as hole-transporting layer, on the behaviour of polymer light emitting diodes is presented. Two sets of devices with a different conjugated polymer used as active layer were prepared. Poly(9-vinylcarbazole) was used for the first type of devices, whereas Poly[2-methoxy-5-(3',7'-dimethyloctyloxy)-1,4-phenylene-vinylene] was used for the second type. Each set consists of five polymeric diodes in which the hole-transporting layer has been varied. In one case of each set no layer was deposited, in other one a Poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) layer was deposited, and in the rest of the diodes a different thickness of electrochemically deposited PANI was employed. The optic and electronic characterization of the devices show that controlling the thickness of the PANI hole transporting layer, both the maximum emission peak of the electroluminescence curves and the driving voltage could be tuned. Furthermore, an exponential behaviour has been demonstrated for the maximum intensity of the electroluminescence curves as a function of the applied excitation voltage between anode and cathode.

  8. Influence of the thickness of electrochemically deposited polyaniline used as hole transporting layer on the behaviour of polymer light-emitting diodes

    International Nuclear Information System (INIS)

    Alonso, J.L.; Ferrer, J.C.; Cotarelo, M.A.; Montilla, F.; Fernandez de Avila, S.

    2009-01-01

    An experimental study about the influence of the thickness of electrochemically deposited polyaniline (PANI), used as hole-transporting layer, on the behaviour of polymer light emitting diodes is presented. Two sets of devices with a different conjugated polymer used as active layer were prepared. Poly(9-vinylcarbazole) was used for the first type of devices, whereas Poly[2-methoxy-5-(3',7'-dimethyloctyloxy)-1,4-phenylene-vinylene] was used for the second type. Each set consists of five polymeric diodes in which the hole-transporting layer has been varied. In one case of each set no layer was deposited, in other one a Poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) layer was deposited, and in the rest of the diodes a different thickness of electrochemically deposited PANI was employed. The optic and electronic characterization of the devices show that controlling the thickness of the PANI hole transporting layer, both the maximum emission peak of the electroluminescence curves and the driving voltage could be tuned. Furthermore, an exponential behaviour has been demonstrated for the maximum intensity of the electroluminescence curves as a function of the applied excitation voltage between anode and cathode

  9. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    International Nuclear Information System (INIS)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-01-01

    The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min

  10. TMAP-7 simulation of D2 thermal release data from Be co-deposited layers

    International Nuclear Information System (INIS)

    Baldwin, M.J.; Schwarz-Selinger, T.; Yu, J.H.; Doerner, R.P.

    2013-01-01

    The efficacy of (1) bake-out at 513 K and 623 K, and (2) thermal transient (10 ms) loading to up to 1000 K, is explored for reducing D inventory in 1 μm thick Be–D (D/Be ∼0.1) co-deposited layers formed at 323 K for experiment (1) and ∼500 K for experiment (2). D release data from co-deposits are obtained by thermal desorption and used to validate a model input into the Tritium Migration and Analysis Program 7 (TMAP). In (1), good agreement with experiment is found for a TMAP model encorporating traps of activation energies, 0.80 eV and 0.98 eV, whereas an additional 2 eV trap was required to model experiment (2). Thermal release is found to be trap limited, but simulations are optimal when surface recombination is taken into account. Results suggest that thick built-up co-deposited layers will hinder ITER inventory control, and that bake periods (∼1 day) will be more effective in inventory reduction than transient thermal loading

  11. TMAP-7 simulation of D2 thermal release data from Be co-deposited layers

    Science.gov (United States)

    Baldwin, M. J.; Schwarz-Selinger, T.; Yu, J. H.; Doerner, R. P.

    2013-07-01

    The efficacy of (1) bake-out at 513 K and 623 K, and (2) thermal transient (10 ms) loading to up to 1000 K, is explored for reducing D inventory in 1 μm thick Be-D (D/Be ˜0.1) co-deposited layers formed at 323 K for experiment (1) and ˜500 K for experiment (2). D release data from co-deposits are obtained by thermal desorption and used to validate a model input into the Tritium Migration & Analysis Program 7 (TMAP). In (1), good agreement with experiment is found for a TMAP model encorporating traps of activation energies, 0.80 eV and 0.98 eV, whereas an additional 2 eV trap was required to model experiment (2). Thermal release is found to be trap limited, but simulations are optimal when surface recombination is taken into account. Results suggest that thick built-up co-deposited layers will hinder ITER inventory control, and that bake periods (˜1 day) will be more effective in inventory reduction than transient thermal loading.

  12. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  13. Integration of atomic layer deposition CeO2 thin films with functional complex oxides and 3D patterns

    International Nuclear Information System (INIS)

    Coll, M.; Palau, A.; Gonzalez-Rosillo, J.C.; Gazquez, J.; Obradors, X.; Puig, T.

    2014-01-01

    We present a low-temperature, < 300 °C, ex-situ integration of atomic layer deposition (ALD) ultrathin CeO 2 layers (3 to 5 unit cells) with chemical solution deposited La 0.7 Sr 0.3 MnO 3 (LSMO) functional complex oxides for multilayer growth without jeopardizing the morphology, microstructure and physical properties of the functional oxide layer. We have also extended this procedure to pulsed laser deposited YBa 2 Cu 3 O 7 (YBCO) thin films. Scanning force microscopy, X-ray diffraction, aberration corrected scanning transmission electron microscopy and macroscopic magnetic measurements were used to evaluate the quality of the perovskite films before and after the ALD process. By means of microcontact printing and ALD we have prepared CeO 2 patterns using an ozone-robust photoresist that will avoid the use of hazardous lithography processes directly on the device components. These bilayers, CeO 2 /LSMO and CeO 2 /YBCO, are foreseen to have special interest for resistive switching phenomena in resistive random-access memory. - Highlights: • Integration of atomic layer deposition (ALD) CeO 2 layers on functional complex oxides • Resistive switching is identified in CeO 2 /La 0.7 Sr 0.3 MnO 3 and CeO 2 /YBa 2 Cu 3 O 7 bilayers. • Study of the robustness of organic polymers for area-selective ALD • Combination of ALD and micro-contact printing to obtain 3D patterns of CeO 2

  14. Protective and decorative coatings produced by ion-plasma deposition

    International Nuclear Information System (INIS)

    Radjabov, T.D.; Kamardin, A.I.; Pulatov, S.U.

    1996-01-01

    Vacuum device is worked out for the vacuum low temperature deposition of protective and decorative films and studied technical regimes of obtaining such films to target from the metal,plastics, ceramic and glass with thickness up to 10 mkm and square 1 m 2 /cycle. Vacuum device provide possibility to create films by means of magnetron with pressure 100-10 1 Pa to different targets and to conduct preliminary treatment of them by argon ion beam with 3-4 keV energy for the cleaning of surface. Protective films of Chrome, Titanium, Nitride of Titanium and stainless steel have shown high adhesion properties up to 300-400 kgs/sm and ensure stable protection of surface from air and chemical corrosion. Obtained films has good decorative and colour characteristics. (author). 2 figs

  15. The Morphology of Silver Layers on SU8 polymers prepared by Electroless Deposition

    Science.gov (United States)

    Dutta, Aniruddha; Yuan, Biao; Heinrich, Helge; Grabill, Chris; Williams, Henry; Kuebler, Stephen; Bhattacharya, Aniket

    2010-03-01

    Silver was deposited onto the functionalized surface of polymeric SU-8 where gold nanoparticles (Au-NPs) act as nucleation sites using electroless metallization chemistry. Here we report on the evolution of the nanoscale morphology of deposited Ag studied by Transmission Electron Microscopy (TEM). In TEM of sample cross sections correlations between the original gold and the silver nanoparticles were obtained while plan-view TEM results showed the distribution of nanoparticles on the surface. Scanning TEM with a high-angle annular dark field detector was used to obtain atomic number contrast. The morphology of the deposited Ag was controlled through the presence and absence of gum Arabic. The thickness and height fluctuations of the Ag layer were determined as a function of time and a statistical analysis of the growth process was conducted for the initial deposition periods.

  16. Development of ZnO:Al-based transparent contacts deposited at low-temperature by RF-sputtering on InN layers

    Energy Technology Data Exchange (ETDEWEB)

    Fernandez, S. [Departamento de Energias Renovables, Energia Solar Fotovoltaica, Centro de Investigaciones Energeticas, Medioambientales y Tecnologicas (CIEMAT), Avda. Complutense 22, 28040 Madrid (Spain); Naranjo, F.B.; Valdueza-Felip, S. [Grupo de Ingenieria Fotonica, Departamento de Electronica, Escuela Politecnica Superior, Universidad de Alcala Campus Universitario, 28871 Alcala de Henares, Madrid (Spain); Abril, O. de [ISOM and Departamento de Fisica Aplicada, Escuela Tecnica Superior de Ingenieros de Telecomunicacion, Universidad Politenica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain)

    2012-03-15

    Nitride semiconductors (Al,Ga,In)N attain material properties that make them suitable for photovoltaic and optoelectronics devices to be used in hard environments. These properties include an energy gap continuously tuneable within the energy range of the solar spectrum, a high radiation resistance and thermal stability. The developing of efficient devices requires contacts with low resistivity and high transmittance in visible region. ZnO:Al (AZO) emerges as a feasible candidate for transparent contact to nitride semiconductors, taking advantage of its low resistivity, high transparency in visible wavelengths and a very low lattice mismatch with respect to nitride semiconductors. This work presents a study of the applications of AZO films deposited at low-temperature by RF magnetron sputtering as transparent contact for InN layers. The optimization of AZO conditions deposition lead to the obtaining of contacts which shows an ohmic behaviour for the as-deposited layer, regardless the thickness of the ZnO:Al contact layer. Specific contact resistances of 1.6 {omega}.cm{sup 2} were achieved for the contact with 90 nm thick ZnO:Al layer without any post-deposition treatment (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Protective layer formation on magnesium in cell culture medium

    Energy Technology Data Exchange (ETDEWEB)

    Wagener, V.; Virtanen, S., E-mail: virtanen@ww.uni-erlangen.de

    2016-06-01

    In the past, different studies showed that hydroxyapatite (HA) or similar calcium phosphates can be precipitated on Mg during immersion in simulated body fluids. However, at the same time, in most cases a dark grey or black layer is built under the white HA crystals. This layer seems to consist as well of calcium phosphates. Until now, neither the morphology nor its influence on Mg corrosion have been investigated in detail. In this work commercially pure magnesium (cp) was immersed in cell culture medium for one, three and five days at room temperature and in the incubator (37 °C, 5% CO{sub 2}). In addition, the influence of proteins on the formation of a corrosion layer was investigated by adding 20% of fetal calf serum (FCS) to the cell culture medium in the incubator. In order to analyze the formed layers, SEM images of cross sections, X-ray Photoelectron Spectroscopy (XPS), X-ray diffraction (XRD), Energy Dispersive X-ray Spectroscopy (EDX) and Fourier Transformed Infrared Spectroscopy (FTIR) measurements were carried out. Characterization of the corrosion behavior was achieved by electrochemical impedance spectroscopy (EIS) and by potentio-dynamic polarization in Dulbecco's Modified Eagle's Medium (DMEM) at 37 °C. Surface analysis showed that all formed layers consist mainly of amorphous calcium phosphate compounds. For the immersion at room temperature the Ca/P ratio indicates the formation of HA, while in the incubator probably pre-stages to HA are formed. The different immersion conditions lead to a variation in layer thicknesses. However, electrochemical characterization shows that the layer thickness does not influence the corrosion resistance of magnesium. The main influencing factor for the corrosion behavior is the layer morphology. Thus, immersion at room temperature leads to the highest corrosion protection due to the formation of a compact outer layer. Layers formed in the incubator show much worse performances due to completely porous

  18. Protective layer formation on magnesium in cell culture medium

    International Nuclear Information System (INIS)

    Wagener, V.; Virtanen, S.

    2016-01-01

    In the past, different studies showed that hydroxyapatite (HA) or similar calcium phosphates can be precipitated on Mg during immersion in simulated body fluids. However, at the same time, in most cases a dark grey or black layer is built under the white HA crystals. This layer seems to consist as well of calcium phosphates. Until now, neither the morphology nor its influence on Mg corrosion have been investigated in detail. In this work commercially pure magnesium (cp) was immersed in cell culture medium for one, three and five days at room temperature and in the incubator (37 °C, 5% CO_2). In addition, the influence of proteins on the formation of a corrosion layer was investigated by adding 20% of fetal calf serum (FCS) to the cell culture medium in the incubator. In order to analyze the formed layers, SEM images of cross sections, X-ray Photoelectron Spectroscopy (XPS), X-ray diffraction (XRD), Energy Dispersive X-ray Spectroscopy (EDX) and Fourier Transformed Infrared Spectroscopy (FTIR) measurements were carried out. Characterization of the corrosion behavior was achieved by electrochemical impedance spectroscopy (EIS) and by potentio-dynamic polarization in Dulbecco's Modified Eagle's Medium (DMEM) at 37 °C. Surface analysis showed that all formed layers consist mainly of amorphous calcium phosphate compounds. For the immersion at room temperature the Ca/P ratio indicates the formation of HA, while in the incubator probably pre-stages to HA are formed. The different immersion conditions lead to a variation in layer thicknesses. However, electrochemical characterization shows that the layer thickness does not influence the corrosion resistance of magnesium. The main influencing factor for the corrosion behavior is the layer morphology. Thus, immersion at room temperature leads to the highest corrosion protection due to the formation of a compact outer layer. Layers formed in the incubator show much worse performances due to completely porous structures. The

  19. Cross-layer shared protection strategy towards data plane in software defined optical networks

    Science.gov (United States)

    Xiong, Yu; Li, Zhiqiang; Zhou, Bin; Dong, Xiancun

    2018-04-01

    In order to ensure reliable data transmission on the data plane and minimize resource consumption, a novel protection strategy towards data plane is proposed in software defined optical networks (SDON). Firstly, we establish a SDON architecture with hierarchical structure of data plane, which divides the data plane into four layers for getting fine-grained bandwidth resource. Then, we design the cross-layer routing and resource allocation based on this network architecture. Through jointly considering the bandwidth resource on all the layers, the SDN controller could allocate bandwidth resource to working path and backup path in an economical manner. Next, we construct auxiliary graphs and transform the shared protection problem into the graph vertex coloring problem. Therefore, the resource consumption on backup paths can be reduced further. The simulation results demonstrate that the proposed protection strategy can achieve lower protection overhead and higher resource utilization ratio.

  20. Atomic layer deposition on polymer based flexible packaging materials: Growth characteristics and diffusion barrier properties

    International Nuclear Information System (INIS)

    Kaeaeriaeinen, Tommi O.; Maydannik, Philipp; Cameron, David C.; Lahtinen, Kimmo; Johansson, Petri; Kuusipalo, Jurkka

    2011-01-01

    One of the most promising areas for the industrial application of atomic layer deposition (ALD) is for gas barrier layers on polymers. In this work, a packaging material system with improved diffusion barrier properties has been developed and studied by applying ALD on flexible polymer based packaging materials. Nanometer scale metal oxide films have been applied to polymer-coated papers and their diffusion barrier properties have been studied by means of water vapor and oxygen transmission rates. The materials for the study were constructed in two stages: the paper was firstly extrusion coated with polymer film, which was then followed by the ALD deposition of oxide layer. The polymers used as extrusion coatings were polypropylene, low and high density polyethylene, polylactide and polyethylene terephthalate. Water vapor transmission rates (WVTRs) were measured according to method SCAN-P 22:68 and oxygen transmission rates (O 2 TRs) according to a standard ASTM D 3985. According to the results a 10 nm oxide layer already decreased the oxygen transmission by a factor of 10 compared to uncoated material. WVTR with 40 nm ALD layer was better than the level currently required for most common dry flexible packaging applications. When the oxide layer thickness was increased to 100 nm and above, the measured WVTRs were limited by the measurement set up. Using an ALD layer allowed the polymer thickness on flexible packaging materials to be reduced. Once the ALD layer was 40 nm thick, WVTRs and O 2 TRs were no longer dependent on polymer layer thickness. Thus, nanometer scale ALD oxide layers have shown their feasibility as high quality diffusion barriers on flexible packaging materials.

  1. Atomic layer deposition on polymer based flexible packaging materials: Growth characteristics and diffusion barrier properties

    Energy Technology Data Exchange (ETDEWEB)

    Kaeaeriaeinen, Tommi O., E-mail: tommi.kaariainen@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Cameron, David C., E-mail: david.cameron@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Lahtinen, Kimmo, E-mail: kimmo.lahtinen@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland); Johansson, Petri, E-mail: petri.johansson@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland)

    2011-03-01

    One of the most promising areas for the industrial application of atomic layer deposition (ALD) is for gas barrier layers on polymers. In this work, a packaging material system with improved diffusion barrier properties has been developed and studied by applying ALD on flexible polymer based packaging materials. Nanometer scale metal oxide films have been applied to polymer-coated papers and their diffusion barrier properties have been studied by means of water vapor and oxygen transmission rates. The materials for the study were constructed in two stages: the paper was firstly extrusion coated with polymer film, which was then followed by the ALD deposition of oxide layer. The polymers used as extrusion coatings were polypropylene, low and high density polyethylene, polylactide and polyethylene terephthalate. Water vapor transmission rates (WVTRs) were measured according to method SCAN-P 22:68 and oxygen transmission rates (O{sub 2}TRs) according to a standard ASTM D 3985. According to the results a 10 nm oxide layer already decreased the oxygen transmission by a factor of 10 compared to uncoated material. WVTR with 40 nm ALD layer was better than the level currently required for most common dry flexible packaging applications. When the oxide layer thickness was increased to 100 nm and above, the measured WVTRs were limited by the measurement set up. Using an ALD layer allowed the polymer thickness on flexible packaging materials to be reduced. Once the ALD layer was 40 nm thick, WVTRs and O{sub 2}TRs were no longer dependent on polymer layer thickness. Thus, nanometer scale ALD oxide layers have shown their feasibility as high quality diffusion barriers on flexible packaging materials.

  2. Morphology and gas sensing properties of as-deposited and thermally treated doped thin SnO{sub x} layers

    Energy Technology Data Exchange (ETDEWEB)

    Georgieva, B; Pirov, J; Podolesheva, I [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria); Nihtianova, D, E-mail: biliana@clf.bas.b [Central Laboratory of Mineralogy and Crystallography, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.107, 1113 Sofia (Bulgaria)

    2010-04-01

    Thin layers intended for gas sensors are prepared by vacuum co-evaporation of TeO{sub 2} and Sn. The as-deposited layers consist of a nanosized oxide matrix and finely dispersed dopants (Te, Sn, TeO{sub 2} or SnTe, depending on the atomic ratio R{sub Sn/Te}). In order to improve the characteristics of the layers they are additionally doped with platinum. The gas sensing properties are strongly dependent on the atomic ratio R{sub Sn/Te}, as well as on the structure, composition and surface morphology. The as-deposited layers with R{sub Sn/Te} 0.8 are highly sensitive humidity sensors working at room temperature. Thermally treated Pt-doped layers with R{sub Sn/Te} 2.3 are promising as ethanol sensors. With the aim of obtaining more detailed knowledge about the surface morphology, structure and composition of layers sensitive to different environments, various techniques -TEM, SAED, SEM, EDS in SEM and white light interferometry (WLI), are applied. It is shown that all layers with 1.0 > R{sub Sn/Te} > 2, as-deposited and thermally treated, exhibit a columnar structure and a very smooth surface along with the nanograined matrix. The thermal treatment causes changes in the structure and composition of the layers. The ethanol-sensitive layers consist of nanosized polycrystalline phases of SnO{sub 2}, Sn{sub 2}O{sub 3}, Sn{sub 3}O{sub 4} and TeO{sub 2}. This knowledge could help us understand better the behaviour and govern the characteristics of layers obtained by co-evaporation of Sn and TeO{sub 2}.

  3. Pt thermal atomic layer deposition for silicon x-ray micropore optics.

    Science.gov (United States)

    Takeuchi, Kazuma; Ezoe, Yuichiro; Ishikawa, Kumi; Numazawa, Masaki; Terada, Masaru; Ishi, Daiki; Fujitani, Maiko; Sowa, Mark J; Ohashi, Takaya; Mitsuda, Kazuhisa

    2018-04-20

    We fabricated a silicon micropore optic using deep reactive ion etching and coated by Pt with atomic layer deposition (ALD). We confirmed that a metal/metal oxide bilayer of Al 2 O 3 ∼10  nm and Pt ∼20  nm was successfully deposited on the micropores whose width and depth are 20 μm and 300 μm, respectively. An increase of surface roughness of sidewalls of the micropores was observed with a transmission electron microscope and an atomic force microscope. X-ray reflectivity with an Al Kα line at 1.49 keV before and after the deposition was measured and compared to ray-tracing simulations. The surface roughness of the sidewalls was estimated to increase from 1.6±0.2  nm rms to 2.2±0.2  nm rms. This result is consistent with the microscope measurements. Post annealing of the Pt-coated optic at 1000°C for 2 h showed a sign of reduced surface roughness and better angular resolution. To reduce the surface roughness, possible methods such as the annealing after deposition and a plasma-enhanced ALD are discussed.

  4. Inflatable bag with sealing and protection layer

    International Nuclear Information System (INIS)

    Kocourek, L.; Dohnal, M.; Klinga, J.; Matal, O.; Holy, F.

    1989-01-01

    The inflatable bag consists of a textile casing in which a cylindrical pvc tyre with concave bottoms is inserted and glued to the casing. A sealing protective layer is provided on the outer periphery of the cylindrical part of the tyre. A tyre valve with flange and fixing ears and also a relief valve are provided in the concave bottom. The casing material, such as a layer of 0.5 to 5 mm silicone rubber bands is suitable for use in contact with austenitic materials. The bag completely seals spaces such as the mouths of steam generator tubes or collector branches in a nuclear power plant. Decontamination can easily be achieved by rinsing the surface with common means. (J.B.). 2 figs

  5. TiO2 nanosheets synthesized by atomic layer deposition for photocatalysis

    Directory of Open Access Journals (Sweden)

    Riyanto Edy

    2016-10-01

    Full Text Available Two-dimensional TiO2 nanosheets were synthesized by atomic layer deposition (ALD on dissolvable sacrificial polymer layer. The photocatalytic performance of free-standing TiO2 nanosheets prepared with different numbers of ALD cycles (100, 300, 500, and 1000 were investigated by evaluating the degradation rates of methyl orange solutions. It is shown that the photocatalytic activity increases due to Ti3+ defect and the locally ordered structures in amorphous TiO2 nanosheets. The difference in the surface areas of nanosheets may also play a crucial role in the photocatalytic activity. The results obtained in this work can have potential applications in fields like water splitting and dye-sensitized solar cells.

  6. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  7. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  8. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  9. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  10. Novel Bonding Process for CBW Protective Electrospun Fabric Laminates Phase 2

    Science.gov (United States)

    2011-12-01

    thane Foam Knit Polyester Fabric Woven C otton Fabric Army C hemical Protective Uniform Polyacrylonitrile Electrospun Membrane Microporous PTFE...deposit more material per unit time, quickly building up an insulating layer beneath the nozzle tip. Again this pushes the fiber deposit outward to

  11. Role of SiC substrate surface on local tarnishing of deposited silver mirror stacks

    Science.gov (United States)

    Limam, Emna; Maurice, Vincent; Seyeux, Antoine; Zanna, Sandrine; Klein, Lorena H.; Chauveau, Grégory; Grèzes-Besset, Catherine; Savin De Larclause, Isabelle; Marcus, Philippe

    2018-04-01

    The role of the SiC substrate surface on the resistance to the local initiation of tarnishing of thin-layered silver stacks for demanding space mirror applications was studied by combined surface and interface analysis on model stack samples deposited by cathodic magnetron sputtering and submitted to accelerated aging in gaseous H2S. It is shown that suppressing the surface pores resulting from the bulk SiC material production process by surface pretreatment eliminates the high aspect ratio surface sites that are imperfectly protected by the SiO2 overcoat after the deposition of silver. The formation of channels connecting the silver layer to its environment through the failing protection layer at the surface pores and locally enabling H2S entry and Ag2S growth as columns until emergence at the stack surface is suppressed, which markedly delays tarnishing initiation and thereby preserves the optical performance. The results revealed that residual tarnishing initiation proceeds by a mechanism essentially identical in nature but involving different pathways short circuiting the protection layer and enabling H2S ingress until the silver layer. These permeation pathways are suggested to be of microstructural origin and could correspond to the incompletely coalesced intergranular boundaries of the SiO2 layer.

  12. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  13. Quantum chemical study of the elementary reactions in zirconium oxide atomic layer deposition

    International Nuclear Information System (INIS)

    Widjaja, Yuniarto; Musgrave, Charles B.

    2002-01-01

    Elementary reactions in atomic layer deposition of zirconia using zirconium tetrachloride and water are investigated using the density functional theory. The atomistic mechanisms of the two deposition half cycles on the Zr-OH and Zr-Cl surface sites are investigated. Both half reactions proceed through the formation of stable intermediates, resulting in high barriers for HCl formation. We find that the intermediate stability is lowered as the surface temperature is raised. However, increasing temperature also increases the dissociation free-energy barrier, which in turn results in increased desorption of adsorbed precursors

  14. Study of underpotential deposited Cu layers on Pt(111) and their stability against CO and CO2 in perchloric acid

    DEFF Research Database (Denmark)

    Schlaup, Christian Georg; Horch, Sebastian

    2013-01-01

    The underpotential deposition (UPD) of copper on a Pt(111) electrode and the influence of gas coadsorbates, i.e. CO and CO2, on the thus deposited copper layer were studied in a 0.1 M HClO4 electrolyte by means of EC-STM. By UPD, an atomically flat Cu layer is formed, which exhibits a pseudomorph...

  15. The effects of ZnO buffer layers on the properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, K-W; Lugo, F J; Lee, J H; Norton, D P

    2012-01-01

    The properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition were examined, specifically focusing on the effects of undoped ZnO buffer layers. In particular, buffer layers were grown under different conditions; the transport properties of as-deposited and rapid thermal annealed ZnO:P films were then examined. As-deposited films showed n-type conductivity. After rapid thermal annealing, the film on buffer layer grown at a low temperature showed the conversion of carrier type to p-type for specific growth conditions while the films deposited on buffer layer grown at a high temperature remained n-type regardless of growth condition. The films deposited on buffer layer grown at a low temperature showed higher resistivity and more significant change of the transport properties upon rapid thermal annealing. These results suggest that more dopants are incorporated in films with higher defect density. This is consistent with high resolution x-ray diffraction results for phosphorus doped ZnO films on different buffer layers. In addition, the microstructure of phosphorus doped ZnO films is substantially affected by the buffer layer.

  16. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  17. Atomic layer deposition of zirconium dioxide from zirconium tetrachloride and ozone

    Energy Technology Data Exchange (ETDEWEB)

    Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Kemell, Marianna; Köykkä, Joel [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [Accelerator Laboratory, Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 Helsinki (Finland); Vehkamäki, Marko; Ritala, Mikko; Leskelä, Markku [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2015-08-31

    ZrO{sub 2} films were grown by atomic layer deposition using ZrCl{sub 4} and O{sub 3} as precursors. The films were grown on silicon substrates in the temperature range of 220–500 °C. The ALD rate was monotonously decreasing from 0.085 to 0.060 nm/cycle in this temperature range towards the highest temperatures studied. The content of chlorine in the films did not exceed 0.2 at.% as measured by elastic recoil detection analysis. The content of hydrogen was 0.30 and 0.14 at.% in the films grown at 300 and 400 °C, respectively. Structural studies revealed the films consisting of mixtures of stable monoclinic and metastable tetragonal/cubic polymorphs of ZrO{sub 2}, and dominantly metastable phases of ZrO{sub 2} below and above 300 °C, respectively. Permittivity of dielectric layers in Al/Ti/ZrO{sub 2}/(TiN/)Si capacitors with 15–40 nm thick ZrO{sub 2} ranged between 12 and 25 at 100 kHz and the dielectric breakdown fields were in the range of 1.5–3.0 MV/cm. - Highlights: • ZrO{sub 2} thin films were grown by atomic layer deposition from ZrCl{sub 4} and O{sub 3}. • Relatively high substrate temperatures promoted growth of metastable ZrO{sub 2} phases. • ZrO{sub 2} films exhibited electric properties characteristic of dielectric metal oxides. • ZrO{sub 2} grown in hydrogen- and carbon free process contained low amounts of impurities.

  18. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin; Liu, Jiabin; Li, Qianqian; Cheng, Yingchun; Dong, Cezhou; Zhou, Wu; Wang, Pengfei; Wang, Qingxiao; Yang, Yang; Zhu, Yihan; Zeng, Yuewu; Wang, Hongtao

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations

  19. Zinc Sulfide Buffer Layer for CIGS Solar Cells Prepared by Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Rui-Wei You

    2016-11-01

    Full Text Available In this study, ZnS thin films were successfully synthesized by chemical bath deposition (CBD with starting materials of NH2-NH2, SC(NH22, and ZnSO4‧7H2O. ZnS thin films were deposited with different time on glass substrates by CBD at 80oC and pH=9. Based on X-ray diffraction (XRD patterns, it is found that the ZnS thin films exhibit cubic polycrystalline phase. It was found that the optimum deposition time is 90 min for preparing ZnS thin film that is suitable as buffer layer for CuIn1-xGaxSe2 solar cells. The thin film deposited for 90 min has high transmittance up to 80% in the spectra range from 350 nm to 800 nm, and the optical band gap is about 3.59 eV.

  20. Control of thermal deformation in dielectric mirrors using mechanical design and atomic layer deposition.

    Science.gov (United States)

    Gabriel, Nicholas T; Kim, Sangho S; Talghader, Joseph J

    2009-07-01

    A mechanical design technique for optical coatings that simultaneously controls thermal deformation and optical reflectivity is reported. The method requires measurement of the refractive index and thermal stress of single films prior to the design. Atomic layer deposition was used for deposition because of the high repeatability of the film constants. An Al2O3/HfO2 distributed Bragg reflector was deposited with a predicted peak reflectivity of 87.9% at 542.4 nm and predicted edge deformation of -360 nm/K on a 10 cm silicon substrate. The measured peak reflectivity was 85.7% at 541.7 nm with an edge deformation of -346 nm/K.

  1. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2013-01-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  2. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.

    2013-04-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  3. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2015-12-01

    Full Text Available In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD, chemical etching and atomic layer deposition (ALD. For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD. Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material.

  4. Properties of deposited layer formed by interaction with Be seeded D–He mixture plasma and tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Tokunaga, K., E-mail: tokunaga@riam.kyushu-u.ac.jp [Research Institute for Applied Mechanics, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Baldwin, M.J.; Nishijima, D.; Doerner, R.P. [Center for Energy Research, University of California at San Diego, 9500 Gilman Drive, La Jolla, CA 92093-0417 (United States); Nagata, S. [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Tsuchiya, B. [Department of General Education, Faculty of Science and Technology, Meiji University, 1-501 Shiogamaguchi, Tempaku-ku, Nagoya, 468-8502 (Japan); Kurishita, H. [International Research Center for Nuclear Materials Science, IMR, Tohoku University, Oarai, Ibaraki 311-1313 (Japan); Fujiwara, T.; Araki, K.; Miyamoto, Y. [Research Institute for Applied Mechanics, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Ohno, N. [School of Engineering, Nagoya University, Nagoya 464-8603 (Japan); Ueda, Y. [Graduate School of Engineering, Osaka University, Suita, Osaka 565-0871 (Japan)

    2013-11-15

    Be-seeded, high-flux, deuterium/helium mixture plasma exposure experiments on tungsten target materials have been performed to simulate ITER all tungsten divertor erosion/modification and deposition phenomena. The exposure conditions are kept fixed at a typical low-ion-energy of 60 eV and a flux of 3–6 × 10{sup 22}/m{sup 2}/s. Sample temperature is 1123 K and plasma exposure times spanning 1050–10,100 s are explored. The typical ratio of He/D ions is 0.2 and Be content is 0.2%. A He-induced nanostructure layer is formed on the exposure surfaces of tungsten materials and the surface of the nanostructure is covered by a thin layer of Be and O. A fraction of the re-eroded Be from the target is deposited on a glassy carbon plate with line of sight to the tungsten target. Rutherford backscattering spectrometry analyses show that the Be redeposit layer is in the form of laminae. Small amounts of Mo, W and C are also found in the redeposited Be layer. Elastic recoil detection analyses show that D, He and H are also included in the redeposited Be layer.

  5. Magnetic domain observation of FeCo thin films fabricated by alternate monoatomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ohtsuki, T., E-mail: ohtsuki@spring8.or.jp; Kotsugi, M.; Ohkochi, T. [Japan Synchrotron Radiation Research Institute (JASRI), 1-1-1 Koto, Sayo-cho, Sayo-gun, Hyogo 679-5198 (Japan); Kojima, T.; Mizuguchi, M.; Takanashi, K. [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2014-01-28

    FeCo thin films are fabricated by alternate monoatomic layer deposition method on a Cu{sub 3}Au buffer layer, which in-plane lattice constant is very close to the predicted value to obtain a large magnetic anisotropy constant. The variation of the in-plane lattice constant during the deposition process is investigated by reflection high-energy electron diffraction. The magnetic domain images are also observed by a photoelectron emission microscope in order to microscopically understand the magnetic structure. As a result, element-specific magnetic domain images show that Fe and Co magnetic moments align parallel. A series of images obtained with various azimuth reveal that the FeCo thin films show fourfold in-plane magnetic anisotropy along 〈110〉 direction, and that the magnetic domain structure is composed only of 90∘ wall.

  6. Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells

    NARCIS (Netherlands)

    Macco, B.; Vos, M.; Thissen, N.F.W.; Bol, A.A.; Kessels, W.M.M.

    2015-01-01

    The preparation of high-quality molybdenum oxide (MoOx) is demonstrated by plasma-enhanced atomic layer deposition (ALD) at substrate temperatures down to 50 °C. The films are amorphous, slightly substoichiometric with respect to MoO3, and free of other elements apart from hydrogen (&11 at%). The

  7. Atomic Layer Deposition in Bio-Nanotechnology: A Brief Overview.

    Science.gov (United States)

    Bishal, Arghya K; Butt, Arman; Selvaraj, Sathees K; Joshi, Bela; Patel, Sweetu B; Huang, Su; Yang, Bin; Shukohfar, Tolou; Sukotjo, Cortino; Takoudis, Christos G

    2015-01-01

    Atomic layer deposition (ALD) is a technique increasingly used in nanotechnology and ultrathin film deposition; it is ideal for films in the nanometer and Angstrom length scales. ALD can effectively be used to modify the surface chemistry and functionalization of engineering-related and biologically important surfaces. It can also be used to alter the mechanical, electrical, chemical, and other properties of materials that are increasingly used in biomedical engineering and biological sciences. ALD is a relatively new technique for optimizing materials for use in bio-nanotechnology. Here, after a brief review of the more widely used modes of ALD and a few of its applications in biotechnology, selected results that show the potential of ALD in bio-nanotechnology are presented. ALD seems to be a promising means for tuning the hydrophilicity/hydrophobicity characteristics of biomedical surfaces, forming conformal ultrathin coatings with desirable properties on biomedical substrates with a high aspect ratio, tuning the antibacterial properties of substrate surfaces of interest, and yielding multifunctional biomaterials for medical implants and other devices.

  8. Improving the photovoltaic parameters in Quantum dot sensitized solar cells through employment of chemically deposited compact titania blocking layer

    Energy Technology Data Exchange (ETDEWEB)

    Rajendra Prasad, M.B., E-mail: rajendraprasadmb75@gmail.com [Advanced Physics Laboratory, Department of Physics, SavitibaiPhule Pune University, Pune, 411007 (India); National Defence Academy, Khadakwasla, Pune, 411023 (India); Kadam, Vishal [Advanced Physics Laboratory, Department of Physics, SavitibaiPhule Pune University, Pune, 411007 (India); Joo, Oh-Shim [Korea Institute of Science and Technology, PO Box No. 131, Chongryang, Seoul, 130-650 (Korea, Republic of); Pathan, Habib M. [Advanced Physics Laboratory, Department of Physics, SavitibaiPhule Pune University, Pune, 411007 (India)

    2017-06-15

    Incorporation of compact blocking layer at the Transparent Conducting Oxide (TCO)/Electrolyte interface is an effective method to improve the device performance in QDSSC through mitigation of electron recombinations at this interface. This paper reports the most facile and cost effective method of depositing a rutile titania Compact Layer (CL) over Fluorine doped Tin Oxide (FTO) substrate and its application in titania based CdS QD sensitized solar cells. The deposited compact layers are characterized to study their structural, optical, morphological and electrochemical properties using X-Ray Diffractometry, UV–Visible spectroscopy, Scanning electron microscopy, Cyclic Voltammetry and Contact Angle measurements. Sandwich solar cells are fabricated using these CL based electrodes and characterized using Electrochemical Impedance Spectroscopy, Open Circuit Voltage Decay and J-V characteristics. The CL incorporated CdS QDSSC showed more than 100% increase in the photoconversion efficiency (1.68%) as compared to its bare FTO counterpart (0.73%) proving the efficacy of employed strategy. - Highlights: • Deposited titania compact layer by a facile room temperature chemical bath method. • Employed this to mitigate back electron transfer at TCO/Electrolyte interface. • Compact layer incorporation has improved the solar cell performance by 130%.

  9. Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor–insulator–semiconductor heterojunction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Talkenberg, Florian, E-mail: florian.talkenberg@ipht-jena.de; Illhardt, Stefan; Schmidl, Gabriele; Schleusener, Alexander; Sivakov, Vladimir [Leibniz Institute of Photonic Technology, Albert-Einstein-Str. 9, D-07745 Jena (Germany); Radnóczi, György Zoltán; Pécz, Béla [Centre for Energy Research, Institute of Technical Physics and Materials Science, Konkoly-Thege Miklós u. 29-33, H-1121 Budapest (Hungary); Dikhanbayev, Kadyrjan; Mussabek, Gauhar [Department of Physics and Engineering, al-Farabi Kazakh National University, 71 al-Farabi Ave., 050040 Almaty (Kazakhstan); Gudovskikh, Alexander [Nanotechnology Research and Education Centre, St. Petersburg Academic University, Russian Academy of Sciences, Hlopina Str. 8/3, 194021 St. Petersburg (Russian Federation)

    2015-07-15

    Semiconductor–insulator–semiconductor heterojunction solar cells were prepared using atomic layer deposition (ALD) technique. The silicon surface was treated with oxygen and hydrogen plasma in different orders before dielectric layer deposition. A plasma-enhanced ALD process was applied to deposit dielectric Al{sub 2}O{sub 3} on the plasma pretreated n-type Si(100) substrate. Aluminum doped zinc oxide (Al:ZnO or AZO) was deposited by thermal ALD and serves as transparent conductive oxide. Based on transmission electron microscopy studies the presence of thin silicon oxide (SiO{sub x}) layer was detected at the Si/Al{sub 2}O{sub 3} interface. The SiO{sub x} formation depends on the initial growth behavior of Al{sub 2}O{sub 3} and has significant influence on solar cell parameters. The authors demonstrate that a hydrogen plasma pretreatment and a precursor dose step repetition of a single precursor improve the initial growth behavior of Al{sub 2}O{sub 3} and avoid the SiO{sub x} generation. Furthermore, it improves the solar cell performance, which indicates a change of the Si/Al{sub 2}O{sub 3} interface states.

  10. Effect of W addition on the electroless deposited NiP(W) barrier layer

    International Nuclear Information System (INIS)

    Tao, Yishi; Hu, Anmin; Hang, Tao; Peng, Li; Li, Ming

    2013-01-01

    Electroless deposition of NiP, NiWP thin film on p-type Si as the barrier layer to prevent the diffusion of Cu into Si was investigated. The thermal stability of the Si/Ni(W)P/Cu layers were evaluated by measuring the changes of resistance of the samples after annealed at various temperatures. XRD was applied to detect the formation of Cu 3 Si and evaluate the barrier performance of the layers. The results of XRD of the stacked Si/NiP/Cu, Si/NiWP-1/Cu, Si/NiWP–2/Cu films reveal that Cu atom could diffuse through NiP barrier layer at 450 °C, Cu could hardly diffuse through NiWP layer at 550 °C. This means that with W added in the layer, the barrier performance is improved. Although the resistance of Si/NiWP-1 and Si/NiWP-2 are higher than that of Si/NiP, the resistance of stacked layers of Si/NiWP-1/Cu and Si/NiWP–2/Cu are close to that of Si/NiP/Cu. This means that using NiWP as barrier layer is acceptable.

  11. The Electrochemical Atomic Layer Deposition of Pt and Pd nanoparticles on Ni foam for the electrooxidation of alcohols

    CSIR Research Space (South Africa)

    Modibedi, RM

    2012-10-01

    Full Text Available Electrodeposition of Pt and Pd metal by surface limited redox replacement reactions was performed using the electrochemical atomic layer deposition. Carbon paper and Ni foam were used as substrates for metal deposition. Supported Pt and Pd...

  12. Tuning the Composition and Nanostructure of Pt/Ir Films via Anodized Aluminum Oxide Templated Atomic Layer Deposition

    Science.gov (United States)

    2010-01-01

    12 ] to dictate fi lm morphology. Such templated deposition is typically con- ducted by either electrodeposition or elec- troless deposition, with...non-enzymatic glucose sensing. [ 34–36 ] In particular, the syn- thesis of such nanostructured fi lms is delineated with a focus on the precise...deposited using alternating exposures to trimethylaluminum and H 2 O to provide a uniform nucleation layer for Pt and Ir fi lms. Nanostructured Pt fi

  13. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal; Anjum, Dalaver H.; Gogotsi, Yury; Alshareef, Husam N.

    2017-01-01

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications

  14. The role of Energy Deposition in the Epitaxial Layer in Triggering SEGR in Power MOSFETs

    Science.gov (United States)

    Selva, L.; Swift, G.; Taylor, W.; Edmonds, L.

    1999-01-01

    In these SEGR experiments, three identical-oxide MOSFET types were irradiated with six ions of significantly different ranges. Results show the prime importance of the total energy deposited in the epitaxial layer.

  15. Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Wei-Cheng; Tsai, Meng-Chen; Yang, Jason; Hsu, Chuck; Chen, Miin-Jang

    2015-05-20

    In this study, efficient nanotextured black silicon (NBSi) solar cells composed of silicon nanowire arrays and an Al2O3/TiO2 dual-layer passivation stack on the n(+) emitter were fabricated. The highly conformal Al2O3 and TiO2 surface passivation layers were deposited on the high-aspect-ratio surface of the NBSi wafers using atomic layer deposition. Instead of the single Al2O3 passivation layer with a negative oxide charge density, the Al2O3/TiO2 dual-layer passivation stack treated with forming gas annealing provides a high positive oxide charge density and a low interfacial state density, which are essential for the effective field-effect and chemical passivation of the n(+) emitter. In addition, the Al2O3/TiO2 dual-layer passivation stack suppresses the total reflectance over a broad range of wavelengths (400-1000 nm). Therefore, with the Al2O3/TiO2 dual-layer passivation stack, the short-circuit current density and efficiency of the NBSi solar cell were increased by 11% and 20%, respectively. In conclusion, a high efficiency of 18.5% was achieved with the NBSi solar cells by using the n(+)-emitter/p-base structure passivated with the Al2O3/TiO2 stack.

  16. Effect of active layer deposition temperature on the performance of sputtered amorphous In—Ga—Zn—O thin film transistors

    International Nuclear Information System (INIS)

    Wu Jie; Shi Junfei; Dong Chengyuan; Chen Yuting; Zhou Daxiang; Hu Zhe; Zhan Runze; Zou Zhongfei

    2014-01-01

    The effect of active layer deposition temperature on the electrical performance of amorphous InGaZnO (a-IGZO) thin film transistors (TFTs) is investigated. With increasing annealing temperature, TFT performance is firstly improved and then degraded generally. Here TFTs with best performance defined as ''optimized-annealed'' are selected to study the effect of active layer deposition temperature. The field effect mobility reaches maximum at deposition temperature of 150 °C while the room-temperature fabricated device shows the best subthreshold swing and off-current. From Hall measurement results, the carrier concentration is much higher for intentional heated a-IGZO films, which may account for the high off-current in the corresponding TFT devices. XPS characterization results also reveal that deposition temperature affects the atomic ratio and O1s spectra apparently. Importantly, the variation of field effect mobility of a-IGZO TFTs with deposition temperature does not coincide with the tendencies in Hall mobility of a-IGZO thin films. Based on the further analysis of the experimental results on a-IGZO thin films and the corresponding TFT devices, the trap states at front channel interface rather than IGZO bulk layer properties may be mainly responsible for the variations of field effect mobility and subthreshold swing with IGZO deposition temperature. (semiconductor devices)

  17. Protecting the ozone layer.

    Science.gov (United States)

    Munasinghe, M; King, K

    1992-06-01

    Stratospheric ozone layer depletion has been recognized as a problem by the Vienna Convention for the Protection of the Ozone Layer and the 1987 Montreal Protocol (MP). The ozone layer shields the earth from harmful ultraviolet radiation (UV-B), which is more pronounced at the poles and around the equator. Industrialized countries have contributed significantly to the problem by releasing chlorofluorocarbons (CFCs) and halons into the atmosphere. The effect of these chemicals, which were known for their inertness, nonflammability, and nontoxicity, was discovered in 1874. Action to deal with the effects of CFCs and halons was initiated in 1985 in a 49-nation UN meeting. 21 nations signed a protocol limiting ozone depleting substances (ODS): CFCs and halons. Schedules were set based on each country's use in 1986; the target phaseout was set for the year 2000. The MP restricts trade in ODSs and weights the impact of substances to reflect the extent of damage; i.e., halons are 10 times more damaging than CFCs. ODS requirements for developing countries were eased to accommodate scarce resources and the small fraction of ODS emissions. An Interim Multilateral Fund under the Montreal Protocol (IMFMP) was established to provide loans to finance the costs to developing countries in meeting global environmental requirements. The IMFMP is administered by the World Bank, the UN Environmental Program, and the UN Development Program. Financing is available to eligible countries who use .3 kg of ODS/person/year. Rapid phaseout in developed countries has occurred due to strong support from industry and a lower than expected cost. Although there are clear advantages to rapid phaseout, there were no incentives included in the MP for rapid phaseout. Some of the difficulties occur because the schedules set minimum targets at the lowest possible cost. Also, costs cannot be minimized by a country-specific and ODS-specific process. The ways to improve implementation in scheduling and

  18. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers

    International Nuclear Information System (INIS)

    Bogumilowicz, Y.; Hartmann, J.M.

    2014-01-01

    We have studied the in-situ boron (B) doping of germanium (Ge) and silicon (Si) in Reduced Pressure-Chemical Vapor Deposition. Three growth temperatures have been investigated for the B-doping of Ge: 400, 600 and 750 °C at a constant growth pressure of 13300 Pa (i.e. 100 Torr). The B concentration in the Ge:B epilayer increases linearly with the diborane concentration in the gaseous phase. Single-crystalline Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. For the in-situ B doping of Si at 850 °C, two dichlorosilane mass flow ratios (MFR) have been assessed: F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0025 and F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0113 at a growth pressure of 2660 Pa (i.e. 20 Torr). Linear boron incorporation with the diborane concentration in the gas phase has been observed and doping levels in-between 3.5 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. We almost kept the same ratio of B versus Si atoms in the gas phase and in the Si epilayer. By contrast, roughly half of the B atoms present in the gas phase were incorporated in the Ge:B layers irrespective of the growth temperature. X-Ray Diffraction (XRD) allowed us to extract from the angular position of the Ge:B layer diffraction peak the substitutional B concentration. Values close to the B concentrations obtained by 4-probe resistivity measurements were obtained. Ge:B layers were smooth (< 1 m root mean square roughness associated with 20 × 20 μm 2 Atomic Force Microscopy images). Only for high F[B 2 H 6 ]/F[GeH 4 ] MFR (3.2 10 −3 ) did the Ge:B layers became rough; they were however still mono-crystalline (XRD). Above this MFR value, Ge:B layers became polycrystalline. - Highlights: • Boron doping of germanium and silicon in Reduced Pressure-Chemical Vapor Deposition • Linear boron incorporation in Ge:B and Si:B with the diborane flow • Single-crystal Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 • Single-crystal Si

  19. Low-temperature atomic layer deposition of MoS{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Jurca, Titel; Wang, Binghao; Tan, Jeffrey M.; Lohr, Tracy L.; Marks, Tobin J. [Department of Chemistry and the Materials Research Center, Northwestern University, Evanston, IL (United States); Moody, Michael J.; Henning, Alex; Emery, Jonathan D.; Lauhon, Lincoln J. [Department of Materials Science and Engineering, and the Materials Research Center, Northwestern University, Evanston, IL (United States)

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe{sub 2}){sub 4} with H{sub 2}S for the low-temperature synthesis of MoS{sub 2}. This observation motivated an investigation of Mo(NMe{sub 2}){sub 4} as a volatile precursor for the atomic layer deposition (ALD) of MoS{sub 2} thin films. Herein we report that Mo(NMe{sub 2}){sub 4} enables MoS{sub 2} film growth at record low temperatures - as low as 60 C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.

    2014-04-14

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications.

  1. Enhanced Corrosion Resistance of PVD-CrN Coatings by ALD Sealing Layers

    Science.gov (United States)

    Wan; Zhang, Teng Fei; Ding, Ji Cheng; Kim, Chang-Min; Park, So-Won; Yang, Yang; Kim, Kwang-Ho; Kwon, Se-Hun

    2017-04-01

    Multilayered hard coatings with a CrN matrix and an Al2O3, TiO2, or nanolaminate-Al2O3/TiO2 sealing layer were designed by a hybrid deposition process combined with physical vapor deposition (PVD) and atomic layer deposition (ALD). The strategy was to utilize ALD thin films as pinhole-free barriers to seal the intrinsic defects to protect the CrN matrix. The influences of the different sealing layers added in the coatings on the microstructure, surface roughness, and corrosion behaviors were investigated. The results indicated that the sealing layer added by ALD significantly decreased the average grain size and improved the corrosion resistance of the CrN coatings. The insertion of the nanolaminate-Al2O3/TiO2 sealing layers resulted in a further increase in corrosion resistance, which was attributed to the synergistic effect of Al2O3 and TiO2, both acting as excellent passivation barriers to the diffusion of corrosive substances.

  2. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  3. TiN films by Atomic Layer Deposition: Growth and electrical characterization down to sub-nm thickness

    NARCIS (Netherlands)

    Van Hao, B.; Wolters, Robertus A.M.; Kovalgin, Alexeij Y.

    2012-01-01

    This study reports on the growth and characterization of TiN thib films obtained by atomic layer deposition at 350-425 ◦C. We observe a growth of the continuous layers from the very beginning of the process, i.e. for a thickness of 0.65 nm, which is equivalent to 3 monolayers of TiN. The film growth

  4. Corrosion protection and improved cytocompatibility of biodegradable polymeric layer-by-layer coatings on AZ31 magnesium alloys.

    Science.gov (United States)

    Ostrowski, Nicole; Lee, Boeun; Enick, Nathan; Carlson, Benjamin; Kunjukunju, Sangeetha; Roy, Abhijit; Kumta, Prashant N

    2013-11-01

    Composite coatings of electrostatically assembled layer-by-layer anionic and cationic polymers combined with an Mg(OH)2 surface treatment serve to provide a protective coating on AZ31 magnesium alloy substrates. These ceramic conversion coating and layer-by-layer polymeric coating combinations reduced the initial and long-term corrosion progression of the AZ31 alloy. X-ray diffraction and Fourier transform infrared spectroscopy confirmed the successful application of coatings. Potentiostatic polarization tests indicate improved initial corrosion resistance. Hydrogen evolution measurements over a 2 week period and magnesium ion levels over a 1 week period indicate longer range corrosion protection and retention of the Mg(OH)2 passivation layer in comparison to the uncoated substrates. Live/dead staining and DNA quantification were used as measures of biocompatibility and proliferation while actin staining and scanning electron microscopy were used to observe the cellular morphology and integration with the coated substrates. The coatings simultaneously provided improved biocompatibility, cellular adhesion and proliferation in comparison to the uncoated alloy surface utilizing both murine pre-osteoblast MC3T3 cells and human mesenchymal stem cells. The implementation of such coatings on magnesium alloy implants could serve to improve the corrosion resistance and cellular integration of these implants with the native tissue while delivering vital drugs or biological elements to the site of implantation. Copyright © 2013. Published by Elsevier Ltd.

  5. TMAP-7 simulation of D{sub 2} thermal release data from Be co-deposited layers

    Energy Technology Data Exchange (ETDEWEB)

    Baldwin, M.J., E-mail: mbaldwin@ferp.ucsd.edu [Center for Energy Research, University of California at San Diego, La Jolla, CA 92093-0417 (United States); Schwarz-Selinger, T. [Max-Planck-Institut für Plasmaphysik, EURATOM Association, Boltzmannstrasse 2, 85748 Garching (Germany); Yu, J.H. [Center for Energy Research, University of California at San Diego, La Jolla, CA 92093-0417 (United States); Doerner, R.P., E-mail: rdoerner@ucsd.edu [Center for Energy Research, University of California at San Diego, La Jolla, CA 92093-0417 (United States)

    2013-07-15

    The efficacy of (1) bake-out at 513 K and 623 K, and (2) thermal transient (10 ms) loading to up to 1000 K, is explored for reducing D inventory in 1 μm thick Be–D (D/Be ∼0.1) co-deposited layers formed at 323 K for experiment (1) and ∼500 K for experiment (2). D release data from co-deposits are obtained by thermal desorption and used to validate a model input into the Tritium Migration and Analysis Program 7 (TMAP). In (1), good agreement with experiment is found for a TMAP model encorporating traps of activation energies, 0.80 eV and 0.98 eV, whereas an additional 2 eV trap was required to model experiment (2). Thermal release is found to be trap limited, but simulations are optimal when surface recombination is taken into account. Results suggest that thick built-up co-deposited layers will hinder ITER inventory control, and that bake periods (∼1 day) will be more effective in inventory reduction than transient thermal loading.

  6. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  7. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  8. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  9. Spiral growth of few-layer MoS{sub 2} by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dong, X.; Yan, C.; Tomer, D.; Li, L., E-mail: lianli@uwm.edu [Department of Physics, University of Wisconsin, Milwaukee, Wisconsin 53211 (United States); Li, C. H. [Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-08-01

    Growth spirals exhibit appealing properties due to a preferred layer stacking and lack of inversion symmetry. Here, we report spiral growth of MoS{sub 2} during chemical vapor deposition on SiO{sub 2}/Si and epitaxial graphene/SiC substrates, and their physical and electronic properties. We determine the layer-dependence of the MoS{sub 2} bandgap, ranging from 2.4 eV for the monolayer to a constant of 1.3 eV beyond the fifth layer. We further observe that spirals predominantly initiate at the step edges of the SiC substrate, based on which we propose a growth mechanism driven by screw dislocation created by the coalescence of two growth fronts at steps.

  10. History of atomic layer deposition and its relationship with the American Vacuum Society

    NARCIS (Netherlands)

    Parsons, G.N.; Elam, J.W.; George, S.M.; Haukka, S.; Jeon, H.; Kessels, W.M.M.; Leskelä, M.; Poodt, P.; Ritala, M.; Rossnagel, S.M.

    2013-01-01

    This article explores the history of atomic layer deposition (ALD) and its relationship with the American Vacuum Society (AVS). The authors describe the origin and history of ALD science in the 1960s and 1970s. They also report on how the science and technology of ALD progressed through the 1990s

  11. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  12. Development of Nitride Coating Using Atomic Layer Deposition for Low-Enriched Uranium Fuel Powder

    Science.gov (United States)

    Bhattacharya, Sumit

    High-performance research reactors require fuel that operates at high specific power and can withstand high fission density, but at relatively low temperatures. The design of the research reactor fuels is done for efficient heat emission, and consists of assemblies of thin-plates cladding made from aluminum alloy. The low-enriched fuels (LEU) were developed for replacing high-enriched fuels (HEU) for these reactors necessitates a significantly increased uranium density in the fuel to counterbalance the decrease in enrichment. One of the most promising new fuel candidate is U-Mo alloy, in a U-Mo/Al dispersion fuel form, due to its high uranium loading as well as excellent irradiation resistance performance, is being developed extensively to convert from HEU fuel to LEU fuel for high-performance research reactors. However, the formation of an interaction layer (IL) between U-Mo particles and the Al matrix, and the associated pore formation, under high heat flux and high burnup conditions, degrade the irradiation performance of the U-Mo/Al dispersion fuel. From the recent tests results accumulated from the surface engineering of low enriched uranium fuel (SELENIUM) and MIR reactor displayed that a surface barrier coating like physical vapor deposited (PVD) zirconium nitride (ZrN) can significantly reduce the interaction layer. The barrier coating performed well at low burn up but above a fluence rate of 5x 1021 ions/cm2 the swelling reappeared due to formation interaction layer. With this result in mind the objective of this research was to develop an ultrathin ZrN coating over particulate uranium-molybdenum nuclear fuel using a modified savannah 200 atomic layer deposition (ALD) system. This is done in support of the US Department of Energy's (DOE) effort to slow down the interaction at fluence rate and reach higher burn up for high power research reactor. The low-pressure Savannah 200 ALD system is modified to be designed as a batch powder coating system using the

  13. Continuous production of nanostructured particles using spatial atomic layer deposition

    International Nuclear Information System (INIS)

    Ommen, J. Ruud van; Kooijman, Dirkjan; Niet, Mark de; Talebi, Mojgan; Goulas, Aristeidis

    2015-01-01

    In this paper, the authors demonstrate a novel spatial atomic layer deposition (ALD) process based on pneumatic transport of nanoparticle agglomerates. Nanoclusters of platinum (Pt) of ∼1 nm diameter are deposited onto titania (TiO 2 ) P25 nanoparticles resulting to a continuous production of an active photocatalyst (0.12–0.31 wt. % of Pt) at a rate of about 1 g min −1 . Tuning the precursor injection velocity (10–40 m s −1 ) enhances the contact between the precursor and the pneumatically transported support flows. Decreasing the chemisorption temperature (from 250 to 100 °C) results in more uniform distribution of the Pt nanoclusters as it decreases the reaction rate as compared to the rate of diffusion into the nanoparticle agglomerates. Utilizing this photocatalyst in the oxidation reaction of Acid Blue 9 showed a factor of five increase of the photocatalytic activity compared to the native P25 nanoparticles. The use of spatial particle ALD can be further expanded to deposition of nanoclusters on porous, micron-sized particles and to the production of core–shell nanoparticles enabling the robust and scalable manufacturing of nanostructured powders for catalysis and other applications

  14. RF sputtering deposited a-IGZO films for LCD alignment layer application

    International Nuclear Information System (INIS)

    Wu, G.M.; Liu, C.Y.; Sahoo, A.K.

    2015-01-01

    Highlights: • a-IGZO nanolayer has been presented for alignment of liquid crystals in LCD. • RF sputtering deposition at an oblique angle has been performed to grow the films. • High transparency over 90% was obtained in the visible wavelength range. • The OCB cells exhibited fast on-off and short response time of 5.04 ms. • V–T characteristics proved high contrast ratio for LCD display applications. - Abstract: In this paper, amorphous indium gallium zinc oxide (a-IGZO) inorganic films were deposited at a fixed oblique angle using radio-frequency sputtering on indium tin oxide (ITO) glass as alternative alignment layer for liquid crystal displays. A series of experiments have been carried out to reveal the physical characteristics of the a-IGZO films, such as optical transmittance, X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and atomic force microscopy (AFM). The special treatment a-IGZO films were used to fabricate liquid crystal (LC) cells and investigate the performances of these cells. Pretilt angles were measured with anti-parallel LC cells and voltage–transmittance (V–T) curve, contrast ratio, and response time were evaluated with optically compensated bend (OCB) LC cells. The electro-optical characteristics of the aligned homogenous LCs, and OCB mode cells based on the a-IGZO alignment layer were compared to those based on rubbing processed polyimide (PI). The results showed that the average transmittance in the visible wavelength range was higher than 90% for the a-IGZO alignment layer. The LC pretilt angle has been determined at about 6°. The evaluted cell critical voltage at maximum transmittance was 1.8 V, lower than the control cell using PI alignment layer. The OCB cell rise time and fall time were 1.55 ms and 3.49 ms, respectivly. A very quick response time of 5.04 ms has thus been achived. In addition, the study of V–T characteristics suggested higher contrast ratio for LCD display applications.

  15. RF sputtering deposited a-IGZO films for LCD alignment layer application

    Energy Technology Data Exchange (ETDEWEB)

    Wu, G.M., E-mail: wu@mail.cgu.edu.tw; Liu, C.Y.; Sahoo, A.K.

    2015-11-01

    Highlights: • a-IGZO nanolayer has been presented for alignment of liquid crystals in LCD. • RF sputtering deposition at an oblique angle has been performed to grow the films. • High transparency over 90% was obtained in the visible wavelength range. • The OCB cells exhibited fast on-off and short response time of 5.04 ms. • V–T characteristics proved high contrast ratio for LCD display applications. - Abstract: In this paper, amorphous indium gallium zinc oxide (a-IGZO) inorganic films were deposited at a fixed oblique angle using radio-frequency sputtering on indium tin oxide (ITO) glass as alternative alignment layer for liquid crystal displays. A series of experiments have been carried out to reveal the physical characteristics of the a-IGZO films, such as optical transmittance, X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and atomic force microscopy (AFM). The special treatment a-IGZO films were used to fabricate liquid crystal (LC) cells and investigate the performances of these cells. Pretilt angles were measured with anti-parallel LC cells and voltage–transmittance (V–T) curve, contrast ratio, and response time were evaluated with optically compensated bend (OCB) LC cells. The electro-optical characteristics of the aligned homogenous LCs, and OCB mode cells based on the a-IGZO alignment layer were compared to those based on rubbing processed polyimide (PI). The results showed that the average transmittance in the visible wavelength range was higher than 90% for the a-IGZO alignment layer. The LC pretilt angle has been determined at about 6°. The evaluted cell critical voltage at maximum transmittance was 1.8 V, lower than the control cell using PI alignment layer. The OCB cell rise time and fall time were 1.55 ms and 3.49 ms, respectivly. A very quick response time of 5.04 ms has thus been achived. In addition, the study of V–T characteristics suggested higher contrast ratio for LCD display applications.

  16. Electrochemical study of the tarnish layer of silver deposited on glass

    OpenAIRE

    Ben Amor , Yasser; Sutter , Eliane; Takenouti , Hisasi; Tribollet , Bernard; Boinet , M.; Faure , R.; Balencie , J.; Durieu , G.

    2014-01-01

    International audience; Cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS) were used to characterize the tarnished thin layer of silver deposited on glass. Instead of natural tarnishing in air environment, an acceleration of tarnishing process was realized by immersion of Ag covered glass in 10 μM K2S medium. The X-ray photoelectron spectroscopy (XPS) shows that tarnishing product formed on the silver surface consisted of Ag2S and Ag2O. As electrochemical characterizatio...

  17. The mechanism of the nano-CeO2 films deposition by electrochemistry method as coated conductor buffer layers

    International Nuclear Information System (INIS)

    Lu, Yuming; Cai, Shuang; Liang, Ying; Bai, Chuanyi; Liu, Zhiyong; Guo, Yanqun; Cai, Chuanbing

    2015-01-01

    Highlights: • Crack-free CeO 2 film thicker than 200 nm was prepared on NiW substrate by ED method. • Different electrochemical processes as hydroxide/metal mechanisms were identified. • The CeO 2 precursor films deposited by ED method were in nano-scales. - Abstract: Comparing with conventional physical vapor deposition methods, electrochemistry deposition technique shows a crack suppression effect by which the thickness of CeO 2 films on Ni–5 at.%W substrate can reach a high value up to 200 nm without any cracks, make it a potential single buffer layer for coated conductor. In the present work, the processes of CeO 2 film deposited by electrochemistry method are detailed investigated. A hydroxide reactive mechanism and an oxide reactive mechanism are distinguished for dimethyl sulfoxide and aqueous solution, respectively. Before heat treatment to achieve the required bi-axial texture performance of buffer layers, the precursor CeO 2 films are identified in nanometer scales. The crack suppression for electrochemistry deposited CeO 2 films is believed to be attributed to the nano-effects of the precursors

  18. Coking- and sintering-resistant palladium catalysts achieved through atomic layer deposition.

    Science.gov (United States)

    Lu, Junling; Fu, Baosong; Kung, Mayfair C; Xiao, Guomin; Elam, Jeffrey W; Kung, Harold H; Stair, Peter C

    2012-03-09

    We showed that alumina (Al(2)O(3)) overcoating of supported metal nanoparticles (NPs) effectively reduced deactivation by coking and sintering in high-temperature applications of heterogeneous catalysts. We overcoated palladium NPs with 45 layers of alumina through an atomic layer deposition (ALD) process that alternated exposures of the catalysts to trimethylaluminum and water at 200°C. When these catalysts were used for 1 hour in oxidative dehydrogenation of ethane to ethylene at 650°C, they were found by thermogravimetric analysis to contain less than 6% of the coke formed on the uncoated catalysts. Scanning transmission electron microscopy showed no visible morphology changes after reaction at 675°C for 28 hours. The yield of ethylene was improved on all ALD Al(2)O(3) overcoated Pd catalysts.

  19. Undoped TiO2 and nitrogen-doped TiO2 thin films deposited by atomic layer deposition on planar and architectured surfaces for photovoltaic applications

    International Nuclear Information System (INIS)

    Tian, Liang; Soum-Glaude, Adurey; Volpi, Fabien; Salvo, Luc; Berthomé, Grégory; Coindeau, Stéphane; Mantoux, Arnaud; Boichot, Raphaël; Lay, Sabine; Brizé, Virginie; Blanquet, Elisabeth; Giusti, Gaël; Bellet, Daniel

    2015-01-01

    Undoped and nitrogen doped TiO 2 thin films were deposited by atomic layer deposition on planar substrates. Deposition on 3D-architecture substrates made of metallic foams was also investigated to propose architectured photovoltaic stack fabrication. All the films were deposited at 265 °C and nitrogen incorporation was achieved by using titanium isopropoxide, NH 3 and/or N 2 O as precursors. The maximum nitrogen incorporation level obtained in this study was 2.9 at. %, resulting in films exhibiting a resistivity of 115 Ω cm (+/−10 Ω cm) combined with an average total transmittance of 60% in the 400–1000 nm wavelength range. Eventually, TiO 2 thin films were deposited on the 3D metallic foam template

  20. Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Wenhui; Luo, Jun; Meng, Lingkuan; Li, Junjie; Xiang, Jinjuan; Li, Junfeng; Wang, Wenwu; Chen, Dapeng; Ye, Tianchun; Zhao, Chao

    2016-08-31

    As an emerging developing technique for next-generation lithography, directed self-assembly (DSA) of block copolymer (BCP) has attracted numerous attention and has been a potential alternative to supplement the intrinsic limitations of conventional photolithography. In this work, the self-assembling properties of a lamellar diblock copolymer poly(styrene-b-methylmethacrylate) (PS-b-PMMA, 22k-b-22k, L{sub 0} = 25 nm) on Si substrate and an atomic layer deposition (ALD)-assisted pattern transfer technology for the application of DSA beyond 16/14 nm complementary metal oxide semiconductor (CMOS) technology nodes, were investigated. Firstly, two key processing parameters of DSA, i.e. annealing temperatures and durations of BCP films, were optimized to achieve low defect density and high productivity. After phase separation of BCP films, self-assembling patterns of low defect density should be transferred to the substrate. However, due to the nano-scale thickness and the weak resistance of BCP films to dry etching, it is nearly impossible to transfer the BCP patterns directly to the substrate. Therefore, an ALD-based technology was explored in this work, in which deposited Al{sub 2}O{sub 3} selectively reacts with PMMA blocks thus hardening the PMMA patterns. After removing PS blocks by plasma etching, hardened PMMA patterns were left and transferred to underneath SiO{sub 2} hard mask layer. Using this patterned hard mask, nanowire array of 25 nm pitch were realized on Si substrate. From this work, a high-throughput DSA baseline flow and related ALD-assisted pattern transfer technique were developed and proved to have good capability with the mainstream CMOS technology. - Highlights: • Optimization on self-assembly process for high productivity and low defectivity • Enhancement of etching ratio and resistance by atomic layer deposition (ALD) • A hard mask was used for pattern quality improvement and contamination control.

  1. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  2. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  3. Atomic Layer Deposition of Electron Selective SnOx and ZnO Films on Mixed Halide Perovskite: Compatibility and Performance.

    Science.gov (United States)

    Hultqvist, Adam; Aitola, Kerttu; Sveinbjörnsson, Kári; Saki, Zahra; Larsson, Fredrik; Törndahl, Tobias; Johansson, Erik; Boschloo, Gerrit; Edoff, Marika

    2017-09-06

    The compatibility of atomic layer deposition directly onto the mixed halide perovskite formamidinium lead iodide:methylammonium lead bromide (CH(NH 2 ) 2 , CH 3 NH 3 )Pb(I,Br) 3 (FAPbI 3 :MAPbBr 3 ) perovskite films is investigated by exposing the perovskite films to the full or partial atomic layer deposition processes for the electron selective layer candidates ZnO and SnO x . Exposing the samples to the heat, the vacuum, and even the counter reactant of H 2 O of the atomic layer deposition processes does not appear to alter the perovskite films in terms of crystallinity, but the choice of metal precursor is found to be critical. The Zn precursor Zn(C 2 H 5 ) 2 either by itself or in combination with H 2 O during the ZnO atomic layer deposition (ALD) process is found to enhance the decomposition of the bulk of the perovskite film into PbI 2 without even forming ZnO. In contrast, the Sn precursor Sn(N(CH 3 ) 2 ) 4 does not seem to degrade the bulk of the perovskite film, and conformal SnO x films can successfully be grown on top of it using atomic layer deposition. Using this SnO x film as the electron selective layer in inverted perovskite solar cells results in a lower power conversion efficiency of 3.4% than the 8.4% for the reference devices using phenyl-C 70 -butyric acid methyl ester. However, the devices with SnO x show strong hysteresis and can be pushed to an efficiency of 7.8% after biasing treatments. Still, these cells lacks both open circuit voltage and fill factor compared to the references, especially when thicker SnO x films are used. Upon further investigation, a possible cause of these losses could be that the perovskite/SnO x interface is not ideal and more specifically found to be rich in Sn, O, and halides, which is probably a result of the nucleation during the SnO x growth and which might introduce barriers or alter the band alignment for the transport of charge carriers.

  4. Characterization of Ultra thin chromium layers deposited ou to SiO2 using the Le-PIXE and the RB S techniques

    International Nuclear Information System (INIS)

    Zahraman, K.; Nsouli, B.; Roumie, M.

    2007-01-01

    In this paper, we demonstrate the ability of the Le-PIXE (Low Energy PIXE) technique, using proton energies < 1 MeV, for the monitoring of the thickness and the thickness uniformity of ultra thin (0.5 nm < t < 20 nm) chromium layers deposited onto quartz substrates. Chromium is a good candidate for obtaining conductive ultra thin layers on insulator substrates such as quartz (SiO2). The resistivity of such layers is highly related to the quality of the deposited chromium film. In order to optimize the deposition process, there is a need for rapid and accurate monitoring of such films (film thickness, thickness uniformity over a big surface...). The acquisition time needed to obtain results with less than 3-4 % precision was 5 minutes for the thinnest layers. The validation for the use of the Le-PIXE technique was checked by means of conventional RB S technique.

  5. Surface engineering of zirconium particles by molecular layer deposition: Significantly enhanced electrostatic safety at minimum loss of the energy density

    Science.gov (United States)

    Qin, Lijun; Yan, Ning; Hao, Haixia; An, Ting; Zhao, Fengqi; Feng, Hao

    2018-04-01

    Because of its high volumetric heat of oxidation, Zr powder is a promising high energy fuel/additive for rocket propellants. However, the application of Zr powder is restricted by its ultra-high electrostatic discharge sensitivity, which poses great hazards for handling, transportation and utilization of this material. By performing molecular layer deposition of polyimide using 1,2,4,5-benzenetetracarboxylic anhydride and ethylenediamine as the precursors, Zr particles can be uniformly encapsulated by thin layers of the polymer. The thicknesses of the encapsulation layers can be precisely controlled by adjusting the number of deposition cycle. High temperature annealing converts the polymer layer into a carbon coating. Results of thermal analyses reveal that the polymer or carbon coatings have little negative effect on the energy release process of the Zr powder. By varying the thickness of the polyimide or carbon coating, electrostatic discharge sensitivity of the Zr powder can be tuned in a wide range and its uncontrolled ignition hazard can be virtually eliminated. This research demonstrates the great potential of molecular layer deposition in effectively modifying the surface properties of highly reactive metal based energetic materials with minimum sacrifices of their energy densities.

  6. Multiscale Engineered Si/SiO x Nanocomposite Electrodes for Lithium-Ion Batteries Using Layer-by-Layer Spray Deposition.

    Science.gov (United States)

    Huang, Chun; Kim, Ayoung; Chung, Dong Jae; Park, Eunjun; Young, Neil P; Jurkschat, Kerstin; Kim, Hansu; Grant, Patrick S

    2018-05-09

    Si-based high-capacity materials have gained much attention as an alternative to graphite in Li-ion battery anodes. Although Si additions to graphite anodes are now commercialized, the fraction of Si that can be usefully exploited is restricted due to its poor cyclability arising from the large volume changes during charge/discharge. Si/SiO x nanocomposites have also shown promising behavior, such as better capacity retention than Si alone because the amorphous SiO x helps to accommodate the volume changes of the Si. Here, we demonstrate a new electrode architecture for further advancing the performance of Si/SiO x nanocomposite anodes using a scalable layer-by-layer atomization spray deposition technique. We show that particulate C interlayers between the current collector and the Si/SiO x layer and between the separator and the Si/SiO x layer improved electrical contact and reduced irreversible pulverization of the Si/SiO x significantly. Overall, the multiscale approach based on microstructuring at the electrode level combined with nanoengineering at the material level improved the capacity, rate capability, and cycling stability compared to that of an anode comprising a random mixture of the same materials.

  7. Silicon transport in sputter-deposited tantalum layers grown under ion bombardment

    International Nuclear Information System (INIS)

    Gallais, P.; Hantzpergue, J.J.; Remy, J.C.; Roptin, D.

    1988-01-01

    Tantalum was sputter deposited on (111) Si substrate under low-energy ion bombardment in order to study the effects of the ion energy on the silicon transport into the Ta layer. The Si substrate was heated up to 500 0 C during growth. For ion energies up to 180 eV silicon is not transported into tantalum and the growth temperature has no effect. An ion bombardment energy of 280 eV enhances the transport of silicon throughout the tantalum layer. Growth temperatures up to 300 0 C have no effect on the silicon transport which is mainly enhanced by the ion bombardment. For growth temperatures between 300 and 500 0 C, the silicon transport is also enhanced by the thermal diffusion. The experimental depth distribution of silicon is similar to the theoretical depth distribution calculated for the case of an interdiffusion. The ion-enhanced process of silicon transport is characterized by an activation energy of 0.4 eV. Silicon into the layers as-grown at 500 0 C is in both states, amorphous silicide and microcrystalline cubic silicon

  8. Uptake of hazardous radionuclides within layered chalcogenide for environmental protection

    Energy Technology Data Exchange (ETDEWEB)

    Sengupta, Pranesh, E-mail: praneshsengupta@gmail.com [Materials Science Division, Bhabha Atomic Research Centre, Mumbai 400 085 (India); Dudwadkar, N.L. [Fuel Reprocessing Division, Bhabha Atomic Research Centre, Mumbai 400 085 (India); Vishwanadh, B. [Materials Science Division, Bhabha Atomic Research Centre, Mumbai 400 085 (India); Pulhani, V. [Health Physics Division, Bhabha Atomic Research Centre, Mumbai 400 085 (India); Rao, Rekha [Solid State Physics Division, Bhabha Atomic Research Centre, Mumbai 400 085 (India); Tripathi, S.C. [Fuel Reprocessing Division, Bhabha Atomic Research Centre, Mumbai 400 085 (India); Dey, G.K. [Materials Science Division, Bhabha Atomic Research Centre, Mumbai 400 085 (India)

    2014-02-15

    Highlights: • Layered chalcogenide with CdI{sub 2} crystal structure prepared by hydrothermal route. • Exploration of the possibilities for radionuclides’ uptake using layered chalcogenide. • Proposing ‘topotactic ionic substitution’ as major uptake mechanism. -- Abstract: Ensuring environmental protection in and around nuclear facilities is a matter of deep concern. Toward this, layered chalcogenide with CdI{sub 2} crystal structure has been prepared. Structural characterizations of layered chalcogenide suggest ‘topotactic ionic substitution’ as the dominant mechanism behind uptake of different cations within its lattice structure. An equilibration time of 45 min and volume to mass ratio of 30:1 are found to absorb {sup 233}U, {sup 239}Pu, {sup 106}Ru, {sup 85+89}Sr, {sup 137}Cs and {sup 241}Am radionuclides to the maximum extents.

  9. Enhancing the platinum atomic layer deposition infiltration depth inside anodic alumina nanoporous membrane

    Energy Technology Data Exchange (ETDEWEB)

    Vaish, Amit, E-mail: anv@udel.edu; Krueger, Susan; Dimitriou, Michael; Majkrzak, Charles [National Institute of Standards and Technology (NIST) Center for Neutron Research, Gaithersburg, MD 20899-8313 (United States); Vanderah, David J. [Institute for Bioscience and Biotechnology Research, NIST, Rockville, Maryland 20850 (United States); Chen, Lei, E-mail: lei.chen@nist.gov [NIST Center for Nanoscale Science and Technology, Gaithersburg, Maryland 20899-8313 (United States); Gawrisch, Klaus [Laboratory of Membrane Biochemistry and Biophysics, National Institute on Alcohol Abuse and Alcoholism, National Institutes of Health, Bethesda, Maryland 20892 (United States)

    2015-01-15

    Nanoporous platinum membranes can be straightforwardly fabricated by forming a Pt coating inside the nanopores of anodic alumina membranes (AAO) using atomic layer deposition (ALD). However, the high-aspect-ratio of AAO makes Pt ALD very challenging. By tuning the process deposition temperature and precursor exposure time, enhanced infiltration depth along with conformal coating was achieved for Pt ALD inside the AAO templates. Cross-sectional scanning electron microscopy/energy dispersive x-ray spectroscopy and small angle neutron scattering were employed to analyze the Pt coverage and thickness inside the AAO nanopores. Additionally, one application of platinum-coated membrane was demonstrated by creating a high-density protein-functionalized interface.

  10. Inverted bulk-heterojunction organic solar cell using chemical bath deposited titanium oxide as electron collection layer

    OpenAIRE

    Kuwabara, Takayuki; Sugiyama, Hirokazu; Kuzuba, Mitsuhiro  ; Yamaguchi, Takahiro; Takahashi, Kohshin

    2010-01-01

    Chemical bath deposited titanium oxide (TiOx ) as an electron collection layer is introduced between the organic layer and the indium tin oxide (ITO) electrode for improving the performance of inverted bulk-heterojunction organic thin film solar cells with 1 cm2 active area, where regioregular poly(3-hexylthiophene) (P3HT) and [6,6]-phenyl C61 butyric acid methyl ester (PCBM) were mainly used as the photo-active layer. The uniform and thin TiOx film was easily prepared onto the ITO electrode ...

  11. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices. © 2012 Elsevier B.V. All rights reserved.

  12. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  13. Atomic layer deposition to prevent metal transfer from implants: An X-ray fluorescence study

    Energy Technology Data Exchange (ETDEWEB)

    Bilo, Fabjola [INSTM and Chemistry for Technologies Laboratory, University of Brescia, via Branze, 38, 25123 Brescia (Italy); Borgese, Laura, E-mail: laura.borgese@unibs.itl [INSTM and Chemistry for Technologies Laboratory, University of Brescia, via Branze, 38, 25123 Brescia (Italy); Prost, Josef; Rauwolf, Mirjam; Turyanskaya, Anna; Wobrauschek, Peter; Kregsamer, Peter; Streli, Christina [Atominstitut, TU Wien, Stadionallee 2, 1020 Vienna (Austria); Pazzaglia, Ugo [Dipartimento Specialità Medico Chirurgiche Sc. Radiol. e Sanità Pubblica, University of Brescia, v.le Europa, 11, 25121 Brescia (Italy); Depero, Laura E. [INSTM and Chemistry for Technologies Laboratory, University of Brescia, via Branze, 38, 25123 Brescia (Italy)

    2015-12-30

    Highlights: • Co and Cr migrate from bare alloy implant to the surrounding tissue showing a cluster distribution. • Co and Cr migrate from the TiO{sub 2} coated implant to the surrounding tissue showing a decreasing gradient distribution from the alloy surface. • TiO{sub 2} coating layers obtained by ALD on Co–Cr alloy show a barrier effect for the migration of metals. • The thicker the TiO{sub 2} layer deposited by ALD, the lower the metal migration. • The migration of metals from bare alloy toward the surrounding tissue increases with time. This effect is not detected in the coated samples. - Abstract: We show that Atomic Layer Deposition is a suitable coating technique to prevent metal diffusion from medical implants. The metal distribution in animal bone tissue with inserted bare and coated Co–Cr alloys was evaluated by means of micro X-ray fluorescence mapping. In the uncoated implant, the migration of Co and Cr particles from the bare alloy in the biological tissues is observed just after one month and the number of particles significantly increases after two months. In contrast, no metal diffusion was detected in the implant coated with TiO{sub 2}. Instead, a gradient distribution of the metals was found, from the alloy surface going into the tissue. No significant change was detected after two months of aging. As expected, the thicker is the TiO{sub 2} layer, the lower is the metal migration.

  14. High-rate deposition of SI absorber layers by electron beam evaporation and first electron beam crystallization tests

    OpenAIRE

    Saager, Stefan; Ben Yaala, Marwa; Heinß, Jens-Peter; Temmler, Dietmar; Pfefferling, Bert; Metzner, Christoph

    2014-01-01

    In earlier electron beam physical vapor deposition tests (EB-PVD), using a conventional copper crucible (A), high Si deposition rates at relatively high EB power together with a contamination level of 1016 cm-3 are demonstrated. To improve the rate vs. EB power relation as well as the Si layer purity, two alternative high rate EBPVD methods are investigated and reported here - a contact-less crucible setup (B) and a crucible-free setup (C).In these experiments comparable deposition rates of ~...

  15. Effect of Cu buffer layer on magnetic anisotropy of cobalt thin films deposited on MgO(001 substrate

    Directory of Open Access Journals (Sweden)

    Syed Sheraz Ahmad

    2016-11-01

    Full Text Available Cobalt thin films with 5 nm thickness were prepared on single-crystal MgO (001 substrates with different thickness Cu buffer (0 nm, 5 nm, 10 nm, 20 nm. The structure, magnetic properties and transport behaviors were investigated by employing low-energy-electron-diffraction (LEED, magneto-optical Kerr effect (MOKE and anisotropic magnetoresistance (AMR. By comparing the magnetic properties of the sample as-deposited (without Cu buffer layer one with those having the buffer Cu, we found that the magnetic anisotropy was extremely affected by the Cu buffer layer. The magnetic anisotropy of the as-deposited, without buffer layer, sample shows the uniaxial magnetic anisotropy (UMA. We found that the symmetry of the magnetic anisotropy is changed from UMA to four-fold when the thickness of the Cu buffer layer reaches to 20 nm. Meanwhile, the coercivity increased from 49 Oe (without buffer layer to 300 Oe (with 20 nm Cu buffer, in the easy axis direction, as the thickness of the buffer layer increases. Moreover, the magnitudes of various magnetic anisotropy constants were determined from torque curves on the basis of AMR results. These results support the phenomenon shown in the MOKE.

  16. The importance of dye chemistry and TiCl4 surface treatment in the behavior of Al2O3 recombination barrier layers deposited by atomic layer deposition in solid-state dye-sensitized solar cells

    KAUST Repository

    Brennan, Thomas P.; Bakke, Jonathan R.; Ding, I-Kang; Hardin, Brian E.; Nguyen, William H.; Mondal, Rajib; Bailie, Colin D.; Margulis, George Y.; Hoke, Eric T.; Sellinger, Alan; McGehee, Michael D.; Bent, Stacey F.

    2012-01-01

    Atomic layer deposition (ALD) was used to fabricate Al 2O 3 recombination barriers in solid-state dye-sensitized solar cells (ss-DSSCs) employing an organic hole transport material (HTM) for the first time. Al 2O 3 recombination barriers of varying

  17. Alkali-resistant low-temperature atomic-layer-deposited oxides for optical fiber sensor overlays

    Science.gov (United States)

    Kosiel, K.; Dominik, M.; Ściślewska, I.; Kalisz, M.; Guziewicz, M.; Gołaszewska, K.; Niedziółka-Jonsson, J.; Bock, W. J.; Śmietana, M.

    2018-04-01

    This paper presents an investigation of properties of selected metallic oxides deposited at a low temperature (100 °C) by atomic layer deposition (ALD) technique, relating to their applicability as thin overlays for optical fiber sensors resistant in alkaline environments. Hafnium oxide (Hf x O y with y/x approx. 2.70), tantalum oxide (Ta x O y with y/x approx. 2.75) and zirconium oxide (Zr x O y with y/x approx. 2.07), which deposition was based, respectively, on tetrakis(ethylmethyl)hafnium, tantalum pentachloride and tetrakis(ethylmethyl)zirconium with deionized water, were tested as thin layers on planar Si (100) and glass substrates. Growth per cycle (GPC) in the ALD processes was 0.133-0.150 nm/cycle. Run-to-run GPC reproducibility of the ALD processes was best for Hf x O y (0.145 ± 0.001 nm/cycle) and the poorest for Ta x O y (0.133 ± 0.003 nm/cycle). Refractive indices n of the layers were 2.00-2.10 (at the wavelength λ = 632 nm), with negligible k value (at λ for 240-930 nm). The oxides examined by x-ray diffractometry proved to be amorphous, with only small addition of crystalline phases for the Zr x O y . The surfaces of the oxides had grainy but smooth topographies with root-mean square roughness ˜0.5 nm (at 10 × 10 μm2 area) according to atomic force microscopy. Ellipsometric measurements, by contrast, suggest rougher surfaces for the Zr x O y layers. The surfaces were also slightly rougher on the glass-based samples than on the Si-based ones. Nanohardness and Young modules were 4.90-8.64 GPa and 83.7-104.4 GPa, respectively. The tests of scratch resistance revealed better tribological properties for the Hf x O y and the Ta x O y than for the Zr x O y . The surfaces were hydrophilic, with wetting angles of 52.5°-62.9°. The planar oxides on Si, being resistive even to concentrated alkali (pH 14), proved to be significantly more alkali-resistive than Al2O3. The Ta x O y overlay was deposited on long-period grating sensor induced in optical

  18. Surface characterization of Zr/Ti/Nb tri-layered films deposited by magnetron sputtering on Si(111) and stainless steel substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tallarico, Denise A.; Gobbi, Angelo L.; Filho, Pedro I. Paulin; Galtayries, Anouk; Nascente, Pedro A. P. [Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Brazilian Synchrotron Light Laboratory, Microfabrication Laboratory, Rua Giuseppe Maximo Scolfaro 10.000, CEP 13083-100, Campinas, SP (Brazil); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil); Ecole Nationale Superieure de Chimie de Paris (Chimie ParisTech), Laboratoire de Physico-Chimie des Surfaces, UMR CNRS 7045, F-75231 Paris cedex 05 (France); Federal University of Sao Carlos, Department of Materials Engineering, Via Washington Luis km 235, CEP 13565-905, Sao Carlos, SP (Brazil)

    2012-09-15

    Among metallic materials, commercially pure titanium and titanium alloys are very often used as biomaterials for implants. Among these alloys, titanium-aluminum-vanadium alloy Ti-6 A-4 V is one of the most commonly used due to its excellent biocompatibility and ability to allow bone-implant integration. A new class of Ti alloys employs Zr for solid-solution hardening and Nb as {beta}-phase stabilizer. Metals such as Ti, Nb, and Zr-known as valve metals-usually have their surfaces covered by a thin oxide film that forms spontaneously in air. This oxide film constitutes a barrier between the metal and the medium. The Ti-Nb-Zr alloys have mechanical and corrosion resistance characteristics which make them suitable for use as implants. Tri-layered films of Ti-Nb-Zr were deposited on both Si(111) and stainless steel (SS) substrates using dc magnetron sputtering equipment, under an argon atmosphere according to the following methodology: a 100 nm thick layer of Nb was deposited on the substrate, followed by a 200 nm thick layer of Ti, and finally a 50 nm thick layer of Zr, on top of the multilayer stack. The morphology and chemical composition of the films were analyzed by atomic force microscopy (AFM), x-ray photoelectron spectroscopy (XPS), and time-of-flight secondary ion mass spectrometry (ToF-SIMS). AFM images showed that the Zr/Ti/Nb tri-layer films presented nanostructured grains and low roughness. The ToF-SIMS depth profiles confirmed the formation of a three-layered film on Si(111) with well-defined and sharp interfaces between the layers, while the deposition on the stainless steel substrate caused slight intermixing at the different alloy/Nb, Nb/Ti and Ti/Zr interfaces, reflecting the greater roughness of the raw substrate. The XPS results for the Zr/Ti/Nb layers deposited on Si(111) and SS confirmed that the outermost layer consisted of Zr only, with a predominance of ZrO{sub 2}, as the metal layer is passivated in air. An oxidation treatment of 1000 Degree

  19. Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy

    NARCIS (Netherlands)

    Kessels, W.M.M.; Knoops, H.C.M.; Dielissen, S.A.F.; Mackus, A.J.M.; Sanden, van de M.C.M.

    2009-01-01

    Infrared spectroscopy was used to obtain absolute number information on the reaction products during atomic layer deposition of Pt from (methylcyclopentadienyl)trimethylplatinum [(MeCp)PtMe3] and O2. From the detection of CO2 and H2O it was established that the precursor ligands are oxidatively

  20. Effect of substrate composition on atomic layer deposition using self-assembled monolayers as blocking layers

    International Nuclear Information System (INIS)

    Zhang, Wenyu; Engstrom, James R.

    2016-01-01

    The authors have examined the effect of two molecules that form self-assembled monolayers (SAMs) on the subsequent growth of TaN x by atomic layer deposition (ALD) on two substrate surfaces, SiO 2 and Cu. The SAMs that the authors have investigated include two vapor phase deposited, fluorinated alkyl silanes: Cl 3 Si(CH 2 ) 2 (CF 2 ) 5 CF 3 (FOTS) and (C 2 H 5 O) 3 Si(CH 2 ) 2 (CF 2 ) 7 CF 3 (HDFTEOS). Both the SAMs themselves and the TaN x thin films, grown using Ta[N(CH 3 ) 2 ] 5 and NH 3 , were analyzed ex situ using contact angle, spectroscopic ellipsometry, x-ray photoelectron spectroscopy (XPS), and low energy ion-scattering spectroscopy (LEISS). First, the authors find that both SAMs on SiO 2 are nominally stable at T s  ∼ 300 °C, the substrate temperature used for ALD, while on Cu, the authors find that HDFTEOS thermally desorbs, while FOTS is retained on the surface. The latter result reflects the difference in the head groups of these two molecules. The authors find that both SAMs strongly attenuate the ALD growth of TaN x on SiO 2 , by about a factor of 10, while on Cu, the SAMs have no effect on ALD growth. Results from LEISS and XPS are decisive in determining the nature of the mechanism of growth of TaN x on all surfaces. Growth on SiO 2 is 2D and approximately layer-by-layer, while on the surfaces terminated by the SAMs, it nucleates at defect sites, is islanded, and is 3D. In the latter case, our results support growth of the TaN x thin film over the SAM, with a considerable delay in formation of a continuous thin film. Growth on Cu, with or without the SAMs, is also 3D and islanded, and there is also a delay in the formation of a continuous thin film as compared to growth on SiO 2 . These results highlight the power of coupling measurements from both LEISS and XPS in examinations of ultrathin films formed by ALD