WorldWideScience

Sample records for process technology group

  1. Starting the automation process by using group technology

    Directory of Open Access Journals (Sweden)

    Jorge Andrés García Barbosa

    2004-09-01

    Full Text Available This article describes starting-up an automation process based on applying group technology (GT. Mecanizados CNC, a company making matallurgical sector products, bases the layout (organisation and disposition of its machinery on the concept of manufacturing cells; production is programmed once the best location for the equipment has been determined. The order of making products and suitable setting up of tools for the machinery in the cells is established, aimed at minimising set up leading to achieving 15% improvement in productivity.

  2. Engaging the public with low-carbon energy technologies: Results from a Scottish large group process

    International Nuclear Information System (INIS)

    Howell, Rhys; Shackley, Simon; Mabon, Leslie; Ashworth, Peta; Jeanneret, Talia

    2014-01-01

    This paper presents the results of a large group process conducted in Edinburgh, Scotland investigating public perceptions of climate change and low-carbon energy technologies, specifically carbon dioxide capture and storage (CCS). The quantitative and qualitative results reported show that the participants were broadly supportive of efforts to reduce carbon dioxide emissions, and that there is an expressed preference for renewable energy technologies to be employed to achieve this. CCS was considered in detail during the research due to its climate mitigation potential; results show that the workshop participants were cautious about its deployment. The paper discusses a number of interrelated factors which appear to influence perceptions of CCS; factors such as the perceived costs and benefits of the technology, and people's personal values and trust in others all impacted upon participants’ attitudes towards the technology. The paper thus argues for the need to provide the public with broad-based, balanced and trustworthy information when discussing CCS, and to take seriously the full range of factors that influence public perceptions of low-carbon technologies. - Highlights: • We report the results of a Scottish large group workshop on energy technologies. • There is strong public support for renewable energy and mixed opinions towards CCS. • The workshop was successful in initiating discussion around climate change and energy technologies. • Issues of trust, uncertainty, costs, benefits, values and emotions all inform public perceptions. • Need to take seriously the full range of factors that inform perceptions

  3. Photon technology. Laser processing technology; Photon technology. Laser process gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-03-01

    Survey has been conducted to develop laser processing technology utilizing the interaction between substance and photon. This is a part of the leading research on photon technology development. The photon technology development is aimed at novel technology development highly utilizing the quantum nature of photons. In the field of laser processing, high quality photons are used as tools, special functions of atoms and molecules will be discovered, and processing for functional fabrication (photon machining) will be established. A role of laser processing in industries has become significant, which is currently spreading not only into cutting and welding of materials and scalpels but also into such a special field as ultrafine processing of materials. The spreading is sometimes obstructed due to the difficulty of procurement of suitable machines and materials, and the increase of cost. The purpose of this study is to develop the optimal laser technology, to elucidate the interaction between substance and photon, and to develop the laser system and the transmission and regulation systems which realize the optimal conditions. 387 refs., 115 figs., 25 tabs.

  4. Group technology

    International Nuclear Information System (INIS)

    Rome, C.P.

    1976-01-01

    Group Technology has been conceptually applied to the manufacture of batch-lots of 554 machined electromechanical parts which now require 79 different types of metal-removal tools. The products have been grouped into 7 distinct families which require from 8 to 22 machines in each machine-cell. Throughput time can be significantly reduced and savings can be realized from tooling, direct-labor, and indirect-labor costs

  5. Photon technology. Laser process technology; Photon technology. Laser process gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    For developing laser process technology by interaction between substance and photon, the present state, system, R and D issues and proposal of such technology were summarized. Development of the photon technology aims at the modification of bonding conditions of substances by quantum energy of photon, and the new process technology for generating ultra- high temperature and pressure fields by concentrating photon on a minute region. Photon technology contributes to not only the conventional mechanical and thermal forming and removal machining but also function added machining (photon machining) in quantum level and new machining technology ranging from macro- to micro-machining, creating a new industrial field. This technology extends various fields from the basis of physics and chemistry to new bonding technology. Development of a compact high-quality high-power high-efficiency photon source, and advanced photon transmission technology are necessary. The basic explication of an unsolved physicochemical phenomenon related to photon and substance, and development of related application technologies are essential. 328 refs., 147 figs., 13 tabs.

  6. European consumers' acceptance of beef processing technologies

    DEFF Research Database (Denmark)

    de Barcellos, Marcia Dutra; Kügler, Jens Oliver; Grunert, Klaus G.

    2010-01-01

    The use of new technologies in beef production chains may affect consumers' opinion of meat products. A qualitative study was performed to investigate consumers' acceptance of seven beef processing technologies: marinating by injection aiming for increased 1) healthiness; 2) safety; and 3) eating...... adults (19-60 years old) participated in eight focus groups in Spain, France, Germany and the UK. Results suggested a relationship between acceptance of new beef products, technology familiarity and perceived risks related to its application. Excessive manipulation and fear of moving away from 'natural......' beef were considered negative outcomes of technological innovations. Beef processing technologies were predominantly perceived as valuable options for convenience shoppers and less demanding consumers. Overall, respondents supported the development of 'non-invasive' technologies that were able...

  7. Explosive Technology Group

    Data.gov (United States)

    Federal Laboratory Consortium — The Explosive Technology Group (ETG) provides diverse technical expertise and an agile, integrated approach to solve complex challenges for all classes of energetic...

  8. 40 CFR 63.113 - Process vent provisions-reference control technology.

    Science.gov (United States)

    2010-07-01

    ... § 63.113 Process vent provisions—reference control technology. (a) The owner or operator of a Group 1... 40 Protection of Environment 9 2010-07-01 2010-07-01 false Process vent provisions-reference control technology. 63.113 Section 63.113 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY...

  9. Technologies to support industrial processes

    International Nuclear Information System (INIS)

    Palazzi, G.; Savelli, D.

    1989-05-01

    Control and measuring techniques applied to industry have the common aim of increasing safety, reliability and plant availability. The industrial monitoring system needs a lot of sensors, whose signals, elaborated and interpreted, allow one to define the best working condition; moreover control instruments perform a diagnosis related to damages and breakages. The Experimental Engineering Division of ENEA's Thermal Reactor Department has developed sensors and measuring apparatus and has acquired advanced control techniques. All these systems, containing an original software, have been applied to industrial process problems and/or to experimental facilities both to increase reliability and to understand better process physics. Division activities are grouped in four sectors: non-destructive examinations (ultrasonic, eddy current, thermography, holographic interpherometry, penetrant liquids and magnetoscopy); innovative sensors (heated thermocouples, optical fiber sensors); advanced measuring systems (laser technology for fluidodynamic measures, nuclear radiation techniques, infrared measuring, mass spectrometer, hot-film anemometer, chromatographic apparatus); advanced technologies for diagnosis and signal analysis (digital image processing, statistical analysis). (author)

  10. 75 FR 47631 - Swets Information Services, Operations Department, Information Technology Group, Marketing Group...

    Science.gov (United States)

    2010-08-06

    ... DEPARTMENT OF LABOR Employment and Training Administration [TA-W-73,668] Swets Information Services, Operations Department, Information Technology Group, Marketing Group, Finance Group, Runnemede..., Information Technology (IT) Group, Marketing Group and the Finance Group into one entity instead of...

  11. Legitimation problems of participatory processes in technology assessment and technology policy.

    Science.gov (United States)

    Saretzki, Thomas

    2012-11-01

    Since James Carroll (1971) made a strong case for "participatory technology", scientists, engineers, policy-makers and the public at large have seen quite a number of different approaches to design and implement participatory processes in technology assessment and technology policy. As these participatory experiments and practices spread over the last two decades, one could easily get the impression that participation turned from a theoretical normative claim to a working practice that goes without saying. Looking beyond the well-known forerunners and considering the ambivalent experiences that have been made under different conditions in various places, however, the "if" and "how" of participation are still contested issues when questions of technology are on the agenda. Legitimation problems indicate that attempts to justify participation in a given case have not been entirely successful in the eyes of relevant groups among the sponsors, participants, organizers or observers. Legitimation problems of participatory processes in technology assessment and technology policy vary considerably, and they do so not only with the two domains and the ways of their interrelation or the specific features of the participatory processes. If we ask whether or not participation is seen as problematic in technology assessment and technology policy-making and in what sense it is being evaluated as problematic, then we find that the answer depends also on the approaches and criteria that have been used to legitimize or delegitimize the call for a specific design of participation.

  12. Technology working group

    International Nuclear Information System (INIS)

    Tsujikura, Y.

    2000-01-01

    The workshop of 26-27 june 2000, on nuclear power Plant LIfe Management (PLIM), also included working groups in which major issues facing PLIM activities for nuclear power plants were identified and discussed. The first group was on Technology. Utilities should consider required provisions capacity by properly maintaining and preserving the existing power plants to the extent practicable and taking into account growing demand, limits of energy conservation, and difficulties in finding new power plant sites. Generally, the extension of the life of nuclear power plant (e.g. from 40 years to 60 years) is an attractive option for utilities, as the marginal cost of most existing nuclear power plants is lower than that of almost all other power sources. It is also an attractive option for environmental protection. Consequently, PLIM has become an important issue in the context of the regulatory reform of the electricity markets. Therefore, the three main objectives of the Technology working group are: 1) Documenting how the safety of nuclear power plants being operated for the long-term has been confirmed, and suggesting ways of sharing this information. 2) Addressing development of advanced maintenance technologies necessary over the plant lifetime, and clarifying their technical challenges. 3) Suggesting potential areas of research and development that might, be necessary. Some potential examples of such research include: - improving the effectiveness of maintenance methods to assure detection of incipient faults; - providing cost effective preventive maintenance programmes; - furnishing systematic, cost-effective refurbishment programmes framed to be consistent with efforts to extend the time between re-fuelling; - developing a methodology that moves routine maintenance on-line without compromising safety. (author)

  13. The Type and Impact of Evidence Review Group Exploratory Analyses in the NICE Single Technology Appraisal Process.

    Science.gov (United States)

    Carroll, Christopher; Kaltenthaler, Eva; Hill-McManus, Daniel; Scope, Alison; Holmes, Michael; Rice, Stephen; Rose, Micah; Tappenden, Paul; Woolacott, Nerys

    2017-06-01

    As part of the UK National Institute for Health and Care Excellence (NICE) single technology appraisal process, independent evidence review groups (ERGs) critically appraise a company's submission relating to a specific technology and indication. To explore the type of additional exploratory analyses conducted by ERGs and their impact on the recommendations made by NICE. The 100 most recently completed single technology appraisals with published guidance were selected for inclusion. A content analysis of relevant documents was undertaken to identify and extract relevant data, and narrative synthesis was used to rationalize and present these data. The types of exploratory analysis conducted in relation to companies' models were fixing errors, addressing violations, addressing matters of judgment, and the provision of a new, ERG-preferred base case. Ninety-three of the 100 ERG reports contained at least one of these analyses. The most frequently reported type of analysis in these 93 ERG reports related to the category "Matters of judgment," which was reported in 83 reports (89%). At least one of the exploratory analyses conducted and reported by an ERG is mentioned in 97% of NICE appraisal consultation documents and 94% of NICE final appraisal determinations, and had a clear influence on recommendations in 72% of appraisal consultation documents and 47% of final appraisal determinations. These results suggest that the additional analyses undertaken by ERGs in the appraisal of company submissions are highly influential in the policy-making and decision-making process. Copyright © 2017 International Society for Pharmacoeconomics and Outcomes Research (ISPOR). Published by Elsevier Inc. All rights reserved.

  14. Using group learning to enhance the implementation of information technology : The results of discourse analysis

    NARCIS (Netherlands)

    Bondarouk, Tatiana

    2004-01-01

    This thesis is about the influence of group interactional processes on the implementation of information technologies. The starting point of this research is the belief that it is neither the quality of the technology, nor that of the individual users, but the interactions among people in groups of

  15. Design and optimization of sustainable process technologies

    DEFF Research Database (Denmark)

    Mussatto, Solange I.; Qin, Fen; Yamakawa, Celina Kiyomi

    has been then considered a keypoint to achieve such purposes, being also able to result in potential environmental, economic, and social benefits. In this sense, the Biomass Conversion and Bioprocess TechnologyGroup (BCBT) has been working on the development of newstrategies for the use of biomass......, minimizing the costs and maximizing the efficiencyand productivity.Once the optimal conditions are identified, the process scale-up can be then evaluated. This could be translated in a faster time to market for newprocess technologies....

  16. Examining Educational Climate Change Technology: How Group Inquiry Work with Realistic Scientific Technology Alters Classroom Learning

    Science.gov (United States)

    Bush, Drew; Sieber, Renee; Seiler, Gale; Chandler, Mark

    2018-04-01

    This study with 79 students in Montreal, Quebec, compared the educational use of a National Aeronautics and Space Administration (NASA) global climate model (GCM) to climate education technologies developed for classroom use that included simpler interfaces and processes. The goal was to show how differing climate education technologies succeed and fail at getting students to evolve in their understanding of anthropogenic global climate change (AGCC). Many available climate education technologies aim to convey key AGCC concepts or Earth systems processes; the educational GCM used here aims to teach students the methods and processes of global climate modeling. We hypothesized that challenges to learning about AGCC make authentic technology-enabled inquiry important in developing accurate understandings of not just the issue but how scientists research it. The goal was to determine if student learning trajectories differed between the comparison and treatment groups based on whether each climate education technology allowed authentic scientific research. We trace learning trajectories using pre/post exams, practice quizzes, and written student reflections. To examine the reasons for differing learning trajectories, we discuss student pre/post questionnaires, student exit interviews, and 535 min of recorded classroom video. Students who worked with a GCM demonstrated learning trajectories with larger gains, higher levels of engagement, and a better idea of how climate scientists conduct research. Students who worked with simpler climate education technologies scored lower in the course because of lower levels of engagement with inquiry processes that were perceived to not actually resemble the work of climate scientists.

  17. Consumers in New Millennium: Attitudes towards Adoption of New Technologies in Purchasing Process

    Directory of Open Access Journals (Sweden)

    Kopaničová Janka

    2016-06-01

    Full Text Available The use of new technologies has brought many changes into consumer behaviour, especially into purchasing process. The aim of the article is to uncover the attitudes of different generations towards usage of new technologies in purchasing process and determine if the diffusion of innovative purchasing process is significantly different among different generations. The article presents the results of authors´ research of usage of new technologies in purchasing process, as well as attitudes towards it, among different age groups - Generation X, Y, Z and seniors. Results of hybrid research carried out by semi-structured interviews with 551 respondents show, that there are significant generational differences in all three components of attitude towards online purchase, which can be - due to its characteristics- considered the most complex use of new technologies in purchasing process. Results prove that the diffusion of innovation in the purchasing process is significantly influenced by the age group. With age the number of Innovators significantly drops and the percentage of Laggards rises. The ratio of those adopting “early” and “late” significantly changes according to age group as well. Majority of younger respondents are accepting the new technologies into purchasing process early (Innovators, Early Adopters, Early Majority. Middle-aged consumers accept the innovations proportionally - copying the Roger´s curve. Among seniors, the majority is “late” (Late Majority and Laggards.

  18. User-led innovations and participation processes: lessons from sustainable energy technologies

    Energy Technology Data Exchange (ETDEWEB)

    Ornetzeder, Michael [ZSI - Centre for Social Innovation, Linke Wienzeile 246, A-1150 Vienna (Austria); Rohracher, Harald [IFF/IFZ - Inter-University Research Centre for Technology, Work and Culture, Schloegelgasse 2, A-8010 Graz (Austria)

    2006-01-01

    In this paper we will pose the question whether a higher level of user participation could be used as a strategy to improve the development and dissemination of sustainable energy technologies. We will especially focus on user-led innovation processes with a high involvement of individual end-users. In our argument we will draw on several case studies in the field of renewable energy technologies-in particular solar collectors and biomass heating systems-and sustainable building technologies. Users in these case studies were involved in the design or planning processes, sometimes in a very selective way and with limited influence, sometimes very active and for quite a long period of time. Especially in the case of renewable energy technologies self-building groups were highly successful and resulted in improved and widely disseminated technologies. Based on the empirical results of our case studies we will critically discuss the potential of user involvement (especially in self-building groups) for the development and promotion of sustainable energy technologies and outline technological and social pre-conditions for the success of such approaches. (author)

  19. User-led innovations and participation processes: lessons from sustainable energy technologies

    International Nuclear Information System (INIS)

    Ornetzeder, Michael; Rohracher, Harald

    2006-01-01

    In this paper we will pose the question whether a higher level of user participation could be used as a strategy to improve the development and dissemination of sustainable energy technologies. We will especially focus on user-led innovation processes with a high involvement of individual end-users. In our argument we will draw on several case studies in the field of renewable energy technologies-in particular solar collectors and biomass heating systems-and sustainable building technologies. Users in these case studies were involved in the design or planning processes, sometimes in a very selective way and with limited influence, sometimes very active and for quite a long period of time. Especially in the case of renewable energy technologies self-building groups were highly successful and resulted in improved and widely disseminated technologies. Based on the empirical results of our case studies we will critically discuss the potential of user involvement (especially in self-building groups) for the development and promotion of sustainable energy technologies and outline technological and social pre-conditions for the success of such approaches

  20. Mineral Processing Technology Roadmap

    Energy Technology Data Exchange (ETDEWEB)

    none,

    2000-09-01

    This document represents the roadmap for Processing Technology Research in the US Mining Industry. It was developed based on the results of a Processing Technology Roadmap Workshop sponsored by the National Mining Association in conjunction with the US Department of Energy, Office of Energy Efficiency and Renewable Energy, Office of Industrial Technologies. The Workshop was held January 24 - 25, 2000.

  1. Technology or Process First?

    DEFF Research Database (Denmark)

    Siurdyban, Artur Henryk; Svejvig, Per; Møller, Charles

    Enterprise Systems Management (ESM) and Business Pro- cess Management (BPM), although highly correlated, have evolved as alternative and mutually exclusive approaches to corporate infrastruc- ture. As a result, companies struggle to nd the right balance between technology and process factors...... in infrastructure implementation projects. The purpose of this paper is articulate a need and a direction to medi- ate between the process-driven and the technology-driven approaches. Using a cross-case analysis, we gain insight into two examples of sys- tems and process implementation. We highlight the dierences...... between them using strategic alignment, Enterprise Systems and Business Process Management theories. We argue that the insights from these cases can lead to a better alignment between process and technology. Implications for practice include the direction towards a closer integration of process...

  2. Group Decision Process Support

    DEFF Research Database (Denmark)

    Gøtze, John; Hijikata, Masao

    1997-01-01

    Introducing the notion of Group Decision Process Support Systems (GDPSS) to traditional decision-support theorists.......Introducing the notion of Group Decision Process Support Systems (GDPSS) to traditional decision-support theorists....

  3. Technology strategy for subsea processing and transport; Technology Target Areas; TTA6 - Subsea processing and transportation

    Energy Technology Data Exchange (ETDEWEB)

    2008-07-01

    mechanical design of multiphase pumps and compressors (F). In the list F denotes fundamental research covered under the RCN Petromaks programme, and P denotes piloting of technology covered under the RCN Demo 2000 programme. The key recommendations from this TTA group are: The Norwegian authorities need to support fundamental research and development and knowledge build-up to solve the listed technology challenges. Of special importance is to maintain and extend the knowledge base and educate engineers to use and further develop multiphase transport and subsea processing technology. The development and application of technology products will mainly be handled by the industry. However, public financial support in an early development phase and also in the first field implementation is important in order to speed up industrialisation and promote the activity level. Such support schemes are therefore recommended to be continued.

  4. Plasma technology in metallurgical processing

    Energy Technology Data Exchange (ETDEWEB)

    Haile, O.

    1995-12-31

    This literature work is mainly focusing on the mechanisms of plasma technology and telling about metallurgical processing, particularly iron and steelmaking as well as the advantage of the unique properties of plasma. The main advantages of plasma technology in metallurgical operations is to direct utilization of naturally available raw materials and fuels without costly upgrading andlor beneficiation, improved environmental impact, improve process control, significant amplification of reactor and process equipment utilization and increased efficiency of raw materials, energy and man power. This literature survey is based on the publication `plasma technology in metallurgical processing` presents a comprehensive account of the physical, electrical, and mechanical aspects of plasma production and practical processing. The applications of plasma technology in metallurgical processing are covered in depth with special emphasis on developments in promising early stages. Plasma technology of today is mature in the metallurgical process applications. A few dramatic improvements are expected in the near future this giving an impetus to the technologists for the long range planning. (18 refs.) (author)

  5. The Center for Environmental Technology Innovative Technology Screening Process

    International Nuclear Information System (INIS)

    Bertrand, C.M.

    1995-02-01

    The Center for Environmental Technology's (CET) mission is to provide a fully integrated system for accelerated evaluation, development, commercialization, and public acceptance of creative environmental solutions which match the foremost demands in today's environmentally sensitive world. In short, CET will create a means to provide quick, effective solutions for environmental needs. To meet this mission objective, CET has created a unique and innovative approach to eliminating the usual barriers in developing and testing environmental technologies. The approach paves the way for these emerging, cutting-edge technologies by coordinating environmental restoration and waste management activities of industry, universities, and the government to: efficiently and effectively transfer technology to these users, provide market-driven, cost-effective technology programs to the public and DOE, and aid in developing innovative ideas by initiating efforts between DOE facilities and private industry. The central part to this mission is selecting and evaluating specific innovative technologies for demonstration and application at United States Department of Energy (DOE) installations. The methodology and criteria used for this selection, which is called the CET Innovative Technology Screening Process, is the subject of this paper. The selection criteria used for the screening process were modeled after other DOE technology transfer programs and were further developed by CET's Technology Screening and Evaluation Board (TSEB). The process benefits both CET and the proposing vendors by providing objective selection procedures based on predefined criteria. The selection process ensures a rapid response to proposing vendors, all technologies will have the opportunity to enter the selection process, and all technologies are evaluated on the same scale and with identical criteria

  6. Control system for technological processes in tritium processing plants with process analysis

    International Nuclear Information System (INIS)

    Retevoi, Carmen Maria; Stefan, Iuliana; Balteanu, Ovidiu; Stefan, Liviu; Bucur, Ciprian

    2005-01-01

    Integration of a large variety of installations and equipment into a unitary system for controlling the technological process in tritium processing nuclear facilities appears to be a rather complex approach particularly when experimental or new technologies are developed. Ensuring a high degree of versatility allowing easy modifications in configurations and process parameters is a major requirement imposed on experimental installations. The large amount of data which must be processed, stored and easily accessed for subsequent analyses imposes development of a large information network based on a highly integrated system containing the acquisition, control and technological process analysis data as well as data base system. On such a basis integrated systems of computation and control able to conduct the technological process could be developed as well protection systems for cases of failures or break down. The integrated system responds to the control and security requirements in case of emergency and of the technological processes specific to the industry that processes radioactive or toxic substances with severe consequences in case of technological failure as in the case of tritium processing nuclear plant. In order to lower the risk technological failure of these processes an integrated software, data base and process analysis system are developed, which, based on identification algorithm of the important parameters for protection and security systems, will display the process evolution trend. The system was checked on a existing plant that includes a removal tritium unit, finally used in a nuclear power plant, by simulating the failure events as well as the process. The system will also include a complete data base monitoring all the parameters and a process analysis software for the main modules of the tritium processing plant, namely, isotope separation, catalytic purification and cryogenic distillation

  7. Improving Evaluation to Address the Unintended Consequences of Health Information Technology:. a Position Paper from the Working Group on Technology Assessment & Quality Development

    NARCIS (Netherlands)

    Magrabi, F.; Ammenwerth, E.; Hyppönen, H.; de Keizer, N.; Nykänen, P.; Rigby, M.; Scott, P.; Talmon, J.; Georgiou, A.

    2016-01-01

    With growing use of IT by healthcare professionals and patients, the opportunity for any unintended effects of technology to disrupt care health processes and outcomes is intensified. The objectives of this position paper by the IMIA Working Group (WG) on Technology Assessment and Quality

  8. VLSI signal processing technology

    CERN Document Server

    Swartzlander, Earl

    1994-01-01

    This book is the first in a set of forthcoming books focussed on state-of-the-art development in the VLSI Signal Processing area. It is a response to the tremendous research activities taking place in that field. These activities have been driven by two factors: the dramatic increase in demand for high speed signal processing, especially in consumer elec­ tronics, and the evolving microelectronic technologies. The available technology has always been one of the main factors in determining al­ gorithms, architectures, and design strategies to be followed. With every new technology, signal processing systems go through many changes in concepts, design methods, and implementation. The goal of this book is to introduce the reader to the main features of VLSI Signal Processing and the ongoing developments in this area. The focus of this book is on: • Current developments in Digital Signal Processing (DSP) pro­ cessors and architectures - several examples and case studies of existing DSP chips are discussed in...

  9. Technology Sharing in Manufacturing Business Groups

    DEFF Research Database (Denmark)

    Sköld, Martin; Karlsson, Christer

    2012-01-01

    , consultants, partners, and others. However, the distinction between the focal firm, on the one hand, and networks, on the other, is in this paper argued to be too extensive without intermediating nuances. Less focus is given to an in-between perspective configured by business groups or concerns here defined...... as parent corporations with subsidiary companies. It is this perspective of business groups with characteristics between individual firms and open networks that is of interest in this paper. The focus is on manufacturing business groups in which the companies will typically have individual as well as common......Technology represents the primordial force for companies and organizations in securing long-term competitiveness. In the intensive search to access new technology, organizations are more and more looking beyond the borders of the focal firm and becoming involved in various networks with suppliers...

  10. 76 FR 37344 - Technology Evaluation Process

    Science.gov (United States)

    2011-06-27

    ...-NOA-0039] Technology Evaluation Process AGENCY: Office of Energy Efficiency and Renewable Energy... seeks comments and information related to a commercial buildings technology evaluation process. DOE is seeking to create a process for evaluating emerging and underutilized energy efficient technologies for...

  11. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  12. 76 FR 30696 - Technology Evaluation Process

    Science.gov (United States)

    2011-05-26

    ...-NOA-0039] Technology Evaluation Process AGENCY: Office of Energy Efficiency and Renewable Energy... (DOE) seeks comments and information related to a commercial buildings technology evaluation process... evaluation efforts. The goal of creating this standard process is to evaluate energy-saving technologies in a...

  13. Assessing the impact of automated coding & grouping technology at St Vincent's Hospital, Sydney.

    Science.gov (United States)

    Howes, M H

    1993-12-01

    In 1992 the Hospital recognised that the existing casemix data reporting systems were too removed from individual patients to have any meaning for clinicians, analysis of the data was difficult and the processes involved in the DRG assignment were subject to considerable error. Consequently, the Hospital approved the purchase of technology that would facilitate the coding and grouping process. The impact of automated coding and grouping technology is assessed by three methods. Firstly, by looking at by-product information systems, secondly, through subjective responses by coders to a satisfaction questionnaire and, thirdly, by objectively measuring hospital activity and identified coding elements before and after implementation of the 3M technology. It was concluded that while the 3M Coding and Grouping software should not be viewed as a panacea to all coding and documentation ills, objective evidence and subjective comment from the coders indicated an improvement in data quality and more accurate DRG assignment. Development of an in-house casemix information system and a feedback mechanism between coder and clinician had been effected. The product had been used as a training tool for coders and had also proven to be a useful auditing tool. Finally, linkage with other systems and the generation of timely reports had been realised.

  14. Summary of the particle physics and technology working group

    International Nuclear Information System (INIS)

    Stephan Lammel et al. email = crathbun@fnal.gov

    2002-01-01

    Progress in particle physics has been tightly related to technological advances during the past half century. Progress in technologies has been driven in many cases by the needs of particle physics. Often, these advances have benefited fields beyond particle physics: other scientific fields, medicine, industrial development, and even found commercial applications. The particle physics and technology working group of Snowmass 2001 reviewed leading-edge technologies recently developed or in the need of development for particle physics. The group has identified key areas where technological advances are vital for progress in the field, areas of opportunities where particle physics may play a principle role in fostering progress, and areas where advances in other fields may directly benefit particle physics. The group has also surveyed the technologies specifically developed or enhanced by research in particle physics that benefit other fields and/or society at large

  15. Group Innovation Ability of Agricultural Technological Innovation Strategic Alliance

    Institute of Scientific and Technical Information of China (English)

    Chishun; MA; Jintian; YU

    2013-01-01

    Agricultural technological innovation strategic alliance, as an important form of strategic alliance, has steadily strengthened the collaborative management among organizations and raised competitive power with the backing of improved group innovation ability. This article studies innovation ability from individual innovation ability to the group innovation ability. Firstly, basic connotation of group innovation ability is to be concluded through the comparison of individual and group innovation ability. Secondly, evaluation index system is to be established based on the influencing factors of the group innovation ability of agricultural technological innovation strategic alliance and evaluation is based on three dimensions, namely organization technological innovation ability, alliance collaborative innovation ability as well as innovation environment. Furthermore, basic methods for promoting the group innovation ability of alliance are to be proposed.

  16. New radiation technologies and methods for control of technological processes in metallurgy

    International Nuclear Information System (INIS)

    Zaykin, Yu.

    1996-01-01

    Radiation Technology of Metal and Ceramic Production with Enhanced Service Properties. Based on application of radiation technique in powder metallurgy the new technology for obtaining metals, alloys and ceramic materials with high service properties is worked out. Radiation processing of powder materials at the certain stage of the process leads to profound structure alterations at all further stages and eventually effects the properties of the resulting product. Theoretical calculation and experimental studies of electron-positron annihilation in powder-pressed samples showed that irradiation caused powder particles surface state changes favorable for further sintering and crystallization processes development. It is shown that irradiation of metal powders and powder-pressed samples by high energy electrons is technologically most efficient. The right choice of the type-and the mode of the radiation processing makes it possible to obtain metals, alloys and ceramic materials (Mo,Fe, W, Al, Ni, Cu, stainless steels, ceramics, etc.) with homogeneous structure and stable enhanced service properties. The project on radiation technology application to powder metallurgy represented by a group of authors was awarded with the diploma and the gold medal at the 22 International Exhibition of Inventions (Geneva, 1994). New Technologic Opportunities of the Chromium-Nickel Alloys Processing To obtain the required phase-structure state special methods of the chromium-nickel alloy processing for sensitive elastic devices production were worked out combining plastic deformation, thermal and radiation processing. It is shown that h-gbb phase transfer not observed before is possible in extremely non-equilibrium conditions under electron irradiation. It is established that the complex reaction of recrystallization and gb-phase deposition proceeds under electron irradiation at the room temperature when the certain threshold plastic deformation degree is reached that leads to the same

  17. Consumer concerns and expectations about novel food processing technologies: effects on product liking.

    Science.gov (United States)

    Cardello, Armand V

    2003-06-01

    Eighty-eight consumers participated in a blind pre-test in which they rated their baseline preference for chocolate pudding, their liking of three tasted brands of chocolate pudding, and their level of concern for 20 different food processing and preservation technologies. All returned one month later and tasted the same puddings, but this time they were informed that they had been processed by one of several different novel or traditional food processing techniques. Different sub-groups were informed of the name of the process, the name plus a factual description of the process, or the name, the factual description, plus a benefit statement. Ratings of expected liking were obtained before and after viewing the samples, but before tasting them. Finally, subjects tasted and rated the products for actual liking and a sub-group rated their concern levels for the same 20 technologies rated in the pre-test. Pre-test results showed females to have significantly higher concern levels for all technologies. Individuals who had demonstrated a willingness to consume foods processed by one novel technology (irradiation) had lower concern ratings for all technologies. Ratings of concern were negatively correlated with expected liking for products believed to be processed by the technologies. Expected liking ratings were positively influenced by visual exposure to the product and by a safety and benefit statement. Linear regression of the change in product liking as a function of whether products were better or worse than expected supported an assimilation model of the effect of disconfirmed expectations on liking/disliking. Lastly, post-test concern levels for many of the technologies were reduced by participation in the study.

  18. Group colocation behavior in technological social networks.

    Directory of Open Access Journals (Sweden)

    Chloë Brown

    Full Text Available We analyze two large datasets from technological networks with location and social data: user location records from an online location-based social networking service, and anonymized telecommunications data from a European cellphone operator, in order to investigate the differences between individual and group behavior with respect to physical location. We discover agreements between the two datasets: firstly, that individuals are more likely to meet with one friend at a place they have not visited before, but tend to meet at familiar locations when with a larger group. We also find that groups of individuals are more likely to meet at places that their other friends have visited, and that the type of a place strongly affects the propensity for groups to meet there. These differences between group and solo mobility has potential technological applications, for example, in venue recommendation in location-based social networks.

  19. Vaccine process technology.

    Science.gov (United States)

    Josefsberg, Jessica O; Buckland, Barry

    2012-06-01

    The evolution of vaccines (e.g., live attenuated, recombinant) and vaccine production methods (e.g., in ovo, cell culture) are intimately tied to each other. As vaccine technology has advanced, the methods to produce the vaccine have advanced and new vaccine opportunities have been created. These technologies will continue to evolve as we strive for safer and more immunogenic vaccines and as our understanding of biology improves. The evolution of vaccine process technology has occurred in parallel to the remarkable growth in the development of therapeutic proteins as products; therefore, recent vaccine innovations can leverage the progress made in the broader biotechnology industry. Numerous important legacy vaccines are still in use today despite their traditional manufacturing processes, with further development focusing on improving stability (e.g., novel excipients) and updating formulation (e.g., combination vaccines) and delivery methods (e.g., skin patches). Modern vaccine development is currently exploiting a wide array of novel technologies to create safer and more efficacious vaccines including: viral vectors produced in animal cells, virus-like particles produced in yeast or insect cells, polysaccharide conjugation to carrier proteins, DNA plasmids produced in E. coli, and therapeutic cancer vaccines created by in vitro activation of patient leukocytes. Purification advances (e.g., membrane adsorption, precipitation) are increasing efficiency, while innovative analytical methods (e.g., microsphere-based multiplex assays, RNA microarrays) are improving process understanding. Novel adjuvants such as monophosphoryl lipid A, which acts on antigen presenting cell toll-like receptors, are expanding the previously conservative list of widely accepted vaccine adjuvants. As in other areas of biotechnology, process characterization by sophisticated analysis is critical not only to improve yields, but also to determine the final product quality. From a regulatory

  20. Management of the Technological Innovation Process in Software Companies from Sinaloa, Mexico

    Directory of Open Access Journals (Sweden)

    Alejandra MIRANDA FELIX

    2016-06-01

    Full Text Available The objective of this paper is to explain the management process of technological innovation within certified software companies from Sinaloa, considering their strategic technology plan, innovative processes, and intellectual capital. This work is based on the study of 9 software companies located in Sinaloa and certified through the CMMI (Capability Maturity Model for Integration process. Their problems are grouped into three areas: 1 strategic technology plan, 2 innovation and 3 intellectual capital. We propose a model of innovation management to explain and evaluate the integration of those three areas applying a mixed methodology, theoretical foundations, and the analysis of the results. The overall results reveal that the certified software companies from Sinaloa manage innovation informally, through an internal, costly and independent innovation process without considering alliances with other companies, educational institutions, government agencies, technology parks or research and development centers.

  1. SIMULASI GROUP TECHNOLOGY SYSTEM UNTUK MEMINIMALKAN BIAYA MATERIAL HANDLING DENGAN METODE HEURISTIC

    Directory of Open Access Journals (Sweden)

    Much. Djunaidi

    2006-04-01

    Full Text Available Group Technology System merupakan metode pengaturan fasilitas produksi (machine groups yang dibutuhkan untuk memproses suatu part family tertentu ke dalam sel-sel manufaktur. Pengaturan tata letak di CV. Sonytex yang berdasarkan process layout mengakibatkan perusahaan menghadapi permasalahan berupa tingginya kebutuhan material handling. Salah satu kriteria kinerja dalam pembentukan sel manufaktur pada GTS adalah meminimasi total jarak material handling, sehingga dapat mengurangi biaya material handling dan meningkatkan produktivitas. Dalam penelitian ini digunakan tiga metode, yaitu Bond Energy Algorithm (BEA, Rank Order Clustering (ROC dan Rank Order Clustering 2 (ROC2. Hasil dari penelitian ini adalah dengan menerapkan group technology systems diperoleh total pengurangan jarak material handling sebesar 70 m dan penghematan biaya material handling sebesar Rp 1.534.978,-. Berdasarkan model simulasi, relayout dengan metode BEA meningkatkan jumlah produksi sebesar 1 unit produk/hari dan penurunan waktu tunggu sebesar 0,575 menit.

  2. Improvisation during Process-Technology Adoption

    DEFF Research Database (Denmark)

    Tjørnehøj, Gitte; Mathiassen, Lars

    2010-01-01

    SPI technology adoption and events that causes the process to drift in unpredictable directions. To further understand how management's attempt to control the process is complemented by drifting, this article investigates the role of improvisation in adoption of SPI technology in a Danish software......Most software firms struggle to take advantage of the potential benefits of software process improvement (SPI) as they adopt this technology into the complex and dynamic realities of their day-to-day operation. Such efforts are therefore typically fluctuating between management's attempt to control...... firm, SmallSoft, over a 10-year period (1996–2005). We found that micro-level and macro-level improvisations interacted, often in uncoordinated ways, to shape SPI technology adoption at SmallSoft. The improvisations enhanced employee creativity, motivation and empowerment, created momentum...

  3. Management of Technology - a political process approach

    DEFF Research Database (Denmark)

    Koch, Christian

    1999-01-01

    Most management of technology writings fail to address enterprise developments as political processes, where visions, coalitions and emergence are central features. The paper report of a participants observation study of management of technology processes.......Most management of technology writings fail to address enterprise developments as political processes, where visions, coalitions and emergence are central features. The paper report of a participants observation study of management of technology processes....

  4. Technological yields of sources for radiation processing

    International Nuclear Information System (INIS)

    Zagorski, Z.P.

    1990-01-01

    The present report is prepared for planners of radiation processing of any material. Sources with cobalt-60 are treated marginally, because most probably, there will be no installation of technically meaningful activity in Poland before the year 2000. Calculations are focused on accelerators of electrons, divided into two groups: versatile linacs of energy up to 13 MeV and accelerators of lower energy, below 2 MeV, of better energetical yield but of limited applications. The calculations are connected with the confrontation of the author's technological expectations during the preparation of the linac project in the late '60s, with the results of twenty years of exploitation of the machine. One has to realize that from the 150 kV input power from the mains, only 5 kV of bent and scanned beam is recovered on the conveyor. That power is only partially used for radiation induced phenomena, sometimes only a few percent, because of the demanded homogeneity of the dose, of the mode of packing of the object and its shape, of losses at the edges of the scanned area and in the spaces between boxes, and of losses during the dead time due to the tuning of the machine and dosimetric operations. The use of lower energy accelerators may be more economical in case of objects of optimum type. At the first stage, that is of the conversion of electrical power into that of the low energy electron beam, the yield is 2-3 times better than in the case of linacs. Attention has been paid to the technological aspects of electron beam conversion into the more penetrating bremsstrahlung similar to gamma radiation. The advantages of these technologies, which make it possible to control the shape of the processed object are stressed. Ten parameters necessary for a proper calculation of technological yields of radiation processing are listed. Additional conditions which must be taken into account in the comparison of the cost of radiation processing with the cost of other technologies are also

  5. Automatic process control in anaerobic digestion technology: A critical review.

    Science.gov (United States)

    Nguyen, Duc; Gadhamshetty, Venkataramana; Nitayavardhana, Saoharit; Khanal, Samir Kumar

    2015-10-01

    Anaerobic digestion (AD) is a mature technology that relies upon a synergistic effort of a diverse group of microbial communities for metabolizing diverse organic substrates. However, AD is highly sensitive to process disturbances, and thus it is advantageous to use online monitoring and process control techniques to efficiently operate AD process. A range of electrochemical, chromatographic and spectroscopic devices can be deployed for on-line monitoring and control of the AD process. While complexity of the control strategy ranges from a feedback control to advanced control systems, there are some debates on implementation of advanced instrumentations or advanced control strategies. Centralized AD plants could be the answer for the applications of progressive automatic control field. This article provides a critical overview of the available automatic control technologies that can be implemented in AD processes at different scales. Copyright © 2015 Elsevier Ltd. All rights reserved.

  6. National Security Technology Incubator Evaluation Process

    Energy Technology Data Exchange (ETDEWEB)

    None, None

    2007-12-31

    This report describes the process by which the National Security Technology Incubator (NSTI) will be evaluated. The technology incubator is being developed as part of the National Security Preparedness Project (NSPP), funded by a Department of Energy (DOE)/National Nuclear Security Administration (NNSA) grant. This report includes a brief description of the components, steps, and measures of the proposed evaluation process. The purpose of the NSPP is to promote national security technologies through business incubation, technology demonstration and validation, and workforce development. The NSTI will focus on serving businesses with national security technology applications by nurturing them through critical stages of early development. An effective evaluation process of the NSTI is an important step as it can provide qualitative and quantitative information on incubator performance over a given period. The vision of the NSTI is to be a successful incubator of technologies and private enterprise that assist the NNSA in meeting new challenges in national safety and security. The mission of the NSTI is to identify, incubate, and accelerate technologies with national security applications at various stages of development by providing hands-on mentoring and business assistance to small businesses and emerging or growing companies. To achieve success for both incubator businesses and the NSTI program, an evaluation process is essential to effectively measure results and implement corrective processes in the incubation design if needed. The evaluation process design will collect and analyze qualitative and quantitative data through performance evaluation system.

  7. Development and application of gamma scanning technology for on-line investigation of industrial process columns and vessels

    International Nuclear Information System (INIS)

    Jaafar Abdullah

    1999-01-01

    Plant Assessment Technology (PAT) group, in association with Intelligent System (IS) Group and Engineering Services Department of Malaysian Institute for Nuclear Technology Research (MINT) has developed gamma scanning facilities for on-line investigation of industrial process columns and vessels. The technology, based on the principle of gamma-ray absorption, has been successfully applied for troubleshooting of a number of distillation columns and process vessels in petroleum refineries, gas processing plants and chemical plants in the country and the region. This paper outlines basic characteristics of the system and describes the inspection procedures, and in addition, case studies are also presented. The case studies are purposely chosen to illustrate the versatility of the technology, and furthermore to demonstrate the economic benefits which can be realised from the application of this technology. (author)

  8. Directed-energy process technology efforts

    Science.gov (United States)

    Alexander, P.

    1985-01-01

    A summary of directed-energy process technology for solar cells was presented. This technology is defined as directing energy or mass to specific areas on solar cells to produce a desired effect in contrast to exposing a cell to a thermal or mass flow environment. Some of these second generation processing techniques are: ion implantation; microwave-enhanced chemical vapor deposition; rapid thermal processing; and the use of lasers for cutting, assisting in metallization, assisting in deposition, and drive-in of liquid dopants. Advantages of directed energy techniques are: surface heating resulting in the bulk of the cell material being cooler and unchanged; better process control yields; better junction profiles, junction depths, and metal sintering; lower energy consumption during processing and smaller factory space requirements. These advantages should result in higher-efficiency cells at lower costs. The results of the numerous contracted efforts were presented as well as the application potentials of these new technologies.

  9. Process Analytical Technology (PAT): batch-to-batch reproducibility of fermentation processes by robust process operational design and control.

    Science.gov (United States)

    Gnoth, S; Jenzsch, M; Simutis, R; Lübbert, A

    2007-10-31

    The Process Analytical Technology (PAT) initiative of the FDA is a reaction on the increasing discrepancy between current possibilities in process supervision and control of pharmaceutical production processes and its current application in industrial manufacturing processes. With rigid approval practices based on standard operational procedures, adaptations of production reactors towards the state of the art were more or less inhibited for long years. Now PAT paves the way for continuous process and product improvements through improved process supervision based on knowledge-based data analysis, "Quality-by-Design"-concepts, and, finally, through feedback control. Examples of up-to-date implementations of this concept are presented. They are taken from one key group of processes in recombinant pharmaceutical protein manufacturing, the cultivations of genetically modified Escherichia coli bacteria.

  10. The Contextualized Technology Adaptation Process (CTAP): Optimizing Health Information Technology to Improve Mental Health Systems.

    Science.gov (United States)

    Lyon, Aaron R; Wasse, Jessica Knaster; Ludwig, Kristy; Zachry, Mark; Bruns, Eric J; Unützer, Jürgen; McCauley, Elizabeth

    2016-05-01

    Health information technologies have become a central fixture in the mental healthcare landscape, but few frameworks exist to guide their adaptation to novel settings. This paper introduces the contextualized technology adaptation process (CTAP) and presents data collected during Phase 1 of its application to measurement feedback system development in school mental health. The CTAP is built on models of human-centered design and implementation science and incorporates repeated mixed methods assessments to guide the design of technologies to ensure high compatibility with a destination setting. CTAP phases include: (1) Contextual evaluation, (2) Evaluation of the unadapted technology, (3) Trialing and evaluation of the adapted technology, (4) Refinement and larger-scale implementation, and (5) Sustainment through ongoing evaluation and system revision. Qualitative findings from school-based practitioner focus groups are presented, which provided information for CTAP Phase 1, contextual evaluation, surrounding education sector clinicians' workflows, types of technologies currently available, and influences on technology use. Discussion focuses on how findings will inform subsequent CTAP phases, as well as their implications for future technology adaptation across content domains and service sectors.

  11. The Contextualized Technology Adaptation Process (CTAP): Optimizing Health Information Technology to Improve Mental Health Systems

    Science.gov (United States)

    Lyon, Aaron R.; Wasse, Jessica Knaster; Ludwig, Kristy; Zachry, Mark; Bruns, Eric J.; Unützer, Jürgen; McCauley, Elizabeth

    2015-01-01

    Health information technologies have become a central fixture in the mental healthcare landscape, but few frameworks exist to guide their adaptation to novel settings. This paper introduces the Contextualized Technology Adaptation Process (CTAP) and presents data collected during Phase 1 of its application to measurement feedback system development in school mental health. The CTAP is built on models of human-centered design and implementation science and incorporates repeated mixed methods assessments to guide the design of technologies to ensure high compatibility with a destination setting. CTAP phases include: (1) Contextual evaluation, (2) Evaluation of the unadapted technology, (3) Trialing and evaluation of the adapted technology, (4) Refinement and larger-scale implementation, and (5) Sustainment through ongoing evaluation and system revision. Qualitative findings from school-based practitioner focus groups are presented, which provided information for CTAP Phase 1, contextual evaluation, surrounding education sector clinicians’ workflows, types of technologies currently available, and influences on technology use. Discussion focuses on how findings will inform subsequent CTAP phases, as well as their implications for future technology adaptation across content domains and service sectors. PMID:25677251

  12. Process Engineering Technology Center Initiative

    Science.gov (United States)

    Centeno, Martha A.

    2002-01-01

    NASA's Kennedy Space Center (KSC) is developing as a world-class Spaceport Technology Center (STC). From a process engineering (PE) perspective, the facilities used for flight hardware processing at KSC are NASA's premier factories. The products of these factories are safe, successful shuttle and expendable vehicle launches carrying state-of-the-art payloads. PE is devoted to process design, process management, and process improvement, rather than product design. PE also emphasizes the relationships of workers with systems and processes. Thus, it is difficult to speak of having a laboratory for PE at K.S.C. because the entire facility is practically a laboratory when observed from a macro level perspective. However, it becomes necessary, at times, to show and display how K.S.C. has benefited from PE and how K.S.C. has contributed to the development of PE; hence, it has been proposed that a Process Engineering Technology Center (PETC) be developed to offer a place with a centralized focus on PE projects, and a place where K.S.C.'s PE capabilities can be showcased, and a venue where new Process Engineering technologies can be investigated and tested. Graphics for showcasing PE capabilities have been designed, and two initial test beds for PE technology research have been identified. Specifically, one test bed will look into the use of wearable computers with head mounted displays to deliver work instructions; the other test bed will look into developing simulation models that can be assembled into one to create a hierarchical model.

  13. Technology development life cycle processes.

    Energy Technology Data Exchange (ETDEWEB)

    Beck, David Franklin

    2013-05-01

    This report and set of appendices are a collection of memoranda originally drafted in 2009 for the purpose of providing motivation and the necessary background material to support the definition and integration of engineering and management processes related to technology development. At the time there was interest and support to move from Capability Maturity Model Integration (CMMI) Level One (ad hoc processes) to Level Three. As presented herein, the material begins with a survey of open literature perspectives on technology development life cycles, including published data on %E2%80%9Cwhat went wrong.%E2%80%9D The main thrust of the material presents a rational expose%CC%81 of a structured technology development life cycle that uses the scientific method as a framework, with further rigor added from adapting relevant portions of the systems engineering process. The material concludes with a discussion on the use of multiple measures to assess technology maturity, including consideration of the viewpoint of potential users.

  14. Development of Industrial Process Diagnosis and Measurement Technology

    International Nuclear Information System (INIS)

    Jung, Sung Hee; Kim, Jong Bum; Moon, Jin Ho

    2010-04-01

    Section 1. Industrial Gamma CT Technology for Process Diagnosis: The project is aimed to develop industrial process gamma tomography system for investigation on structural and physical malfunctioning and process media distribution by means of sealed gamma source and radioactive materials. Section 2. Development of RI Hydraulic Detection Technology for Industrial Application: The objectives in this study are to develop the evaluation technology of the hydrological characteristics and the hydraulic detection technology using radioisotope, and to analyze the hydrodynamics and pollutant transport in water environment like surface and subsurface. Section 3. Development of RT-PAT System for Powder Process Diagnosis: The objective of this project is the development of a new radiation technology to improve the accuracy of the determination of moisture content in a powder sample by using radiation source through the so-called RT-PAT (Radiation Technology-Process Analytical Technology), which is a new concept of converging technology between the radiation technology and the process analytical technology

  15. Development of industrial process diagnosis and measurement technology

    International Nuclear Information System (INIS)

    Jung, Sunghee; Kim, Jongbum; Moon, Jinho; Suh, Kyungsuk; Kim, Jongyun

    2012-04-01

    Section1. Industrial Gamma CT Technology for Process Diagnosis The project is aimed to develop industrial process gamma tomography system for investigation on structural and physical malfunctioning and process media distribution by means of sealed gamma source and radioactive materials. Section2. Development of RI Hydraulic Detection Technology for Industrial Application The objectives in this study are to develop the evaluation technology of the hydrological characteristics and the hydraulic detection technology using radioisotope, and to analyze the hydrodynamics and pollutant transport in water environment like surface and subsurface. Section3. Development of RT-PAT System for Powder Process Diagnosis The objective of this project is the development of a new radiation technology to improve the accuracy of the determination of moisture content in a powder sample by using radiation source through the so-called RT-PAT (Radiation Technology-Process Analytical Technology), which is a new concept of converging technology between the radiation technology and the process analytical technology

  16. Laser Processing Technology using Metal Powders

    Energy Technology Data Exchange (ETDEWEB)

    Jang, Jeong-Hwan; Moon, Young-Hoon [Pusan National University, Busan (Korea, Republic of)

    2012-03-15

    The purpose of this paper is to review the state of laser processing technology using metal powders. In recent years, a series of research and development efforts have been undertaken worldwide to develop laser processing technologies to fabricate metal-based parts. Layered manufacturing by the laser melting process is gaining ground for use in manufacturing rapid prototypes (RP), tools (RT) and functional end products. Selective laser sintering / melting (SLS/SLM) is one of the most rapidly growing rapid prototyping techniques. This is mainly due to the processes's suitability for almost any materials, including polymers, metals, ceramics and many types of composites. The interaction between the laser beam and the powder material used in the laser melting process is one of the dominant phenomena defining feasibility and quality. In the case of SLS, the powder is not fully melted during laser scanning, therefore the SLS-processed parts are not fully dense and have relatively low strength. To overcome this disadvantage, SLM and laser cladding (LC) processes have been used to enable full melting of the powder. Further studies on the laser processing technology will be continued due to the many potential applications that the technology offers.

  17. Status report on the land processes aircraft science management operations working group

    Science.gov (United States)

    Lawless, James G.; Mann, Lisa J.

    1991-01-01

    Since its inception three years ago, the Land Processes Aircraft Science Management Operations Working Group (MOWG) provided recommendations on the optimal use of the Agency's aircraft in support of the Land Processes Science Program. Recommendations covered topics such as aircraft and sensor usage, development of long-range plans, Multisensor Airborne Campaigns (MAC), program balance, aircraft sensor databases, new technology and sensor development, and increased University scientist participation in the program. Impacts of these recommendations improved the efficiency of various procedures including the flight request process, tracking of flight hours, and aircraft usage. The group also created a bibliography focused on publications produced by Land Processes scientists from the use of the aircraft program, surveyed NASA funded PI's on their participation in the aircraft program, and developed a planning template for multi-sensor airborne campaigns. Benefits from these activities are summarized.

  18. Achievement report for fiscal 1998. Development of next-generation chemical process technologies; 1998 nendo jisedai kagaku process gijutsu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    Researches are conducted on the development of a technology of catalytic cracking of naphtha, technology relative to selective oxidation reaction of saturated hydrocarbons, process technology utilizing solid-phase reaction field, and a process technology utilizing novel reaction mechanism. Also, a survey is conducted on chemical processes of the next generation. Concerning the catalytic cracking of naphtha, reaction systems are roughly divided into two groups by whether or not they proceed in the presence of oxygen. As for rare earth oxide catalyst systems and zeolitic catalyst systems, their performance is confirmed and their reaction mechanisms are estimated. Concerning the selective oxidation reaction of hydrocarbons, studies are made to enhance the performance of catalytic systems that have been selected in researches conducted in the past. Concerning the process of solid phase reaction field utilization, the project is summarized and a concept is constructed of 'solid phase catalyst.' Concerning novel reaction mechanism utilizing process technologies, development is discussed of a novel process using membrane reactor, a highly functional hydrogen permeable membrane, a low-temperature dehydrogenation catalyst, etc., and a dehydrogenation membrane reactor is experimentally built. (NEDO)

  19. Group decision making with the analytic hierarchy process in benefit-risk assessment: a tutorial.

    Science.gov (United States)

    Hummel, J Marjan; Bridges, John F P; IJzerman, Maarten J

    2014-01-01

    The analytic hierarchy process (AHP) has been increasingly applied as a technique for multi-criteria decision analysis in healthcare. The AHP can aid decision makers in selecting the most valuable technology for patients, while taking into account multiple, and even conflicting, decision criteria. This tutorial illustrates the procedural steps of the AHP in supporting group decision making about new healthcare technology, including (1) identifying the decision goal, decision criteria, and alternative healthcare technologies to compare, (2) structuring the decision criteria, (3) judging the value of the alternative technologies on each decision criterion, (4) judging the importance of the decision criteria, (5) calculating group judgments, (6) analyzing the inconsistency in judgments, (7) calculating the overall value of the technologies, and (8) conducting sensitivity analyses. The AHP is illustrated via a hypothetical example, adapted from an empirical AHP analysis on the benefits and risks of tissue regeneration to repair small cartilage lesions in the knee.

  20. 75 FR 21602 - Online Safety and Technology Working Group Meeting

    Science.gov (United States)

    2010-04-26

    ... OSTWG is tasked with evaluating industry efforts to promote a safe online environment for children. The... and Technology Working Group Meeting AGENCY: National Telecommunications and Information... public meeting of the Online Safety and Technology Working Group (OSTWG). DATES: The meeting will be held...

  1. Alliance group formation: enabling and constraining effects of embeddedness and social capital in strategic technology alliance networks

    NARCIS (Netherlands)

    Duysters, G.M.; Lemmens, C.E.A.V.

    2003-01-01

    The role of embeddedness and social capital in the process of alliance group formation in strategic technology alliance networks is examined. In particular, the social mechanisms that enable and enforce alliance group formation is studies. It is argued that the enabling effect of embeddedness during

  2. Socio-Pedagogical Priorities of the Educational Process at the University: The Didactic Aspect of Information Technology

    Science.gov (United States)

    Rassolov, Ilya M.; Sidyacheva, Natalya V.; Zotova, Larisa E.; Salitova, Feride Sch.; Konyushenko, Svetlana M.; Gzhemskaya, Nuriya Kh.

    2016-01-01

    The relevance of the study is conditioned by intensive introduction of information technologies in the educational process of the University. Analysis of practical activities of University groups shows that in the absence of science-based approaches to the implementation of information technologies in the educational process, there are increasing…

  3. Buried waste integrated demonstration technology integration process

    International Nuclear Information System (INIS)

    Ferguson, J.S.; Ferguson, J.E.

    1992-04-01

    A Technology integration Process was developed for the Idaho National Energy Laboratories (INEL) Buried Waste Integrated Demonstration (BWID) Program to facilitate the transfer of technology and knowledge from industry, universities, and other Federal agencies into the BWID; to successfully transfer demonstrated technology and knowledge from the BWID to industry, universities, and other Federal agencies; and to share demonstrated technologies and knowledge between Integrated Demonstrations and other Department of Energy (DOE) spread throughout the DOE Complex. This document also details specific methods and tools for integrating and transferring technologies into or out of the BWID program. The document provides background on the BWID program and technology development needs, demonstrates the direction of technology transfer, illustrates current processes for this transfer, and lists points of contact for prospective participants in the BWID technology transfer efforts. The Technology Integration Process was prepared to ensure compliance with the requirements of DOE's Office of Technology Development (OTD)

  4. 75 FR 1338 - Online Safety and Technology Working Group Meeting

    Science.gov (United States)

    2010-01-11

    ... promote a safe online environment for children. The Act requires the OSTWG to report its findings and... and Technology Working Group Meeting AGENCY: National Telecommunications and Information... public meeting of the Online Safety and Technology Working Group (OSTWG). DATES: The meeting will be held...

  5. Radioactive Dry Process Material Treatment Technology Development

    Energy Technology Data Exchange (ETDEWEB)

    Park, J. J.; Hung, I. H.; Kim, K. K. (and others)

    2007-06-15

    The project 'Radioactive Dry Process Material Treatment Technology Development' aims to be normal operation for the experiments at DUPIC fuel development facility (DFDF) and safe operation of the facility through the technology developments such as remote operation, maintenance and pair of the facility, treatment of various high level process wastes and trapping of volatile process gases. DUPIC Fuel Development Facility (DFDF) can accommodate highly active nuclear materials, and now it is for fabrication of the oxide fuel by dry process characterizing the proliferation resistance. During the second stage from march 2005 to February 2007, we carried out technology development of the remote maintenance and the DFDF's safe operation, development of treatment technology for process off-gas, and development of treatment technology for PWR cladding hull and the results was described in this report.

  6. User-led innovations, participation processes and the use of energy technologies

    Energy Technology Data Exchange (ETDEWEB)

    Ornetzeder, Michael [Centre for Social Innovation, Vienna (Austria); Rohracher, Harald [Inter-Univ. Research Centre for Technology, Work and Culture, Graz (Austria)

    2003-07-01

    In this paper we will pose the question whether a higher level of user participation may improve the development and dissemination of energy-efficient technologies. We will especially focus on user-led innovation processes with a high involvement of individual end-users. In our argument we will draw on several case studies in the field of renewable energy technologies - in particular solar collectors and biomass heating systems - and sustainable building technologies. Users in these case studies were involved in the design or planning processes, sometimes in a very selective way and with limited influence, sometimes very active and for quite a long period of time. Especially in the case of renewable energy technologies self-building groups were highly successful and resulted in improved and widely disseminated technologies. Based on the empirical results of our case studies we will critically discuss the potential of user involvement for energy efficiency, and various forms of user participation and involvement as a strategy to change consumption patterns of end-users in general. Special emphasis will be put on technological and social pre-conditions which might allow the transfer of our examples from renewable energies to potentially energy efficient technologies.

  7. Application of Java technology in radiation image processing

    International Nuclear Information System (INIS)

    Cheng Weifeng; Li Zheng; Chen Zhiqiang; Zhang Li; Gao Wenhuan

    2002-01-01

    The acquisition and processing of radiation image plays an important role in modern application of civil nuclear technology. The author analyzes the rationale of Java image processing technology which includes Java AWT, Java 2D and JAI. In order to demonstrate applicability of Java technology in field of image processing, examples of application of JAI technology in processing of radiation images of large container have been given

  8. Final Report of the Advanced Coal Technology Work Group

    Science.gov (United States)

    The Advanced Coal Technology workgroup reported to the Clean Air Act Advisory Committee. This page includes the final report of the Advanced Coal Technology Work Group to the Clean Air Act Advisory Committee.

  9. Preparation of a technology development roadmap for the Accelerator Transmutation of Waste (ATW) System : report of the ATW separations technologies and waste forms technical working group

    International Nuclear Information System (INIS)

    Collins, E.; Duguid, J.; Henry, R.; Karell, E.J.; Laidler, J.J.; McDeavitt, S.M.; Thompson, M.; Toth, L.M.; Williamson, M.; Willit, J.L.

    1999-01-01

    In response to a Congressional mandate to prepare a roadmap for the development of Accelerator Transmutation of Waste (ATW) technology, a Technical Working Group comprised of members from various DOE laboratories was convened in March 1999 for the purpose of preparing that part of the technology development roadmap dealing with the separation of certain radionuclides for transmutation and the disposal of residual radioactive wastes from these partitioning operations. The Technical Working Group for ATW Separations Technologies and Waste Forms completed its work in June 1999, having carefully considered the technology options available. A baseline process flowsheet and backup process were identified for initial emphasis in a future research, development and demonstration program. The baseline process combines aqueous and pyrochemical processes to permit the efficient separation of the uranium, technetium, iodine and transuranic elements from the light water reactor (LWR) fuel in the head-end step. The backup process is an all- pyrochemical system. In conjunction with the aqueous process, the baseline flowsheet includes a pyrochemical process to prepare the transuranic material for fabrication of the ATW fuel assemblies. For the internal ATW fuel cycle the baseline process specifies another pyrochemical process to extract the transuranic elements, Tc and 1 from the ATW fuel. Fission products not separated for transmutation and trace amounts of actinide elements would be directed to two high-level waste forms, one a zirconium-based alloy and the other a glass/sodalite composite. Baseline cost and schedule estimates are provided for a RD and D program that would provide a full-scale demonstration of the complete separations and waste production flowsheet within 20 years

  10. Preparation of a technology development roadmap for the Accelerator Transmutation of Waste (ATW) System : report of the ATW separations technologies and waste forms technical working group.

    Energy Technology Data Exchange (ETDEWEB)

    Collins, E.; Duguid, J.; Henry, R.; Karell, E.; Laidler, J.; McDeavitt, S.; Thompson, M.; Toth, M.; Williamson, M.; Willit, J.

    1999-08-12

    In response to a Congressional mandate to prepare a roadmap for the development of Accelerator Transmutation of Waste (ATW) technology, a Technical Working Group comprised of members from various DOE laboratories was convened in March 1999 for the purpose of preparing that part of the technology development roadmap dealing with the separation of certain radionuclides for transmutation and the disposal of residual radioactive wastes from these partitioning operations. The Technical Working Group for ATW Separations Technologies and Waste Forms completed its work in June 1999, having carefully considered the technology options available. A baseline process flowsheet and backup process were identified for initial emphasis in a future research, development and demonstration program. The baseline process combines aqueous and pyrochemical processes to permit the efficient separation of the uranium, technetium, iodine and transuranic elements from the light water reactor (LWR) fuel in the head-end step. The backup process is an all- pyrochemical system. In conjunction with the aqueous process, the baseline flowsheet includes a pyrochemical process to prepare the transuranic material for fabrication of the ATW fuel assemblies. For the internal ATW fuel cycle the baseline process specifies another pyrochemical process to extract the transuranic elements, Tc and 1 from the ATW fuel. Fission products not separated for transmutation and trace amounts of actinide elements would be directed to two high-level waste forms, one a zirconium-based alloy and the other a glass/sodalite composite. Baseline cost and schedule estimates are provided for a RD&D program that would provide a full-scale demonstration of the complete separations and waste production flowsheet within 20 years.

  11. Health technology assessment process of a cardiovascular medical device in four different settings.

    Science.gov (United States)

    Olry de Labry Lima, Antonio; Espín Balbino, Jaime; Lemgruber, Alexandre; Caro Martínez, Araceli; García-Mochón, Leticia; Martín Ruiz, Eva; Lessa, Fernanda

    2017-10-01

    Health technology assessment (HTA) is a tool to help the decision-making process. The aim is to describe methods and processes used in the reimbursement decision making for drug-eluting stents (DES) in four different settings. DES as a technology under study was selected according to different criteria, all of them agreed by a working group. A survey of key informants was designed. DES was evaluated following well-structured HTA processes. Nonetheless, scope for improvement was observed in relation to the data considered for the final decision, the transparency and inclusiveness of the process as well as in the methods employed. An attempt to describe the HTA processes of a well-known medical device.

  12. Nuclear reactor fuel cycle technology with pyroelectrochemical processes

    International Nuclear Information System (INIS)

    Skiba, O.V.; Maershin, A.A.; Bychkov, A.V.; Zhdanov, A.N.; Kislyj, V.A.; Vavilov, S.K.; Babikov, L.G.

    1999-01-01

    A group of dry technologies and processes of vibro-packing granulated fuel in combination with unique properties of vibro-packed FEs make it possible to implement a new comprehensive approach to the fuel cycle with plutonium fuel. Testing of a big number of FEs with vibro-packed U-Pu oxide fuel in the BOR-60 reactor, successful testing of experimental FSAs in the BN-600 rector, reliable operation of the experimental and research complex facilities allow to make the conclusion about a real possibility to develop a safe, economically beneficial U-Pu fuel cycle based on the technologies enumerated above and to use both reactor-grade and weapon-grade plutonium in nuclear reactors with a reliable control and accounting system [ru

  13. Achievement report for fiscal 1998. Development of next-generation chemical process technologies; 1998 nendo jisedai kagaku process gijutsu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    Researches are conducted on the development of a technology of catalytic cracking of naphtha, technology relative to selective oxidation reaction of saturated hydrocarbons, process technology utilizing solid-phase reaction field, and a process technology utilizing novel reaction mechanism. Also, a survey is conducted on chemical processes of the next generation. Concerning the catalytic cracking of naphtha, reaction systems are roughly divided into two groups by whether or not they proceed in the presence of oxygen. As for rare earth oxide catalyst systems and zeolitic catalyst systems, their performance is confirmed and their reaction mechanisms are estimated. Concerning the selective oxidation reaction of hydrocarbons, studies are made to enhance the performance of catalytic systems that have been selected in researches conducted in the past. Concerning the process of solid phase reaction field utilization, the project is summarized and a concept is constructed of 'solid phase catalyst.' Concerning novel reaction mechanism utilizing process technologies, development is discussed of a novel process using membrane reactor, a highly functional hydrogen permeable membrane, a low-temperature dehydrogenation catalyst, etc., and a dehydrogenation membrane reactor is experimentally built. (NEDO)

  14. TECHNOLOGY MANAGEMENT PROCESS FRAMEWORK

    Directory of Open Access Journals (Sweden)

    Ikura Yamamoto

    2012-02-01

    Full Text Available The effective management of technology as a source of competitive advantage is of vital importance for many organizations. It is necessary to understand, communicate and integrate technology strategy with marketing, financial, operations and human resource strategies. This is of particular importance when one considers the increasing cost, pace and complexity of technology developments, combined with shortening product life cycles. A five process model provides a framework within which technology management activities can be understood: identification, selection, acquisition, exploitation and protection. Based on this model, a technology management assessment procedure has been developed, using an ``action research’’ approach. This paper presents an industrial case study describing the first full application of the procedure within a high-volume manufacturing business. The impact of applying the procedure is assessed in terms of benefits to the participating business, together with improvements to the assessment procedure itself, in the context of the action research framework. Keyword: Technology, Strategy, Management, Assessment

  15. Novel process windows, part 1: Boosted micro process technology

    NARCIS (Netherlands)

    Hessel, V.; Wang, Q.

    2011-01-01

    Novel Process Windows (NPW) is the use of highly intensified, unusual and typically harsh process conditions to boost micro process technology and flow chemistry for the production of high-added value fine chemicals, pharmaceuticals, etc.. It is far from conventional processing and also from

  16. Novel technologies for the lost foam casting process

    Science.gov (United States)

    Jiang, Wenming; Fan, Zitian

    2018-03-01

    Lost foam casting (LFC) is a green precision casting process categorized as a near net forming technology. Yet, despite its popularity, it still suffers from some technological problems, such as poor filling ability of the castings, coarse and non-dense microstructure, low mechanical properties for the Al and Mg LFC processes, and defective carburization for the low carbon steel LFC process. These drawbacks restrict the development and widespread application of the LFC process. To solve these problems, the present study developed several novel LFC technologies, namely, LFC technologies under vacuum and low pressure, vibration solidification, and pressure solidification conditions; expendable shell casting technology; and preparation technology of bimetallic castings based on the LFC process. The results showed that the LFC under vacuum and low pressure evidently improved the filling ability and solved the oxidization problem of the alloys, which is suitable for producing complex and thinwall castings. The vibration and pressure solidifications increased the compactness of the castings and refined the microstructure, significantly improving the mechanical properties of the castings. The expendable shell casting technology could solve the pore, carburization, and inclusion defects of the traditional LFC method, obtaining castings with acceptable surface quality. Moreover, the Al/Mg and Al/Al bimetallic castings with acceptable metallurgical bonding were successfully fabricated using the LFC process. These proposed novel LFC technologies can solve the current technological issues and promote the technological progress of the LFC process.

  17. Radiation processing technology in Malaysia

    International Nuclear Information System (INIS)

    Khairul Zaman Hj Mohd Dahlan

    2004-01-01

    Radiation processing technology is widely used in industry to enhance efficiency and productivity, improve product quality and competitiveness. Efforts have been made by MINT to expand the application of radiation processing technology for modification of indigenous materials such as natural rubber and rubber based products, palm oil and palm oil based products and polysaccharide into new and high value added products. This paper described MINT experiences on developing products through R and D from the laboratory to the pilot plant stage and commercialization. The paper also explained some issues and challenges that MINT encountered in the process of commercialization of its R and D results. (author)

  18. Young Adults, Technology, and Weight Loss: A Focus Group Study

    OpenAIRE

    Stephens, Janna; Moscou-Jackson, Gyasi; Allen, Jerilyn K.

    2015-01-01

    Overweight and obesity are a major concern in young adults. Technology has been integrated into many weight loss interventions; however little is known about the use of this technology in young adults. The purpose of this study was to explore through focus group sessions the opinions of young adults on the use of technology for weight loss. A total of 17 young adults, between 18 and 25 years of age, participated in three focus group sessions. Major results indicated that young adults have ver...

  19. The Conceptualization of the Mathematical Modelling Process in Technology-Aided Environment

    Science.gov (United States)

    Hidiroglu, Çaglar Naci; Güzel, Esra Bukova

    2017-01-01

    The aim of the study is to conceptualize the technology-aided mathematical modelling process in the frame of cognitive modelling perspective. The grounded theory approach was adopted in the study. The research was conducted with seven groups consisting of nineteen prospective mathematics teachers. The data were collected from the video records of…

  20. Conjoint Management of Business Processes and Information Technologies

    DEFF Research Database (Denmark)

    Siurdyban, Artur

    and improve business processes. As a consequence, there is a growing need to address managerial aspects of the relationships between information technologies and business processes. The aim of this PhD study is to investigate how the practice of conjoint management of business processes and information...... technologies can be supported and improved. The study is organized into five research papers and this summary. Each paper addresses a different aspect of conjoint management of business processes and information technologies, i.e. problem development and managerial practices on software...... and information technologies in a project environment. It states that both elements are intrinsically related and should be designed and considered together. The second case examines the relationships between information technology management and business process management. It discusses the multi-faceted role...

  1. Process synthesis, design and analysis using a process-group contribution method

    DEFF Research Database (Denmark)

    Kumar Tula, Anjan; Eden, Mario R.; Gani, Rafiqul

    2015-01-01

    ) techniques. The fundamental pillars of this framework are the definition and use of functional process-groups (building blocks) representing a wide range of process operations, flowsheet connectivity rules to join the process-groups to generate all the feasible flowsheet alternatives and flowsheet property...... models like energy consumption, atom efficiency, environmental impact to evaluate the performance of the generated alternatives. In this way, a list of feasible flowsheets are quickly generated, screened and selected for further analysis. Since the flowsheet is synthesized and the operations......This paper describes the development and application of a process-group contribution method to model, simulate and synthesize chemical processes. Process flowsheets are generated in the same way as atoms or groups of atoms are combined to form molecules in computer aided molecular design (CAMD...

  2. Technological yields of sources for radiation processing

    International Nuclear Information System (INIS)

    Zagorski, Z.P.

    1993-01-01

    The present report is prepared for planners of radiation processing of any material. Calculations are focused on accelerators of electrons, divided into two groups: versatile linacs of energy up to 13 MeV, and accelerators of lower energy, below 2 MeV, of better energy yield but of limited applications. The calculations are connected with the confrontation of the author's technological expectations during the preparation of the linac project in the late '60s, with the results of 25 years of exploitation of the machine. One has to realize that from the 200 kW input power from the mains, only 5 kW of bent and scanned beam is recovered on the conveyor. That power is only partially used for radiation induced phenomena, because of the demanded homogeneity of the dose, of the mode of packing of the object and its shape, of edges of the scanned area and in the spaces between boxes, and of loses during the idle time due to the tuning of the machine and dosimetric operations. The use of lower energy accelerators may be more economical than that of linacs in case of objects of specific type. At the first stage already, that is of the conversion of electrical power into that of low energy electron beam, the yield is 2-3 times better than in the case of linacs. Attention has been paid to the technological aspects of electron beam conversion into the more penetrating Bremsstrahlung similar to gamma radiation. The advantages of technologies, which make possible a control of the shape of the processed object are stressed. Special attention is focused to the relation between the yield of processing and the ratio between the maximum to the minimum dose in the object under the irradiation. (author). 14 refs, 14 figs

  3. Young adults, technology, and weight loss: a focus group study.

    Science.gov (United States)

    Stephens, Janna; Moscou-Jackson, Gyasi; Allen, Jerilyn K

    2015-01-01

    Overweight and obesity are a major concern in young adults. Technology has been integrated into many weight loss interventions; however little is known about the use of this technology in young adults. The purpose of this study was to explore through focus group sessions the opinions of young adults on the use of technology for weight loss. A total of 17 young adults, between 18 and 25 years of age, participated in three focus group sessions. Major results indicated that young adults have very little knowledge on the use of Smartphone technology for weight loss but would like to use this type of technology to help them lose weight. Results also indicated that young adults struggle to make healthy food choices and have priorities that outweigh exercise and they need support and guidance to make better decisions. In conclusion, young adults would be open to using Smartphone technology for weight loss but also need feedback and guidance to help make healthy decisions.

  4. Sustaining high energy efficiency in existing processes with advanced process integration technology

    International Nuclear Information System (INIS)

    Zhang, Nan; Smith, Robin; Bulatov, Igor; Klemeš, Jiří Jaromír

    2013-01-01

    Highlights: ► Process integration with better modelling and more advanced solution methods. ► Operational changes for better environmental performance through optimisation. ► Identification of process integration technology for operational optimisation. ► Systematic implementation procedure of process integration technology. ► A case study with crude oil distillation to demonstrate the operational flexibility. -- Abstract: To reduce emissions in the process industry, much emphasis has been put on making step changes in emission reduction, by developing new process technology and making renewable energy more affordable. However, the energy saving potential of existing systems cannot be simply ignored. In recent years, there have been significant advances in process integration technology with better modelling techniques and more advanced solution methods. These methods have been applied to the new design and retrofit studies in the process industry. Here attempts are made to apply these technologies to improve the environmental performance of existing facilities with operational changes. An industrial project was carried out to demonstrate the importance and effectiveness of exploiting the operational flexibility for energy conservation. By applying advanced optimisation technique to integrate the operation of distillation and heat recovery in a crude oil distillation unit, the energy consumption was reduced by 8% without capital expenditure. It shows that with correctly identified technology and the proper execution procedure, significant energy savings and emission reduction can be achieved very quickly without major capital expenditure. This allows the industry to improve its economic and environment performance at the same time.

  5. Introduction to Innovative Food Processing and Technology

    OpenAIRE

    Tokusoglu, Ozlem

    2015-01-01

    Consumers, the food industry and the regulatory agencies demand the innovative technologies to provide safe and stable foods. Nonthermal processing technologies offer unprecedented opportunities and challenges for the food industry to market safe, high quality health-promoting foods. Those innovative food processing is often perceived as an alternative to thermal food processing, yet there are many nonthermal preparatory unit operations as well as food processing and preservation opportunitie...

  6. The review of recent carbonate minerals processing technology

    Science.gov (United States)

    Solihin

    2018-02-01

    Carbonate is one of the groups of minerals that can be found in relatively large amount in the earth crust. The common carbonate minerals are calcium carbonate (calcite, aragonite, depending on its crystal structure), magnesium carbonate (magnesite), calcium-magnesium carbonate (dolomite), and barium carbonate (barite). A large amount of calcite can be found in many places in Indonesia such as Padalarang, Sukabumi, and Tasikmalaya (West Java Provence). Dolomite can be found in a large amount in Gresik, Lamongan, and Tuban (East Java Provence). Magnesite is quite rare in Indonesia, and up to the recent years it can only be found in Padamarang Island (South East Sulawesi Provence). The carbonate has been being exploited through open pit mining activity. Traditionally, calcite can be ground to produce material for brick production, be carved to produce craft product, or be roasted to produce lime for many applications such as raw materials for cement, flux for metal smelting, etc. Meanwhile, dolomite has traditionally been used as a raw material to make brick for local buildings and to make fertilizer for coconut oil plant. Carbonate minerals actually consist of important elements needed by modern application. Calcium is one of the elements needed in artificial bone formation, slow release fertilizer synthesis, dielectric material production, etc. Magnesium is an important material in automotive industry to produce the alloy for vehicle main parts. It is also used as alloying element in the production of special steel for special purpose. Magnesium oxide can be used to produce slow release fertilizer, catalyst and any other modern applications. The aim of this review article is to present in brief the recent technology in processing carbonate minerals. This review covers both the technology that has been industrially proven and the technology that is still in research and development stage. One of the industrially proven technologies to process carbonate mineral is

  7. The process for technology transfer in Baltimore

    Science.gov (United States)

    Golden, T. S.

    1978-01-01

    Ingredients essential for a successful decision process relative to proper technological choices for a large city were determined during four years of experience in the NASA/Baltimore Applications Project. The general approach, rationale, and process of technology transfer are discussed.

  8. Technology Summary Advancing Tank Waste Retrieval And Processing

    International Nuclear Information System (INIS)

    Sams, T.L.; Mendoza, R.E.

    2010-01-01

    This technology overview provides a high-level summary of technologies being investigated and developed by Washington River Protection Solutions (WRPS) to advance Hanford Site tank waste retrieval and processing. Technology solutions are outlined, along with processes and priorities for selecting and developing them. This technology overview provides a high-level summary of technologies being investigated, developed, and deployed by WRPS to advance Hanford Site tank waste retrieval and processing. Transformational technologies are needed to complete Hanford tank waste retrieval and treatment by 12/31/2047. Hanford's underground waste storage tanks hold approximately 57 million gallons of radiochemical waste from nuclear defense production - more tank waste than any other site in the United States. In addition, the waste is uniquely complicated because it contains constituents from at least six major radiochemical processes and several lesser processes. It is intermixed and complexed more than any other waste collection known to exist in the world. The multi-faceted nature of Hanford's tank waste means that legally binding agreements in the Federal Facility Agreement and Consent Order (known as the Tri-Party Agreement) and between the Department of Energy (DOE) and its contractors may not be met using current vitrification schedules, plans, and methods. WRPS and the DOE are developing, testing, and deploying technologies to meet the necessary commitments and complete the DOE's River Protection Project (RPP) mission within environmentally acceptable requirements. Technology solutions are outlined, along with processes and priorities for selecting and developing them. DOE's Office of Environmental Management (EM) identifies the environmental management technology needs and the activities necessary to address them. The U.S. Congress then funds these activities through EM or the DOE field offices. Finally, an array of entities that include DOE site prime contractors and

  9. Design alternatives for process group membership and multicast

    Science.gov (United States)

    Birman, Kenneth P.; Cooper, Robert; Gleeson, Barry

    1991-01-01

    Process groups are a natural tool for distributed programming, and are increasingly important in distributed computing environments. However, there is little agreement on the most appropriate semantics for process group membership and group communication. These issues are of special importance in the Isis system, a toolkit for distributed programming. Isis supports several styles of process group, and a collection of group communication protocols spanning a range of atomicity and ordering properties. This flexibility makes Isis adaptable to a variety of applications, but is also a source of complexity that limits performance. This paper reports on a new architecture that arose from an effort to simplify Isis process group semantics. Our findings include a refined notion of how the clients of a group should be treated, what the properties of a multicast primitive should be when systems contain large numbers of overlapping groups, and a new construct called the casuality domain. As an illustration, we apply the architecture to the problem of converting processes into fault-tolerant process groups in a manner that is 'transparent' to other processes in the system.

  10. Are groups working in the Information Technology class? | Mentz ...

    African Journals Online (AJOL)

    We discuss teache rs' perce ption of the use of group work in the Information Technology (IT) classroom. We describe the current situation regarding the implementation of group work in IT classrooms in South Africa as well as the challenges that IT teachers face when implementing group work. This information will be used ...

  11. IAEA Conference on Large Radiation Sources in Industry (Warsaw 1959): Which technologies of radiation processing survived and why?

    International Nuclear Information System (INIS)

    Zagorski, Z.P.

    1999-01-01

    The IAEA has organized in Warsaw an International Conference on Large Radiation Sources in Industry from 8 to 12 September 1959. Proceedings of the Conference have been published in two volumes of summary amount of 925 pages. This report presents analysis, which technologies presented at the Conference have survived and why. The analysis is interesting because already in the fifties practically full range of possibilities of radiation processing was explored, and partially implemented. Not many new technologies were presented at the next IAEA Conferences on the same theme. Already at the time of the Warsaw Conference an important role of economy of the technology has recognized. The present report selects the achievements of the Conference into two groups: the first concerns technologies which have not been implemented in the next decades and the second group which is the basis of highly profitable, unsubsidized commercial production. The criterion of belonging of the technology to the second group, is the value of the quotient of the cost of the ready, saleable product diminished by the cost of a raw material before processing, to the expense of radiation processing, being the sum of irradiation cost and such operations as transportation of the object to and from the irradiation facility. Low value of the quotient, as compared to successful technologies is prophesying badly as concerns the future of the commercial proposal. A special position among objects of radiation processing is occupied by radiation processing technologies direct towards the protection or improving of the environment. Market economy does not apply here and the implementation has to be subsidized. (author)

  12. Desalination processes and technologies

    International Nuclear Information System (INIS)

    Furukawa, D.H.

    1996-01-01

    Reasons of the development of desalination processes, the modern desalination technologies, such as multi-stage flash evaporation, multi-effect distillation, reverse osmosis, and the prospects of using nuclear power for desalination purposes are discussed. 9 refs

  13. Research on process management of nuclear power technological innovation

    International Nuclear Information System (INIS)

    Yang Hua; Zhou Yu

    2005-01-01

    Different from the other technological innovation processes, the technological innovation process of nuclear power engineering project is influenced deeply by the extensive environmental factors, the technological innovation of nuclear power engineering project needs to make an effort to reduce environmental uncertainty. This paper had described the mechanism of connection technological innovation process of nuclear power engineering project with environmental factors, and issued a feasible method based on model of bargaining to incorporate technological innovation process management of nuclear power engineering project with environmental factors. This method has realistic meanings to guide the technological innovation of nuclear power engineering project. (authors)

  14. TECHNOLOGY SUMMARY ADVANCING TANK WASTE RETRIEVAL AND PROCESSING

    Energy Technology Data Exchange (ETDEWEB)

    SAMS TL; MENDOZA RE

    2010-08-11

    This technology overview provides a high-level summary of technologies being investigated and developed by Washington River Protection Solutions (WRPS) to advance Hanford Site tank waste retrieval and processing. Technology solutions are outlined, along with processes and priorities for selecting and developing them.

  15. TECHNOLOGY SUMMARY ADVANCING TANK WASTE RETREIVAL AND PROCESSING

    Energy Technology Data Exchange (ETDEWEB)

    SAMS TL

    2010-07-07

    This technology overview provides a high-level summary of technologies being investigated and developed by Washington River Protection Solutions (WRPS) to advance Hanford Site tank waste retrieval and processing. Technology solutions are outlined, along with processes and priorities for selecting and developing them.

  16. ICAT and the NASA technology transfer process

    Science.gov (United States)

    Rifkin, Noah; Tencate, Hans; Watkins, Alison

    1993-01-01

    This paper will address issues related to NASA's technology transfer process and will cite the example of using ICAT technologies in educational tools. The obstacles to effective technology transfer will be highlighted, viewing the difficulties in achieving successful transfers of ICAT technologies.

  17. Risk calculations in the manufacturing technology selection process

    DEFF Research Database (Denmark)

    Farooq, S.; O'Brien, C.

    2010-01-01

    Purpose - The purpose of this paper is to present result obtained from a developed technology selection framework and provide a detailed insight into the risk calculations and their implications in manufacturing technology selection process. Design/methodology/approach - The results illustrated...... in the paper are the outcome of an action research study that was conducted in an aerospace company. Findings - The paper highlights the role of risk calculations in manufacturing technology selection process by elaborating the contribution of risk associated with manufacturing technology alternatives...... in the shape of opportunities and threats in different decision-making environments. Practical implications - The research quantifies the risk associated with different available manufacturing technology alternatives. This quantification of risk crystallises the process of technology selection decision making...

  18. The formalization of innovative processes of food technology equipment

    Directory of Open Access Journals (Sweden)

    V. A. Panfilov

    2016-01-01

    Full Text Available Improving the efficiency of scientific and engineering work to develop methods for converting agricultural raw materials into food is the most important condition of output processing and food sectors of agriculture in the sixth technological structure. The purpose of this article is to formalize the process of creating a progressive technique of food technologies. The process of self-organizing technological systems, presents a model of dual mechanism of control with regard to the processes of food technology. It is shown that in the process of adaptation development of the technological system as purposefully improving the structure and functioning of the system: increases the efficiency of interaction with the external environment. This smoothed out the contradictions of the technological system and its the main thing, the main technical contradiction: «productivity – quality». The steps to be taken to ensure that the technological system of conditions for intensive development. It is concluded that the potential development of some technological systems is hidden in the perspective of automation, and others – is associated with adaptive development processes, in particular machines, devices and bioreactors. The paper shows that innovative and truly breakthrough developments leading to the creation of fundamentally new equipment and new generations of technological systems, possible only with the establishment of patterns of organization, structure, functioning and development of open systems, which are modern technologies of agriculture. The mechanism of control of technological object acts as a core of adaptive development, which implements the anti-entropic entity management object, formalizing the innovation process of innovative food processing technologies.

  19. Innovation processes in technologies for the processing of refractory mineral raw materials

    Science.gov (United States)

    Chanturiya, V. A.

    2008-12-01

    Analysis of the grade of mineral resources of Russia and other countries shows that end products that are competitive in terms of both technological and environmental criteria in the world market can only be obtained by the development and implementation of progressive technologies based on the up-to-date achievements of fundamental sciences. The essence of modern innovation processes in technologies developed in Russia for the complex and comprehensive processing of refractory raw materials with a complex composition is ascertained. These processes include (i) radiometric methods of concentration of valuable components, (ii) high-energy methods of disintegration of highly dispersed mineral components, and (iii) electrochemical methods of water conditioning to obtain target products for solving specific technological problems.

  20. Health technology assessment: the process in Brazil.

    Science.gov (United States)

    Lessa, Fernanda; Ferraz, Marcos Bosi

    2017-06-08

    To describe, analyze, and compare the opinions of decisionmakers involved in the health technology assessment (HTA) process in Brazil in 2011. A cross-sectional study was conducted using a structured questionnaire to evaluate the opinions of a convenience sample of health care professionals from both the public and private health care systems (HCS). The survey collected demographic data for each respondent along with their input on national regulations. Data analysis included descriptive statistics, including chi-square tests to compare groups. Of the 200 completed questionnaires, 65% of the respondents were 31-50 years of age; 36% were HCS managers, 49.3% from the public and 50.7% from the private system. The majority of respondents (85%) considered the time permitted for submission of new technology to be inadequate; 88% also stated that the composition of the evaluation committee needed improvement. Respondents from the private health system more frequently stated that submission times were inappropriate (P = 0.019) and that the deadline for a decision by the committee should be defined (P = 0.021), with a maximum of no more than 180 days / 6 months (P < 0.001). Respondents indicated that the HTA process should be improved to meet their expectations. Given that new legislation has been enacted to continuously accept submissions, to make decisions within 180 days, and to expand the committee to represent more stakeholders, most of the respondents concerns have been addressed. This study is valuable as an historical analysis of HTA process improvement. Further surveys are needed to track the new HTA process, its application, and its contribution to health care needs in Brazil.

  1. Group Process in a Women's Career Intervention.

    Science.gov (United States)

    Mawson, Diana L.; Kahn, Sharon E.

    1993-01-01

    Explored women's experiences of group process in career planning interventions and relationship of those experiences to vocational maturity. Results from 99 career-undecided women revealed that female clients, similar to other counseling clients, highly valued both cognitive and affective components of group process in career counseling groups.…

  2. Digital Process Management Technology for Nuclear Power Plants

    International Nuclear Information System (INIS)

    You, Young M.; Suh, Kune Y.

    2009-01-01

    PHILOSOPHIA, Inc. and Seoul National University have utilized the cutting edge Digital Process Management (DPM) technology for the good of Nuclear Power Plant in recent days. This work represent the overall benefits and the use of this new flow of technology which come into the spotlight. Before realizing the three dimensional (3D) technologies and applying it to real mechanical manufactures and constructions, majority of planning and designing works need huge time and cost even if the process is before the real work. Especially, for a massive construction such as power plant and harbor, without computer-aided technology currently we cannot imagine the whole process can be established easily. Computer-aided Design (CAD) is now main and common technology for manufacturing or construction. This technology lead the other virtual reality 3D technologies into the job site. As a member of these new technologies, DPM is utilized in high-tech and huge scale manufacturing and construction for the benefits of time and cost

  3. 76 FR 66327 - Iron Mountain Information Management, Inc., Corporate Service Group, Information Technology (IT...

    Science.gov (United States)

    2011-10-26

    ... Management, Inc., Corporate Service Group, Information Technology (IT) Division, Including On-Site Leased... Information Management, Inc., Corporate Service Group, Information Technology (IT) Division, including on-site... location of Iron Mountain Information Management, Inc., Corporate Service Group, Information Technology (IT...

  4. PHYSICAL RESOURCES OF INFORMATION PROCESSES AND TECHNOLOGIES

    Directory of Open Access Journals (Sweden)

    Mikhail O. Kolbanev

    2014-11-01

    Full Text Available Subject of study. The paper describes basic information technologies for automating of information processes of data storage, distribution and processing in terms of required physical resources. It is shown that the study of these processes with such traditional objectives of modern computer science, as the ability to transfer knowledge, degree of automation, information security, coding, reliability, and others, is not enough. The reasons are: on the one hand, the increase in the volume and intensity of information exchange in the subject of human activity and, on the other hand, drawing near to the limit of information systems efficiency based on semiconductor technologies. Creation of such technologies, which not only provide support for information interaction, but also consume a rational amount of physical resources, has become an actual problem of modern engineering development. Thus, basic information technologies for storage, distribution and processing of information to support the interaction between people are the object of study, and physical temporal, spatial and energy resources required for implementation of these technologies are the subject of study. Approaches. An attempt is made to enlarge the possibilities of traditional cybernetics methodology, which replaces the consideration of material information component by states search for information objects. It is done by taking explicitly into account the amount of physical resources required for changes in the states of information media. Purpose of study. The paper deals with working out of a common approach to the comparison and subsequent selection of basic information technologies for storage, distribution and processing of data, taking into account not only the requirements for the quality of information exchange in particular subject area and the degree of technology application, but also the amounts of consumed physical resources. Main findings. Classification of resources

  5. N-1: Safeguards Science and Technology Group, Tour Areas

    International Nuclear Information System (INIS)

    Geist, William H.

    2012-01-01

    Group N-1 develops and provides training on nondestructive assay (NDA) technologies intended for nuclear material accounting and control to fulfill both international and domestic obligations. The N-1 group is located at Technical Area (TA)-35 in Buildings 2 and 27. Visitors to the area can observe developed and fielded NDA technologies, as well as the latest research efforts to develop the next generation of NDA technologies. Several areas are used for NDA training. The N-1 School House area typically is used for basic training on neutron- and gamma-ray-based NDA techniques. This area contains an assortment of gamma-ray detector systems, including sodium iodide and high-purity germanium and the associated measurement components. Many types of neutron assay systems are located here, including both standard coincidence and multiplicity counters. The N-1 School House area is also used for holdup training; located here are the mock holdup assemblies and associated holdup measurement tools. Other laboratory areas in the N-1 space are used for specialized training, such as waste NDA, calorimetry, and advanced gamma-ray NDA. Also, many research laboratories in the N-1 space are used to develop new NDA technologies. The calorimetry laboratory is used to develop and evaluate new technologies and techniques that measure the heat signature from nuclear material to determine mass. The micro calorimetry laboratory is being used to develop advanced technologies that can measure gamma rays with extremely high resolution. This technique has been proven in the laboratory setting, and the team is now working to cultivate a field-capable system. The N-1 group also develops remote and unattended systems for the tracking and control of nuclear material. A demonstration of this technology is located within one of the laboratory spaces. The source tracker software was developed by N-1 to monitor the locations and quantities of nuclear materials. This software is currently used to track

  6. Development of functionally-oriented technological processes of electroerosive processing

    Science.gov (United States)

    Syanov, S. Yu

    2018-03-01

    The stages of the development of functionally oriented technological processes of electroerosive processing from the separation of the surfaces of parts and their service functions to the determination of the parameters of the process of electric erosion, which will provide not only the quality parameters of the surface layer, but also the required operational properties, are described.

  7. 40 CFR 63.495 - Back-end process provisions-procedures to determine compliance using stripping technology.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 9 2010-07-01 2010-07-01 false Back-end process provisions-procedures to determine compliance using stripping technology. 63.495 Section 63.495 Protection of Environment...: Group I Polymers and Resins § 63.495 Back-end process provisions—procedures to determine compliance...

  8. Technological Criteria Technology-Environmental under a Systemic Approach: Chemistry Technology Transfer

    OpenAIRE

    Durán-García Martín Enrique

    2014-01-01

    Currently the transfer of chemical technology is a process that contributes to the technology policy of a country, an industry or an organization in general chemistry. This process requires the application of clear criteria for the proper development of the complex interrelations in the transfer of chemical technology. A group of criteria that are present, are those related to environmental technology which intrinsically define the technology and its impact to the environment. Therefore, the ...

  9. [Group process: reflections of a nursing team].

    Science.gov (United States)

    Cardoso, Adriana Serdotte Freitas; Dall'Agnol, Clarice Maria

    2011-12-01

    The objective of this qualitative, exploratory-descriptive study was to analyze the group process of a nursing team at Hospital de Clínicas de Porto Alegre (HCPA), under the light of Pichon-Rivière's Operative Group Theory. Data collection took place in 2008, using a semi-structured questionnaire and focal group. The group work concept is one of the four categories that resulted from the study, and is the object of approach in the present article. It was found that the knowledge about the group process must be shared, disseminated and discussed since the undergraduate studies and developed across the professional career. As the team learns and is able to identify the main indicators of the group process, it becomes possible to improve operatively, considering not only the outcomes but mainly the course covered until achieving the goal, aiming at group learning.

  10. Wafer level 3-D ICs process technology

    CERN Document Server

    Tan, Chuan Seng; Reif, L Rafael

    2009-01-01

    This book focuses on foundry-based process technology that enables the fabrication of 3-D ICs. The core of the book discusses the technology platform for pre-packaging wafer lever 3-D ICs. However, this book does not include a detailed discussion of 3-D ICs design and 3-D packaging. This is an edited book based on chapters contributed by various experts in the field of wafer-level 3-D ICs process technology. They are from academia, research labs and industry.

  11. Technological innovation: a structrational process view

    NARCIS (Netherlands)

    Fehse, K.I.A.; Wognum, P.M.

    1999-01-01

    The central aim of our research is to describe and explain how the introduction of a computer-based technology, which supports co-operative work in engineering departments, induces change processes. The employment of computer-based technologies in product development organisations to support

  12. Issues Related to the Frequency of Exploratory Analyses by Evidence Review Groups in the NICE Single Technology Appraisal Process.

    Science.gov (United States)

    Kaltenthaler, Eva; Carroll, Christopher; Hill-McManus, Daniel; Scope, Alison; Holmes, Michael; Rice, Stephen; Rose, Micah; Tappenden, Paul; Woolacott, Nerys

    2017-06-01

    Evidence Review Groups (ERGs) critically appraise company submissions as part of the National Institute for Health and Care Excellence (NICE) Single Technology Appraisal (STA) process. As part of their critique of the evidence submitted by companies, the ERGs undertake exploratory analyses to explore uncertainties in the company's model. The aim of this study was to explore pre-defined factors that might influence or predict the extent of ERG exploratory analyses. The aim of this study was to explore predefined factors that might influence or predict the extent of ERG exploratory analyses. We undertook content analysis of over 400 documents, including ERG reports and related documentation for the 100 most recent STAs (2009-2014) for which guidance has been published. Relevant data were extracted from the documents and narrative synthesis was used to summarise the extracted data. All data were extracted and checked by two researchers. Forty different companies submitted documents as part of the NICE STA process. The most common disease area covered by the STAs was cancer (44%), and most ERG reports (n = 93) contained at least one exploratory analysis. The incidence and frequency of ERG exploratory analyses does not appear to be related to any developments in the appraisal process, the disease area covered by the STA, or the company's base-case incremental cost-effectiveness ratio (ICER). However, there does appear to be a pattern in the mean number of analyses conducted by particular ERGs, but the reasons for this are unclear and potentially complex. No clear patterns were identified regarding the presence or frequency of exploratory analyses, apart from the mean number conducted by individual ERGs. More research is needed to understand this relationship.

  13. Proceeding on the scientific meeting and presentation on basic research of nuclear science and technology (book II): chemical, waste processing technology and environment

    International Nuclear Information System (INIS)

    Prayitno; Syarip; Samin; Darsono; Agus Taftazani; Sudjatmoko; Tri Mardji Atmono; Dwi Biyantoro; Gede Sutresna W; Tjipto Sujitno; Slamet Santosa; Herry Poernomo; Bambang Siswanto; Eko Edy Karmanto; Endro Kismolo; Budi Setiawan; Prajitno; Jumari; Wahini Nurhayati

    2015-06-01

    Scientific Meeting and Presentation on Basic Research in Nuclear Science and Technology is an annual activity held by Centre for Accelerator Science and Technology, National Nuclear Energy Agency, in Yogyakarta, for monitoring research activities achieved by the Agency. The papers presented in the meeting were collected into proceedings which were divided into two groups that are chemistry, environmental and waste treatment technology process . The proceedings consists of three articles from keynote speakers and 24 articles from BATAN and others participants.(PPIKSN)

  14. Report of the task group on fermentation technology.

    CSIR Research Space (South Africa)

    Andrews, RJ

    1978-09-01

    Full Text Available An ad hoc meeting held in Bloemfontein on 29th November, 1976, identified a need for greater contact between South African research groups involved in fermentation technology. The meeting also suggested that the stimulation of research and training...

  15. A PROCESS OF PRIORITIZING TOPICS FOR HEALTH TECHNOLOGY ASSESSMENT IN KAZAKHSTAN.

    Science.gov (United States)

    Kosherbayeva, Lyazzat; Hailey, David; Kurakbaev, Kural; Tabarov, Adlet; Kumar, Ainur; Gutzskaya, Gulnara; Stepkina, Elena

    2016-01-01

    The aim of this study was to develop criteria for the prioritization of topics for health technology assessment (HTA) in the healthcare system of Kazakhstan. Initial proposals for criteria were suggested through consultation with Ministry of Health (MoH) policy areas. These were refined through a workshop attended by HTA department staff, persons from medical universities and research institutes, and MoH policy makers. The workshop included discussion on methods used in international HTA practice. Opinions of participants on selection of criteria from those specified in a review of prioritization processes were used to define a list for inclusion in an instrument for routine use. A scoring system was established in later discussion. Selected criteria for HTA prioritization were burden of disease, availability of alternative technology, clinical effectiveness, economic efficiency, budget impact, and ethical, legal, and/or psychosocial aspects. For each criterion, a health technology under consideration is given a score from 3 (High) to 1 (Low). The total score determines whether the technology is of high to medium priority or of low priority. Determination of priorities for assessment, using the instrument, should be carried out by an expert group appointed by the MoH. The process was applied in 2014 to a selection of topics, and three health technologies were chosen for full assessments. Criteria for prioritization have evolved with development of the HTA program in Kazakhstan. A method for HTA prioritization has been developed that is easy to apply, requires comparatively few resources, and is compatible with processes required by the MoH.

  16. The Competence Accumulation Process in the Technology Transference Strategy

    Directory of Open Access Journals (Sweden)

    André Silva de Souza

    2008-04-01

    Full Text Available The present article evaluates and measures the technological competence accumulation in an automation area enterprise to distribution centers, Knapp Sudamérica Logistic and Automation Ltd, in the interval of the technology transference process previous period (1998-2001 and during the technology transference process(2002-2005. Therefore, based on an individual case study, the study identified the technology transference strategy and mechanism accorded between the head office and the branch office, the technological functions and activities developed by the receiver and, at last, the critical factors present in this process. The echnological competences accumulation exam was accomplished based on an analytical structure existent in the literature that was adapted to the researched segment analysis. The obtained results showed that the planed, organized, controlled and continuous effort to generating and disseminating knowledge allowed the enterprise to speed up the accumulation process of technological competences promoting the converting of this process from individual level to the organizational one: besides, it also allowed the identification of barriers and facilitators involved in this process.

  17. Technological and life cycle assessment of organics processing odour control technologies

    Energy Technology Data Exchange (ETDEWEB)

    Bindra, Navin [School of Engineering, University of Guelph, 50 Stone Road East, Guelph, Ontario N1G2W1 (Canada); Dubey, Brajesh, E-mail: bkdubey@civil.iitkgp.ernet.in [School of Engineering, University of Guelph, 50 Stone Road East, Guelph, Ontario N1G2W1 (Canada); Environmental Engineering Division, Department of Civil Engineering, Indian Institute of Technology, Kharagpur, West Bengal 721302 (India); Dutta, Animesh [School of Engineering, University of Guelph, 50 Stone Road East, Guelph, Ontario N1G2W1 (Canada)

    2015-09-15

    As more municipalities and communities across developed world look towards implementing organic waste management programmes or upgrading existing ones, composting facilities are emerging as a popular choice. However, odour from these facilities continues to be one of the most important concerns in terms of cost & effective mitigation. This paper provides a technological and life cycle assessment of some of the different odour control technologies and treatment methods that can be implemented in organics processing facilities. The technological assessment compared biofilters, packed tower wet scrubbers, fine mist wet scrubbers, activated carbon adsorption, thermal oxidization, oxidization chemicals and masking agents. The technologies/treatment methods were evaluated and compared based on a variety of operational, usage and cost parameters. Based on the technological assessment it was found that, biofilters and packed bed wet scrubbers are the most applicable odour control technologies for use in organics processing faculties. A life cycle assessment was then done to compare the environmental impacts of the packed-bed wet scrubber system, organic (wood-chip media) bio-filter and inorganic (synthetic media) bio-filter systems. Twelve impact categories were assessed; cumulative energy demand (CED), climate change, human toxicity, photochemical oxidant formation, metal depletion, fossil depletion, terrestrial acidification, freshwater eutrophication, marine eutrophication, terrestrial eco-toxicity, freshwater eco-toxicity and marine eco-toxicity. The results showed that for all impact categories the synthetic media biofilter had the highest environmental impact, followed by the wood chip media bio-filter system. The packed-bed system had the lowest environmental impact for all categories. - Highlights: • Assessment of odour control technologies for organics processing facilities. • Comparative life cycle assessment of three odour control technologies was conducted

  18. ALMA Array Operations Group process overview

    Science.gov (United States)

    Barrios, Emilio; Alarcon, Hector

    2016-07-01

    ALMA Science operations activities in Chile are responsibility of the Department of Science Operations, which consists of three groups, the Array Operations Group (AOG), the Program Management Group (PMG) and the Data Management Group (DMG). The AOG includes the Array Operators and have the mission to provide support for science observations, operating safely and efficiently the array. The poster describes the AOG process, management and operational tools.

  19. Toward a new information infrastructure in health technology assessment: communication, design, process, and results.

    Science.gov (United States)

    Neikter, Susanna Allgurin; Rehnqvist, Nina; Rosén, Måns; Dahlgren, Helena

    2009-12-01

    The aim of this study was to facilitate effective internal and external communication of an international network and to explore how to support communication and work processes in health technology assessment (HTA). STRUCTURE AND METHODS: European network for Health Technology Assessment (EUnetHTA) connected sixty-four HTA Partner organizations from thirty-three countries. User needs in the different steps of the HTA process were the starting point for developing an information system. A step-wise, interdisciplinary, creative approach was used in developing practical tools. An Information Platform facilitated the exchange of scientific information between Partners and with external target groups. More than 200 virtual meetings were set up during the project using an e-meeting tool. A Clearinghouse prototype was developed with the intent to offering a single point of access to HTA relevant information. This evolved into a next step not planned from the outset: Developing a running HTA Information System including several Web-based tools to support communication and daily HTA processes. A communication strategy guided the communication effort, focusing on practical tools, creating added value, involving stakeholders, and avoiding duplication of effort. Modern technology enables a new information infrastructure for HTA. The potential of information and communication technology was used as a strategic tool. Several target groups were represented among the Partners, which supported collaboration and made it easier to identify user needs. A distinctive visual identity made it easier to gain and maintain visibility on a limited budget.

  20. Environmental and process monitoring technologies

    International Nuclear Information System (INIS)

    Vo-Dinh, Tuan

    1993-01-01

    The objective of this conference was to provide a multidisciplinary forum dealing with state-of-the-art methods and instrumentation for environmental and process monitoring. In the last few years, important advances have been made in improving existing analytical methods and developing new techniques for trace detection of chemicals. These monitoring technologies are a topic of great interest for environmental and industrial control in a wide spectrum of areas. Sensitive detection, selective characterization, and cost-effective analysis are among the most important challenges facing monitoring technologies. This conference integrating interdisciplinary research and development was aimed to present the most recent advances and applications in the important areas of environmental and process monitoring. Separate abstracts have been prepared for 34 papers for inclusion in the appropriate data bases

  1. The space technology demand on materials and processes

    Science.gov (United States)

    Dauphin, J.

    1983-01-01

    Space technology requires a rational and accurate policy of materials and processes selection. This paper examines some areas of space technology where materials and process problems have occurred in the past and how they can be solved in the future.

  2. Flattening filter-free accelerators: a report from the AAPM Therapy Emerging Technology Assessment Work Group.

    Science.gov (United States)

    Xiao, Ying; Kry, Stephen F; Popple, Richard; Yorke, Ellen; Papanikolaou, Niko; Stathakis, Sotirios; Xia, Ping; Huq, Saiful; Bayouth, John; Galvin, James; Yin, Fang-Fang

    2015-05-08

    This report describes the current state of flattening filter-free (FFF) radiotherapy beams implemented on conventional linear accelerators, and is aimed primarily at practicing medical physicists. The Therapy Emerging Technology Assessment Work Group of the American Association of Physicists in Medicine (AAPM) formed a writing group to assess FFF technology. The published literature on FFF technology was reviewed, along with technical specifications provided by vendors. Based on this information, supplemented by the clinical experience of the group members, consensus guidelines and recommendations for implementation of FFF technology were developed. Areas in need of further investigation were identified. Removing the flattening filter increases beam intensity, especially near the central axis. Increased intensity reduces treatment time, especially for high-dose stereotactic radiotherapy/radiosurgery (SRT/SRS). Furthermore, removing the flattening filter reduces out-of-field dose and improves beam modeling accuracy. FFF beams are advantageous for small field (e.g., SRS) treatments and are appropriate for intensity-modulated radiotherapy (IMRT). For conventional 3D radiotherapy of large targets, FFF beams may be disadvantageous compared to flattened beams because of the heterogeneity of FFF beam across the target (unless modulation is employed). For any application, the nonflat beam characteristics and substantially higher dose rates require consideration during the commissioning and quality assurance processes relative to flattened beams, and the appropriate clinical use of the technology needs to be identified. Consideration also needs to be given to these unique characteristics when undertaking facility planning. Several areas still warrant further research and development. Recommendations pertinent to FFF technology, including acceptance testing, commissioning, quality assurance, radiation safety, and facility planning, are presented. Examples of clinical

  3. 76 FR 13438 - In the Matter of AdAl Group, Inc., Com/Tech Communications Technologies, Inc., Dialog Group, Inc...

    Science.gov (United States)

    2011-03-11

    ... Communications Technologies, Inc., Dialog Group, Inc., Eurogas, Inc., Golden Books Family Entertainment, Inc. (n/k/a GB Holdings Liquidation, Inc.), Information Management Technologies Corporation, Interiors, Inc... accurate information concerning the securities of Com/Tech Communications Technologies, Inc. because it has...

  4. Group Contribution Based Process Flowsheet Synthesis, Design and Modelling

    DEFF Research Database (Denmark)

    d'Anterroches, Loïc; Gani, Rafiqul

    2005-01-01

    In a group contribution method for pure component property prediction, a molecule is described as a set of groups linked together to form a molecular structure. In the same way, for flowsheet "property" prediction, a flowsheet can be described as a set of process-groups linked together to represent...... the flowsheet structure. Just as a functional group is a collection of atoms, a process-group is a collection of operations forming an "unit" operation or a set of "unit" operations. The link between the process-groups are the streams similar to the bonds that are attachments to atoms/groups. Each process-group...... provides a contribution to the "property" of the flowsheet, which can be performance in terms of energy consumption, thereby allowing a flowsheet "property" to be calculated, once it is described by the groups. Another feature of this approach is that the process-group attachments provide automatically...

  5. The Linkages between Mindfulness and Social Information Processing Theory on the Usage of Whatsapp Media Groups

    Directory of Open Access Journals (Sweden)

    Dina Sekar Vusparatih

    2018-03-01

    Full Text Available The objective of the research was to find the linkages between mindfulness and social information processing theory on the use of WhatsApp group of Elementary school Principals in District Cilandak Region III for the distribution of various information and instructions. Through the concept of mindfulness and Social Information Processing theory approach (SIP, this research would explore the causes of the frequent emergence of noise, misunderstanding, and even tangency to the WA group that was carried on the meeting of headmaster meetings and relationships between members. The research problem was why WA group still causing issues among the Principals. By using the qualitative approach, data collection techniques used in this research were the interview, observation, and literature study. It is found that technological sophistication does not go parallel with maturity in communicating using media technologies. Lack of mindfulness in the WA group is a form of organizational communication that is simply transferred into the form of text communication on mobile phones that is being the main cause. Also, the organizational structure is still inherent in it and only serves as a bridge/form of interim communication because the main form of communication is still in the form of correspondence and face-to-face meetings.

  6. Technology transfer from nuclear research

    International Nuclear Information System (INIS)

    1989-01-01

    A number of processes, components and instruments developed at the Bhabha Atomic Research Centre, (BARC), Bombay, find application in industry and are available for transfer to private or public sector undertakings for commercial exploitation. The Technology Transfer Group (TTG) constituted in January 1980 identifies such processes and prototypes which can be made available for transfer. This catalogue contains brief descriptions of such technologies and they are arranged under three groups, namely, Group A containing descriptions of technologies already transferred, Group B containing descriptions of technologies ready for transfer and Group C containing descriptions of technology transfer proposals being processed. The position in the above-mentioned groups is as on 1 March 1989. The BARC has also set up a Technology Corner where laboratory models and prototypes of instruments, equipment and components are displayed. These are described in the second part of the catalogue. (M.G.B.)

  7. Optimization of processing technology of Rhizoma Pinelliae ...

    African Journals Online (AJOL)

    soaking time and processing temperature on processing technology of Rhizoma ... Results: During the processing of Rhizoma Pinelliae Praeparatum, the size of influence of licorice .... Table 1: Factors and levels of orthogonal experiment.

  8. Application of membrane technologies for liquid radioactive waste processing

    International Nuclear Information System (INIS)

    2004-01-01

    Membrane separation processes have made impressive progress since the first synthesis of membranes almost 40 years ago. This progress was driven by strong technological needs and commercial expectations. As a result the range of successful applications of membranes and membrane processes is continuously broadening. In addition, increasing application of membrane processes and technologies lies in the increasing variations of the nature and characteristics of commercial membranes and membrane apparatus. The objective of the report is to review the information on application of membrane technologies in the processing of liquid radioactive waste. The report covers the various types of membranes, equipment design, range of applications, operational experience and the performance characteristics of different membrane processes. The report aims to provide Member States with basic information on the applicability and limitations of membrane separation technologies for processing liquid radioactive waste streams

  9. Technology Summary Advancing Tank Waste Retreival And Processing

    International Nuclear Information System (INIS)

    Sams, T.L.

    2010-01-01

    This technology overview provides a high-level summary of technologies being investigated and developed by Washington River Protection Solutions (WRPS) to advance Hanford Site tank waste retrieval and processing. Technology solutions are outlined, along with processes and priorities for selecting and developing them. Hanford's underground waste storage tanks hold approximately 57 million gallons of radiochemical waste from nuclear defense production - more tank waste than any other site in the United States. In addition, the waste is uniquely complicated since it contains constituents from at least six major radiochemical processes and several lesser processes. It is intermixed and complexed more than any other waste collection known to exist in the world. The multi-faceted nature of Hanford's tank waste means that legally binding agreements in the Federal Facility Agreement and Consent Order (known as the Tri-Party Agreement) and between the Department of Energy (DOE) and its contractors may not be met using current vitrification schedules, plans and methods. WRPS and the DOE are therefore developing, testing, and deploying technologies to ensure that they can meet the necessary commitments and complete the DOE's River Protection Project (RPP) mission within environmentally acceptable requirements. Technology solutions are outlined, along with processes and priorities for selecting and developing them.

  10. Materials, process, product analysis of coal process technology. Phase I final report

    Energy Technology Data Exchange (ETDEWEB)

    Saxton, J. C.; Roig, R. W.; Loridan, A.; Leggett, N. E.; Capell, R. G.; Humpstone, C. C.; Mudry, R. N.; Ayres, E.

    1976-02-01

    The purpose of materials-process-product analysis is a systematic evaluation of alternative manufacturing processes--in this case processes for converting coal into energy and material products that can supplement or replace petroleum-based products. The methodological steps in the analysis include: Definition of functional operations that enter into coal conversion processes, and modeling of alternative, competing methods to accomplish these functions; compilation of all feasible conversion processes that can be assembled from combinations of competing methods for the functional operations; systematic, iterative evaluation of all feasible conversion processes under a variety of economic situations, environmental constraints, and projected technological advances; and aggregative assessments (economic and environmental) of various industrial development scenarios. An integral part of the present project is additional development of the existing computer model to include: A data base for coal-related materials and coal conversion processes; and an algorithmic structure that facilitates the iterative, systematic evaluations in response to exogenously specified variables, such as tax policy, environmental limitations, and changes in process technology and costs. As an analytical tool, the analysis is intended to satisfy the needs of an analyst working at the process selection level, for example, with respect to the allocation of RDandD funds to competing technologies.

  11. Distillation process using microchannel technology

    Science.gov (United States)

    Tonkovich, Anna Lee [Dublin, OH; Simmons, Wayne W [Dublin, OH; Silva, Laura J [Dublin, OH; Qiu, Dongming [Carbondale, IL; Perry, Steven T [Galloway, OH; Yuschak, Thomas [Dublin, OH; Hickey, Thomas P [Dublin, OH; Arora, Ravi [Dublin, OH; Smith, Amanda [Galloway, OH; Litt, Robert Dwayne [Westerville, OH; Neagle, Paul [Westerville, OH

    2009-11-03

    The disclosed invention relates to a distillation process for separating two or more components having different volatilities from a liquid mixture containing the components. The process employs microchannel technology for effecting the distillation and is particularly suitable for conducting difficult separations, such as the separation of ethane from ethylene, wherein the individual components are characterized by having volatilities that are very close to one another.

  12. The Process of Accepting Technology Innovation for Rural Teachers

    Science.gov (United States)

    Cerovski, Jeremy

    2016-01-01

    In order for educational leaders to facilitate effectively the integration of technology, an understanding of the process rural teachers experience with technology integration is critical. The goal of the qualitative study was to discover and understand rural teachers' process for accepting technology innovation in order to improve the…

  13. Roadmap for Process Equipment Materials Technology

    Energy Technology Data Exchange (ETDEWEB)

    none,

    2003-10-01

    This Technology Roadmap addresses the ever-changing material needs of the chemical and allied process industries, and the energy, economic and environmental burdens associated with corrosion and other materials performance and lifetime issues. This Technology Roadmap outlines the most critical of these R&D needs, and how they can impact the challenges facing today’s materials of construction.

  14. Manufacturing technology and process for BWR fuel

    International Nuclear Information System (INIS)

    Kato, Shigeru

    1996-01-01

    Following recent advanced technologies, processes and requests of the design changes of BWR fuel, Nuclear Fuel Industries, Ltd. (NFI) has upgraded the manufacturing technology and honed its own skills to complete its brand-new automated facility in Tokai in the latter half of 1980's. The plant uses various forms of automation throughout the manufacturing process: the acceptance of uranium dioxide powder, pelletizing, fuel rod assembling, fuel bundle assembling and shipment. All processes are well computerized and linked together to establish the integrated control system with three levels of Production and Quality Control, Process Control and Process Automation. This multi-level system plays an important role in the quality assurance system which generates the highest quality of fuels and other benefits. (author)

  15. The technology management process at the European space agency

    Science.gov (United States)

    Guglielmi, M.; Williams, E.; Groepper, P.; Lascar, S.

    2010-03-01

    Technology is developed at the European Space Agency (ESA) under several programmes: corporate and domain specific, mandatory and optional, with different time horizons and covering different levels of the TRL scale. To improve the transparency and efficiency of the complete process, it was felt necessary to establish an agreed end to end process for the management of all technology R&D activity that could: Include all ESA programmes and consider the requirements of European users Lead to coordinated multi-year work plan and yearly procurement plans Prepare and enable future European space programmes Be harmonized with national initiatives in Europe Thereby establishing the basis for a product policy to reduce risks to technology users, reduce costs and delays, and enhance industrial competitiveness and non-dependence. In response to the above needs, ESA has developed a technology management process called the ESA End-to-End process (E2E), from establishment of the strategy to the monitoring and evaluation of R&D results. In this paper, the complete process will be described in detail including a discussion on its strengths and limitations, and its links to the wider European Harmonization process. The paper will be concluded with the introduction of the ESA Technology Tree: a basic tool to structure and facilitate communication about technology issues.

  16. Fiscal 1999 research report. Research on photonic measurement and processing technology (Development of high- efficiency production process technology); 1999 nendo foton keisoku kako gijutsu seika hokokusho. Kokoritsu seisan process gijutsu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    This report summarizes the fiscal 1999 research result on R and D of laser processing technology, in-situ measurement technology, and generation and control technology of photon as laser beam source, for energy saving and efficiency improvement of energy-consumptive production processes such as welding, jointing, surface treatment and fine particle fabrication. The research was carried out by a technical center, 9 companies and a university as contract research. The research themes are as follows: (1) Processing technology: simulation technology for laser welding phenomena, synthesis technology for quantum dot functional structures, and fabrication technology for functional composite materials, (2) In-situ measurement technology: fine particle element and size measurement technology, (3) All- solid state laser technology: efficient rod type LD-pumping laser module, pumping chamber of slab type laser, improvement of E/O efficiency of laser diode, high-quality nonlinear crystal growth technology, fabrication technology for nonlinear crystals, and high-efficiency harmonic generation technology. Comprehensive survey was also made on high- efficiency photon generation technologies. (NEDO)

  17. What it Takes to Successfully Implement Technology for Aging in Place: Focus Groups With Stakeholders.

    Science.gov (United States)

    Peek, Sebastiaan Theodorus Michaël; Wouters, Eveline J M; Luijkx, Katrien G; Vrijhoef, Hubertus J M

    2016-05-03

    There is a growing interest in empowering older adults to age in place by deploying various types of technology (ie, eHealth, ambient assisted living technology, smart home technology, and gerontechnology). However, initiatives aimed at implementing these technologies are complicated by the fact that multiple stakeholder groups are involved. Goals and motives of stakeholders may not always be transparent or aligned, yet research on convergent and divergent positions of stakeholders is scarce. To provide insight into the positions of stakeholder groups involved in the implementation of technology for aging in place by answering the following questions: What kind of technology do stakeholders see as relevant? What do stakeholders aim to achieve by implementing technology? What is needed to achieve successful implementations? Mono-disciplinary focus groups were conducted with participants (n=29) representing five groups of stakeholders: older adults (6/29, 21%), care professionals (7/29, 24%), managers within home care or social work organizations (5/29, 17%), technology designers and suppliers (6/29, 21%), and policy makers (5/29, 17%). Transcripts were analyzed using thematic analysis. Stakeholders considered 26 different types of technologies to be relevant for enabling independent living. Only 6 out of 26 (23%) types of technology were mentioned by all stakeholder groups. Care professionals mentioned fewer different types of technology than other groups. All stakeholder groups felt that the implementation of technology for aging in place can be considered a success when (1) older adults' needs and wishes are prioritized during development and deployment of the technology, (2) the technology is accepted by older adults, (3) the technology provides benefits to older adults, and (4) favorable prerequisites for the use of technology by older adults exist. While stakeholders seemed to have identical aims, several underlying differences emerged, for example, with regard

  18. Sustaining Innovation: Developing an Instructional Technology Assessment Process

    Science.gov (United States)

    Carmo, Monica Cristina

    2013-01-01

    This case study developed an instructional technology assessment process for the Gevirtz Graduate School of Education (GGSE). The theoretical framework of Adelman and Taylor (2001) guided the development of this instructional technology assessment process and the tools to aid in its facilitation. GGSE faculty, staff, and graduate students…

  19. Technologies for Collaborative Business Process Management

    NARCIS (Netherlands)

    Sadiq, Shazia; Reichert, M.U.; Schulz, Karsten

    Business process management (BPM) has become an extensive area of research with several specialized aspects. BPM is viewed from highly diverse angles ranging from a management strategy to a software system. It is widely acknowledged that process enforcement technologies hold the potential to provide

  20. Effect of depression on processing interactions in group psychotherapy.

    Science.gov (United States)

    Slife, B D; Sasscer-Burgos, J; Froberg, W; Ellington, S

    1989-01-01

    Two studies were conducted to investigate the possibility of individual differences in the ability of inpatients to process interactions in group psychotherapy. The first was a pilot study conducted on groups of major depressive patients and matched normal subjects. Subjects were asked to give process comments after viewing simulations of typical group therapy interactions. These comments were later rated on the extent to which they reflected process qualities and accuracy. These data led to a more rigorous and extensive study that included more appropriate control groups as well as measures of potential confounding factors, such as simulation realism, verbal ability, and interaction comprehension. Results indicated that major depressives suffer from deficits in the ability to process group interactions, relative to three types of control groups, including normals. These differences in processing were not significantly positively correlated with any of the potential confounding factors. The implications for understanding interactional processing and group psychotherapy are discussed.

  1. The competence accumulation process in the technology transference strategy

    OpenAIRE

    Souza, André Silva de; Segatto-Mendes, Andréa Paula

    2008-01-01

    The present article evaluates and measures the technological competence accumulation in an automation area enterprise to distribution centers, Knapp Sudamérica Logistic and Automation Ltd, in the interval of the technology transference process previous period (1998-2001) and during the technology transference process (2002-2005). Therefore, based on an individual case study, the study identified the technology transference strategy and mechanism accorded between the head office and the branch...

  2. A Study of the Communication Behaviors and Members' Roles in the Interaction Process of a Project-based Learning Group

    Directory of Open Access Journals (Sweden)

    Wei-Jane Lin

    2010-06-01

    Full Text Available The infusion of information and communication technology into instruction has gained the foothold within many classrooms in higher education by its advantages to enable the variety and accessibility of school teaching and learning. However, to engage students with the technology enhanced learning experiences calls for attentions on more the processes than the mere outcome of technology use. This study examines the common phenomenon in college campus where network technology, group activities and project works are available with the intention to explore how student performance of teamwork and learning is affected by the micro factors of group compositions, members’ roles and their communication behaviors. Results show that the group performed most procedure-, task-, and social-communication behaviors during the execution stage than that of preparation and completion stages. Additionally, members’ roles performed and interfered within these stages positively affected the project performance to different extent. [Article content in Chinese; Extended abstract in English

  3. Technological Criteria Technology-Environmental under a Systemic Approach: Chemistry Technology Transfer

    Directory of Open Access Journals (Sweden)

    Durán-García Martín Enrique

    2014-07-01

    Full Text Available Currently the transfer of chemical technology is a process that contributes to the technology policy of a country, an industry or an organization in general chemistry. This process requires the application of clear criteria for the proper development of the complex interrelations in the transfer of chemical technology. A group of criteria that are present, are those related to environmental technology which intrinsically define the technology and its impact to the environment. Therefore, the transfer of chemical technology requires technological-environmental criteria defining, in conjunction with other criteria, an adequate process for the selection, acquisition and incorporation of technology in a holistic perspective, so it provides feasible solutions the chemical industry in pursuit of their goals. Then the criterion becomes a benchmark for assessing an appropriate technology transfer process. We performed a theoretical analysis of the technological and environmental criteria, proposing thirty-six (36 technological-environmental criteria interrelated under a systemic approach in the process of transfer of chemical technology, focused on a methodological cycle first run, based primarily on the research-action method. Future research is expected to make a refinement of the criteria from the formulation and validation of metrics so that necessary adjustments are made to optimize the process of transfer of chemical technology.

  4. Adoption of improved oil palm processing technology in Umuahia ...

    African Journals Online (AJOL)

    It was found that a large percentage of the respondents were aware of the 5 improved oil palm processing technologies with friends and relatives as major source of information. Adoption was significant for 3 out of 5 technologies under study. The major constraints to improved oil palm processing technologies were high ...

  5. Reactor and process design in sustainable energy technology

    CERN Document Server

    Shi, Fan

    2014-01-01

    Reactor Process Design in Sustainable Energy Technology compiles and explains current developments in reactor and process design in sustainable energy technologies, including optimization and scale-up methodologies and numerical methods. Sustainable energy technologies that require more efficient means of converting and utilizing energy can help provide for burgeoning global energy demand while reducing anthropogenic carbon dioxide emissions associated with energy production. The book, contributed by an international team of academic and industry experts in the field, brings numerous reactor design cases to readers based on their valuable experience from lab R&D scale to industry levels. It is the first to emphasize reactor engineering in sustainable energy technology discussing design. It provides comprehensive tools and information to help engineers and energy professionals learn, design, and specify chemical reactors and processes confidently. Emphasis on reactor engineering in sustainable energy techn...

  6. Application of various technological processes in red clover seed processing

    OpenAIRE

    Đokić, Dragoslav; Stanisavljević, Rade; Terzić, Dragan; Marković, Jordan; Radivojević, Gordana; Anđelković, Bojan; Barać, Saša

    2012-01-01

    This paper presents the results of the processing of natural red clover seed on the processing equipment using different technological methods. Red clover seed, for the establishment and crop utilization, must be of high purity, germination, and high genetic values. These requirements are achieved by processing, or removing impurities and poor quality seeds. Red clover seed processing involves a number of operations, of which the most important are: cleaning, packaging, labeling and storage. ...

  7. Literacy and Technology: Integrating Technology with Small Group, Peer-led Discussions of Literature

    Directory of Open Access Journals (Sweden)

    Genya Coffey

    2012-03-01

    Full Text Available This review examines research of computer-mediated small group discussion of literature. The goal of this review is to explore several instructional formats for integrating print-based and new literacies skills. First, the theoretical foundations for the shift from teacher-led to student led discussion are outlined. Research exploring ways in which technology has been infused into several common elements of literature discussion groups are presented next. Benefits and challenges of such integration are highlighted and suggestions for future research are presented.

  8. Application of thermal technologies for processing of radioactive waste

    International Nuclear Information System (INIS)

    2006-12-01

    The primary objective of this publication is to provide an overview of the various thermal technologies for processing various solid, liquid, organic and inorganic radioactive waste streams. The advantages, limitations and operating experience of various thermal technologies are explained. This publication also goes beyond previous work on thermal processes by addressing the applicability of each technology to national or regional nuclear programmes of specific relative size (major advanced programmes, small to medium programmes, and emerging programmes with other nuclear applications). The most commonly used thermal processing technologies are reviewed, and the key factors influencing the selection of thermal technologies as part of a national waste management strategy are discussed. Accordingly, the structure and content of this publication is intended to assist decision-makers, regulators, and those charged with developing such strategies to identify and compare thermal technologies for possible inclusion in the mix of available, country-specific waste management processes. This publication can be used most effectively as an initial cutting tool to identify whether any given technology will best serve the local waste management strategy in terms of the waste generated, technical complexity, available economic resources, environmental impact considerations, and end product (output) of the technology. If multiple thermal technologies are being actively considered, this publication should be instrumental in comparing the technologies and assisting the user to reach an informed decision based on local needs, economics and priorities. A detailed set of conclusions is provided in Section 7

  9. The advances in radiation processing technology and some suggestion

    International Nuclear Information System (INIS)

    Wu Jilan; Wei Genshuan; Ha Hongfei

    1992-01-01

    Radiation processing technology has been made great advances in the last decade especially in the developed countries. According to the conservative evaluation, the total sales of radiation processing products approached about 2-3 billion U.S. dollar in 1981, there after, the processing capacity at least doubles. Now, the intensities of 60 Co in use for radiation processing are (5.55-7.40) x 10 18 Bq and there are about 600 sets of electron accelerators for radiation processing. The total sales of radiation processing products are supposed to be over 10 billion U.S. dollar in 1989. However, there are only several fields commercialized. In great scale, such as radiation crosslinked heat shrinkable materials, radiation crosslinked electric cables and wires, and radiation sterilization of medical articles. In China, the radiation processing technology has been developed rapidly in the past years, but the processing capacity is still lower in comparing with developed countries. We suggest that much attention should be devoted to the training of the workers, technicians and managers. The basic theoretical and new technological researches are the keys for developing radiation processing technology at high speed in our country

  10. Technology of forming a positive attitude to physical training students of special medical group

    Directory of Open Access Journals (Sweden)

    Mukhamediarov N.N.

    2013-04-01

    Full Text Available Defined effective technology stages of forming a positive attitude towards physical education of students in special medical groups, stimulate motivation, epistemologically, informative, content-procedural, analytical and adjustment. For each stage technology offered special tools: lectures, seminars, analysis articles, mini conference on improving technique, racing games, mini-competitions, diagnostic interviews, questionnaires, analysis of log data on attendance. Selected criteria forming positive attitudes towards physical education: theoretical and practical, formed groups for research: experimental and control, analyzed results introduction of technology, efficiency of the proposed technology and means forming a positive attitude towards physical education students in special medical groups.

  11. Examining the potential of information technology to improve public insurance application processes: enrollee assessments from a concurrent mixed method analysis.

    Science.gov (United States)

    Mishra, Abhay Nath; Ketsche, Patricia; Marton, James; Snyder, Angela; McLaren, Susan

    2014-01-01

    To assess the perceived readiness of Medicaid and Children's Health Insurance Program (CHIP) enrollees to use information technologies (IT) in order to facilitate improvements in the application processes for these public insurance programs. We conducted a concurrent mixed method study of Medicaid and CHIP enrollees in a southern state. We conducted focus groups to identify enrollee concerns regarding the current application process and their IT proficiency. Additionally, we surveyed beneficiaries via telephone about their access to and use of the Internet, and willingness to adopt IT-enabled processes. 2013 households completed the survey. We used χ(2) analysis for comparisons across different groups of respondents. A majority of enrollees will embrace IT-enabled enrollment, but a small yet significant group continues to lack access to facilitating technologies. Moreover, a segment of beneficiaries in the two programs continues to place a high value on personal interactions with program caseworkers. IT holds the promise of improving efficiency and reducing barriers for enrollees, but state and federal agencies managing public insurance programs need to ensure access to traditional processes and make caseworkers available to those who require and value such assistance, even after implementing IT-enabled processes. The use of IT-enabled processes is essential for effectively managing eligibility and enrollment determinations for public programs and private plans offered through state or federally operated exchanges. However, state and federal officials should be cognizant of the technological readiness of recipients and provide offline help to ensure broad participation in the insurance market. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go to http://group.bmj.com/group/rights-licensing/permissions.

  12. Some novel concepts in radiation processing technology applications

    International Nuclear Information System (INIS)

    Varshney, Lalit

    2014-01-01

    Search for better materials and processes has been a part of the evolution of mankind and it still continues to be so as it is being realized that earth's resources are not everlasting and effect of rapid growth on environment may adversely affect the future development. Sustainable development is the only choice for today for long term survival. Better quality and high functional materials, made by superior technologies are being demanded by the society. Radiation processing technology has significantly contributed to meet the expectation of the people in providing superior products and processes while preserving the environment. Processes are being developed where resources are fully utilized with maximum advantages and little disturbance to the environment. More than 1500 electron beam accelerators and about 500 Gamma Irradiators are presently in use and many are being deployed for radiation processing of medical supplies, pharmaceuticals and herbal materials, treat effluents and preserve food and agricultural products and several industrial products. DAE has an ambitious plan to deploy radiation technology for societal benefits in India. In the presentations some interesting applications of Radiation Processing Technology will be discussed which includes (1) Radiation Processing of Cashew Apple fruit for bio-ethanol production (2) High Energy Battery separators (3) Plant Growth Promoters and (4) Tunable biodegradability. The discussion would reveal how a waste product like cashew apple can be converted to useful materials and advanced materials like HEB separators and Tunable Biodegradable films can be made using radiation technology. Use of radiation de-polymerized polysaccharides in some experiments have shown unexpected increase in agriculture output giving new concepts to increase the productivity. (author)

  13. Annual report to the Working Group on Technology, Growth, and Employment

    International Nuclear Information System (INIS)

    1985-04-01

    A meeting of the Working Group on High Energy Physics was convened in Brussels, Belgium, in July 1984, and impaneled new groups of technical experts to report on long-term planning, technical collaborations, and the identification of administrative obstacles experienced within the Summit countries that impede international collaboration. The charges to these three new groups are contained in this report under the section on the Brussels meeting. The reports prepared by the technical experts were then reviewed at the January 1985 meeting at Cadarache, France, and the results are contained in this report under the section on the Cadarache meeting. The Summit Working Group on High Energy Physics believes progress is being made toward cooperation among the Summit countries in the exploration of scientific and technological development upon which the Summit Heads of State and Government declared at Versailles revitalization and growth of the world economy will depend - to a large extent. At Cadarache, the Group found that, since its establishment, international collaboration has increased in the use of present accelerators and in the planning for future accelerators. The Group also found that there are specific areas of technology in which near-term research cooperation is possible. Finally, the Group identified administrative regulations that hamper effective international collaboration in science and technology and that could be revised or eliminated through coordinated, high level Summit action. The major accomplishment of the Working Group thus far has been the creation of a forum for discussions on collaboration in a major field of science by seven industrialized countries. The Group recommends the continuation of its review of long-term plans for major facilities on an intergovernmental basis

  14. A Process for Technology Prioritization in a Competitive Environment

    Science.gov (United States)

    Stephens, Karen; Herman, Melody; Griffin, Brand

    2006-01-01

    This slide presentation reviews NASA's process for prioritizing technology requirements where there is a competitive environment. The In-Space Propulsion Technology (ISPT) project is used to exemplify the process. The ISPT project focuses on the mid level Technology Readiness Level (TRL) for development. These are TRL's 4 through 6, (i.e. Technology Development and Technology Demonstration. The objective of the planning activity is to identify the current most likely date each technology is needed and create ISPT technology development schedules based on these dates. There is a minimum of 4 years between flight and pacing mission. The ISPT Project needed to identify the "pacing mission" for each technology in order to provide funding for each area. Graphic representations show the development of the process. A matrix shows which missions are currently receiving pull from the both the Solar System Exploration and the Sun-Solar System Connection Roadmaps. The timeframes of the pacing missions technologies are shown for various types of propulsion. A pacing mission that was in the near future serves to increase the priority for funding. Adaptations were made when budget reductions precluded the total implementation of the plan.

  15. Energy conversion technology by chemical processes

    Energy Technology Data Exchange (ETDEWEB)

    Oh, I W; Yoon, K S; Cho, B W [Korea Inst. of Science and Technology, Seoul (Korea, Republic of); and others

    1996-12-01

    The sharp increase in energy usage according to the industry development has resulted in deficiency of energy resources and severe pollution problems. Therefore, development of the effective way of energy usage and energy resources of low pollution is needed. Development of the energy conversion technology by chemical processes is also indispensable, which will replace the pollutant-producing and inefficient mechanical energy conversion technologies. Energy conversion technology by chemical processes directly converts chemical energy to electrical one, or converts heat energy to chemical one followed by heat storage. The technology includes batteries, fuel cells, and energy storage system. The are still many problems on performance, safety, and manufacturing of the secondary battery which is highly demanded in electronics, communication, and computer industries. To overcome these problems, key components such as carbon electrode, metal oxide electrode, and solid polymer electrolyte are developed in this study, followed by the fabrication of the lithium secondary battery. Polymer electrolyte fuel cell, as an advanced power generating apparatus with high efficiency, no pollution, and no noise, has many applications such as zero-emission vehicles, on-site power plants, and military purposes. After fabricating the cell components and operating the single cells, the fundamental technologies in polymer electrolyte fuel cell are established in this study. Energy storage technology provides the safe and regular heat energy, irrespective of the change of the heat energy sources, adjusts time gap between consumption and supply, and upgrades and concentrates low grade heat energy. In this study, useful chemical reactions for efficient storage and transport are investigated and the chemical heat storage technology are developed. (author) 41 refs., 90 figs., 20 tabs.

  16. Microwave plasma emerging technologies for chemical processes

    NARCIS (Netherlands)

    de la Fuente, Javier F.; Kiss, Anton A.; Radoiu, Marilena T.; Stefanidis, Georgios D.

    2017-01-01

    Microwave plasma (MWP) technology is currently being used in application fields such as semiconductor and material processing, diamond film deposition and waste remediation. Specific advantages of the technology include the enablement of a high energy density source and a highly reactive medium,

  17. Satisfaction with Online Commercial Group Chat: The Influence of Perceived Technology Attributes, Chat Group Characteristics, and Advisor Communication Style

    NARCIS (Netherlands)

    van Dolen, W.M.; Dabholkar, P.A.; de Ruyter, J.C.

    2007-01-01

    This study examines online commercial group chat from a structuration theory perspective. The findings support the influence of perceived technology attributes (control, enjoyment, reliability, speed, and ease of use) and chat group characteristics (group involvement, similarity, and receptivity) on

  18. Health technology funding decision-making processes around the world: the same, yet different.

    Science.gov (United States)

    Stafinski, Tania; Menon, Devidas; Philippon, Donald J; McCabe, Christopher

    2011-06-01

    All healthcare systems routinely make resource allocation decisions that trade off potential health gains to different patient populations. However, when such trade-offs relate to the introduction of new, promising health technologies, perceived 'winners' and 'losers' are more apparent. In recent years, public scrutiny over such decisions has intensified, raising the need to better understand how they are currently made and how they might be improved. The objective of this paper is to critically review and compare current processes for making health technology funding decisions at the regional, state/provincial and national level in 20 countries. A comprehensive search for published, peer-reviewed and grey literature describing actual national, state/provincial and regional/institutional technology decision-making processes was conducted. Information was extracted by two independent reviewers and tabulated to facilitate qualitative comparative analyses. To identify strengths and weaknesses of processes identified, websites of corresponding organizations were searched for commissioned reviews/evaluations, which were subsequently analysed using standard qualitative methods. A total of 21 national, four provincial/state and six regional/institutional-level processes were found. Although information on each one varied, they could be grouped into four sequential categories: (i) identification of the decision problem; (ii) information inputs; (iii) elements of the decision-making process; and (iv) public accountability and decision implementation. While information requirements of all processes appeared substantial and decision-making factors comprehensive, the way in which they were utilized was often unclear, as were approaches used to incorporate social values or equity arguments into decisions. A comprehensive inventory of approaches to implementing the four main components of all technology funding decision-making processes was compiled, from which areas for future

  19. The Ventriloquist's Dummy? The Role of Technology in Political Processes

    DEFF Research Database (Denmark)

    Koch, Christian

    2000-01-01

    technology and hire versa. In some phases, actors master the technology to the same extent as a ventriloquist masters his dummy. In other phases, however, actors Jinn themselves working hard, 'negotiating' with the technology. The management of technology is characterized as a consequence of these multiple......This article examines the active role of technology in political processes, drawing on organisational politics and sociology of technology. A case study of the processes of the management of technology demonstrates the multiple roles that technology plays in developing a promoting coalition...

  20. Status and prospect of radiation processing technology in Malaysia

    Energy Technology Data Exchange (ETDEWEB)

    Khairul Zaman Hj. Mohd Dahlan; Nahrul Khair Alang Md Rashid [Malaysian Institute for Nuclear Technology Research (MINT), Bangi, Selangor Darul Ehsan (Malaysia)

    2001-03-01

    Radiation processing technology in Malaysia is gaining acceptance by the local industry. The technology has proven to enhance the industrial efficiency, productivity and improve product quality and competitiveness. For many years, variety of radiation crosslinkable materials based on synthetic polymers have been produced either in the form of thermoplastic resins, polymer blends or composites. Today, effort is being focused towards producing environmentally friendly and biodegradable materials using natural polymers. The government of Malaysia through the Malaysian Institute for Nuclear Technology Research (MINT) has developed research program to utilize indigenous materials such as natural rubber, palm oil and polysaccharide. Radiation processing technology is used to process (crosslink/grafting/curing) the materials at a competitive cost. This technology can be applied in several industrial sectors such as automobile, aerospace, construction and healthcare. (author)

  1. Status and prospect of radiation processing technology in Malaysia

    International Nuclear Information System (INIS)

    Khairul Zaman Hj Mohd Dahlan; Nahrul Khair Alang Md Rashid

    2001-01-01

    Radiation processing technology in Malaysia is gaining acceptance by the local industry. The technology has proven to enhance the industrial efficiency, productivity and improve product quality and competitiveness. For many years, variety of radiation crosslinkable materials based on synthetic polymers have been produced either in the form of thermoplastic resins, polymer blends or composites. Today, effort is being focused towards producing environmentally friendly and biodegradable materials using natural polymers. The government of Malaysia through the Malaysian Institute for Nuclear Technology Research (MINT) has developed research program to utilize indigenous materials such as natural rubber, palm oil and polysaccharide. Radiation processing technology is used to process (crosslink/grafting/curing) the materials at a competitive cost. This technology can be applied in several industrial sectors such as automobile, aerospace, construction and healthcare. (author)

  2. Decision Gate Process for Assessment of a Technology Development Portfolio

    Science.gov (United States)

    Kohli, Rajiv; Fishman, Julianna; Hyatt, Mark

    2012-01-01

    The NASA Dust Management Project (DMP) was established to provide technologies (to TRL 6 development level) required to address adverse effects of lunar dust to humans and to exploration systems and equipment, which will reduce life cycle cost and risk, and will increase the probability of sustainable and successful lunar missions. The technology portfolio of DMP consisted of different categories of technologies whose final product is either a technology solution in itself, or one that contributes toward a dust mitigation strategy for a particular application. A Decision Gate Process (DGP) was developed to assess and validate the achievement and priority of the dust mitigation technologies as the technologies progress through the development cycle. The DGP was part of continuous technology assessment and was a critical element of DMP risk management. At the core of the process were technology-specific criteria developed to measure the success of each DMP technology in attaining the technology readiness levels assigned to each decision gate. The DGP accounts for both categories of technologies and qualifies the technology progression from technology development tasks to application areas. The process provided opportunities to validate performance, as well as to identify non-performance in time to adjust resources and direction. This paper describes the overall philosophy of the DGP and the methodology for implementation for DMP, and describes the method for defining the technology evaluation criteria. The process is illustrated by example of an application to a specific DMP technology.

  3. Performance Analyses in an Assistive Technology Service Delivery Process

    DEFF Research Database (Denmark)

    Petersen, Anne Karin

    Performance Analyses in an Assistive Technology Service Delivery Process.Keywords: process model, occupational performance, assistive technologiesThe Poster is about teaching students, using models and theory in education and practice. It is related to Occupational therapy process and professional...... af top-til-bund, klientcentreret og aktivitetsbaseret interventioner, ERGO/MunksgaardFisher, A. &, Griswold, L. A., 2014. Performance Skills. I: B.Schell red.2014 Occupational Therapy. Willard &Spackman’s occupational therapy. -12th ed., p.249-264Cook A.M., Polgar J.M. (2015) Assistive Technologies...

  4. Emerging Food Processing Technologies and Factors Impacting their Industrial Adoption.

    Science.gov (United States)

    Priyadarshini, Anushree; Rajauria, Gaurav; O'Donnell, Colm P; Tiwari, Brijesh K

    2018-06-04

    Innovative food processing technologies have been widely investigated in food processing research in recent years. These technologies offer key advantages for advancing the preservation and quality of conventional foods, for combatting the growing challenges posed by globalization, increased competitive pressures and diverse consumer demands. However, there is a need to increase the level of adoption of novel technologies to ensure the potential benefits of these technologies are exploited more by the food industry. This review outlines emerging thermal and non-thermal food processing technologies with regard to their mechanisms, applications and commercial aspects. The level of adoption of novel food processing technologies by the food industry is outlined and the factors that impact their industrial adoption are discussed. At an industry level, the technological capabilities of individual companies, their size, market share as well as their absorptive capacity impact adoption of a novel technology. Characteristics of the technology itself such as costs involved in its development and commercialization, associated risks and relative advantage, its level of complexity and compatibility influence the technology's adoption. The review concludes that a deep understanding of the development and application of a technology along with the factors influencing its acceptance are critical for its commercial adoption.

  5. Motivated information processing and group decision refusal

    NARCIS (Netherlands)

    Nijstad, Bernard A.; Oltmanns, Jan

    Group decision making has attracted much scientific interest, but few studies have investigated group decisions that do not get made. Based on the Motivated Information Processing in Groups model, this study analysed the effect of epistemic motivation (low vs. high) and social motivation (proself

  6. Efficient Separations and Processing Integrated Program (ESP-IP): Technology summary

    International Nuclear Information System (INIS)

    1994-02-01

    The Efficient Separations and Processing Integrated Program (ESPIP) was created in 1991 to identify, develop and perfect separations technologies and processes to treat wastes and address environmental problems throughout the DOE Complex. These wastes and environmental problems, located at more than 100 contaminated installations in 36 states and territories, are the result of half a century of nuclear processing activities by DOE and its predecessor organizations. The cost of cleaning up this legacy has been estimated to be of the order of hundreds of billions of dollars, and ESPIP's origin came with the realization that if new separations and processes can produce even a marginal reduction in cost then billions of dollars will be saved. The ultimate mission for ESPIP, as outlined in the ESPIP Strategic Plan, is: to provide Separations Technologies and Processes (STPS) to process and immobilize a wide spectrum of radioactive and hazardous defense wastes; to coordinate STP research and development efforts within DOE; to explore the potential uses of separated radionuclides; to transfer demonstrated separations and processing technologies developed by DOE to the US industrial sector, and to facilitate competitiveness of US technology and industry in the world market. Technology research and development currently under investigation by ESPIP can be divided into four broad areas: cesium and strontium removal; TRU and other HLW separations; sludge technology, and other technologies

  7. Improvisation during Process-Technology Adoption

    DEFF Research Database (Denmark)

    Tjørnehøj, Gitte; Mathiassen, Lars

    2010-01-01

    Most software firms struggle to take advantage of the potential benefits of software process improvement (SPI) as they adopt this technology into the complex and dynamic realities of their day-to-day operation. Such efforts are therefore typically fluctuating between management's attempt to contr...

  8. Exploring the disruptive effects of psychopathy and aggression on group processes and group effectiveness.

    Science.gov (United States)

    Baysinger, Michael A; Scherer, Kelly T; LeBreton, James M

    2014-01-01

    The present research examines the influence of implicit and explicit personality characteristics on group process and effectiveness. Individuals from 112 groups participated in 2 problem-solving tasks and completed measures of group process and effectiveness. Results indicated that groups characterized by higher levels of psychopathy and implicit aggression tended to have more dysfunctional interactions and negative perceptions of the group. In addition, task participation and negative socioemotional behaviors fully mediated the relationship between group personality traits and group commitment and cohesion, and negative socioemotional behaviors fully mediated the relationship between group personality and performance on both tasks. Implications of antisocial traits for group interactions and performance, as well as for future theory and research, are discussed. PsycINFO Database Record (c) 2014 APA, all rights reserved

  9. Application of image processing technology in yarn hairiness detection

    Directory of Open Access Journals (Sweden)

    Guohong ZHANG

    2016-02-01

    Full Text Available Digital image processing technology is one of the new methods for yarn detection, which can realize the digital characterization and objective evaluation of yarn appearance. This paper overviews the current status of development and application of digital image processing technology used for yarn hairiness evaluation, and analyzes and compares the traditional detection methods and this new developed method. Compared with the traditional methods, the image processing technology based method is more objective, fast and accurate, which is the vital development trend of the yarn appearance evaluation.

  10. Organizational Factors that Affect the University-Industry Technology Transfer Processes of a Private University

    Directory of Open Access Journals (Sweden)

    Lisiane Closs

    2012-02-01

    Full Text Available This case study researched organizational factors that affect the university-industry technology transfer (UITT processes of a private university, chosen by its success and uniqueness in the Brazilian context. Stood out as factors: innovation among pillars of management; valuing of research and intellectual property; qualified students, teachers and managers; multidisciplinary research groups; stability of governing body; performance of the TTO, Technology Management Agency and Technology Park. Difficulties highlighted were: reconciliation of time between activities of professors-researchers, bureaucracy and centralization of administrative and legal support; valuation of research results; approach and negotiation with companies. Among suggestions are: granting greater independence to the structures in charge of UITT and making them self-sustainable; training agents in technology marketing, sale, and negotiation skills.

  11. The Importance of Group Process in Gestalt Therapy.

    Science.gov (United States)

    Korb, Margaret Patton; Themis, Sharon

    1980-01-01

    Discusses the Gestalt therapy group process and its roots in theory and therapeutic orientation. Indicates that the process itself, particularly the role of the therapist, is a key factor in the intensity and power of the group experience for the participants. (Author)

  12. Group Contribution Based Process Flowsheet Synthesis, Design and Modelling

    DEFF Research Database (Denmark)

    d'Anterroches, Loïc; Gani, Rafiqul

    2004-01-01

    This paper presents a process-group-contribution Method to model. simulate and synthesize a flowsheet. The process-group based representation of a flowsheet together with a process "property" model are presented. The process-group based synthesis method is developed on the basis of the computer...... aided molecular design methods and gives the ability to screen numerous process alternatives without the need to use the rigorous process simulation models. The process "property" model calculates the design targets for the generated flowsheet alternatives while a reverse modelling method (also...... developed) determines the design variables matching the target. A simple illustrative example highlighting the main features of the methodology is also presented....

  13. Membrane processes in nuclear technologies

    International Nuclear Information System (INIS)

    Zakrzewska-Trznadel, G.

    2006-01-01

    The treatment of radioactive wastes is necessary taking into account the potential hazard of radioactive substances to human health and surrounding environment. The choice of appropriate technology depends on capital and operational costs, wastes amount and their characteristics, appointed targets of the process, e.g. the values of decontamination factors and volume reduction coefficients. The conventional technologies applied for radioactive waste processing, such as precipitation coupled with sedimentation, ion exchange and evaporation have many drawbacks. These include high energy consumption and formation of secondary wastes, e.g. the sludge from sediment tanks, spent ion exchange adsorbents and regeneration solutions. There are also many limitations of such processes, i.e. foaming and drop entrainment in evaporators, loses of solvents and production of secondary wastes in solvent extraction or bed clogging in ion exchange columns. Membrane processes as the newest achievement of the process engineering can successfully supersede many non-effective, out-of-date methods. But in some instances they can also complement these methods whilst improving the parameters of effluents and purification economy. This monograph presents own research data on the application of recent achievements in the area of membrane processes for solving selected problems in nuclear technology. Relatively big space was devoted to the use of membrane processing of low and intermediate radioactive liquid wastes because of numerous applications of these processes in nuclear centres over the world and also because of the interests of the author that was reflected by her recent research projects and activity. This work presents a review on the membrane methods recently introduced into the nuclear technology against the background of the other, commonly applied separation techniques, with indications of the possibilities and prospects for their further developments. Particular attention was paid

  14. Supercritical fluid technologies for ceramic-processing applications

    International Nuclear Information System (INIS)

    Matson, D.W.; Smith, R.D.

    1989-01-01

    This paper reports on the applications of supercritical fluid technologies for ceramic processing. The physical and chemical properties of these densified gases are summarized and related to their use as solvents and processing media. Several areas are identified in which specific ceramic processes benefit from the unique properties of supercritical fluids. The rapid expansion of supercritical fluid solutions provides a technique for producing fine uniform powders and thin films of widely varying materials. Supercritical drying technologies allow the formation of highly porous aerogel products with potentially wide application. Hydrothermal processes leading to the formation of large single crystals and microcrystalline powders can also be extended into the supercritical regime of water. Additional applications and potential applications are identified in the areas of extraction of binders and other additives from ceramic compacts, densification of porous ceramics, the formation of powders in supercritical micro-emulsions, and in preceramic polymer processing

  15. Pyro processing technology at KAERI

    International Nuclear Information System (INIS)

    Lee, Hansoo; Kim, Eungho; Park, Seongwon

    2008-01-01

    KAERI has studied on the pyro processing as a spent fuel treatment method for more than decade. The process includes voloxidation, electroreduction, electrorefining with solid and liquid cathodes, and waste salt treatment. Each process has developed its own characteristics which are suitable for treating high mass flow. In the electroreduction process, a magnesia filter was used for integrated electrolytic reduction. More than 99% of reduction yield was achieved. Electrorefining process employs the continuous operation concept. Uranium deposits on the surface of graphite cathode and it is stripped off spontaneously to the bottom of the reactor, which allows continuous operation. Crystallization method was used for treating waste salt. Pure salt is recovered by Czochralski method or zone freezing method and subsequently recycled to the reactor. These advanced technologies ensure the operation of pyro processing in a larger scale

  16. Microwave waste processing technology overview

    Energy Technology Data Exchange (ETDEWEB)

    Petersen, R.D.

    1993-02-01

    Applications using microwave energy in the chemical processing industry have increased within the last ten years. Recently, interest in waste treatment applications process development, especially solidification, has grown. Microwave waste processing offers many advantages over conventional waste treatment technologies. These advantages include a high density, leach resistant, robust waste form, volume and toxicity reduction, favorable economics, in-container treatment, good public acceptance, isolated equipment, and instantaneous energy control. The results from the {open_quotes}cold{close_quotes} demonstration scale testing at the Rocky Flats nuclear weapons facility are described. Preliminary results for a transuranic (TRU) precipitation sludge indicate that volume reductions of over 80% are achievable over the current immobilization process. An economic evaluation performed demonstrated cost savings of $11.68 per pound compared to the immobilization process currently in use on wet sludge.

  17. Microwave waste processing technology overview

    International Nuclear Information System (INIS)

    Petersen, R.D.

    1993-02-01

    Applications using microwave energy in the chemical processing industry have increased within the last ten years. Recently, interest in waste treatment applications process development, especially solidification, has grown. Microwave waste processing offers many advantages over conventional waste treatment technologies. These advantages include a high density, leach resistant, robust waste form, volume and toxicity reduction, favorable economics, in-container treatment, good public acceptance, isolated equipment, and instantaneous energy control. The results from the open-quotes coldclose quotes demonstration scale testing at the Rocky Flats nuclear weapons facility are described. Preliminary results for a transuranic (TRU) precipitation sludge indicate that volume reductions of over 80% are achievable over the current immobilization process. An economic evaluation performed demonstrated cost savings of $11.68 per pound compared to the immobilization process currently in use on wet sludge

  18. Using information technology to support knowledge conversion processes

    Directory of Open Access Journals (Sweden)

    2001-01-01

    Full Text Available One of the main roles of Information Technology in Knowledge Management programs is to accelerate the speed of knowledge transfer and creation. The Knowledge Management tools intend to help the processes of collecting and organizing the knowledge of groups of individuals in order to make this knowledge available in a shared base. Due to the largeness of the concept of knowledge, the software market for Knowledge Management seems to be quite confusing. Technology vendors are developing different implementations of the Knowledge Management concepts in their software products. Because of the variety and quantity of Knowledge Management tools available on the market, a typology may be a valuable aid to organizations that are looking for answers to specific needs. The objective of this article is to present guidelines that help to design such a typology. Knowledge Management solutions such as intranet systems, Electronic Document Management (EDM, groupware, workflow, artificial intelligence-based systems, Business Intelligence (BI, knowledge map systems, innovation support, competitive intelligence tools and knowledge portals are discussed in terms of their potential contributions to the processes of creating, registering and sharing knowledge. A number of Knowledge Management tools (Lotus Notes, Microsoft Exchange, Business Objects, Aris Toolset, File Net, Gingo, Vigipro, Sopheon have been checked. The potential of each category of solutions to support the transfer of tacit and/or explicit knowledge and to facilitate the knowledge conversion spiral in the sense of Nonaka and Takeuchi (1995 is discussed.

  19. 75 FR 71464 - Metlife Technology, Operations, and Information Technology Groups Including On-Site Leased...

    Science.gov (United States)

    2010-11-23

    ... DEPARTMENT OF LABOR Employment and Training Administration [TA-W-73,210; TA-W-73,210A] Metlife... negative determination regarding the eligibility of workers and former workers of MetLife, Technology... revised certification, and all workers in the group threatened with total or partial separation from...

  20. Business process modeling for processing classified documents using RFID technology

    Directory of Open Access Journals (Sweden)

    Koszela Jarosław

    2016-01-01

    Full Text Available The article outlines the application of the processing approach to the functional description of the designed IT system supporting the operations of the secret office, which processes classified documents. The article describes the application of the method of incremental modeling of business processes according to the BPMN model to the description of the processes currently implemented (“as is” in a manual manner and target processes (“to be”, using the RFID technology for the purpose of their automation. Additionally, the examples of applying the method of structural and dynamic analysis of the processes (process simulation to verify their correctness and efficiency were presented. The extension of the process analysis method is a possibility of applying the warehouse of processes and process mining methods.

  1. New media technologies and mass media reform processes

    Directory of Open Access Journals (Sweden)

    Tomić Boban

    2017-01-01

    Full Text Available This paper reviews and assesses the chances for survival and development of the media in Serbia and ib the region, from the perspective of new information and communication technologies (ICT applied in media business. In the paper theoretical method is used and harmonized with the needs of describing the problems and the observed processes and phenomena, as well as empirical method which is used for collecting and interpreting concrete facts and data on the observed processes and phenomena. The starting point lies in the fact that modern media is definitely, more and more, dependent on new technologies. A part of the new technologies is used in the process of collecting, selecting and editing media content, while the other part of the technology is used in the process of dissemination and propulsion of media content to the mass audience, and also in the feedback function as well. The technology revolution, which we describe, started with mp3, jpg and avi files, and continues with internet broadcasting, social networks, cloud technologies and new digital platforms which are used by today's media for distribution of media content. The particularity of the media in the region lies in the fact that they operating in the societes with delay of political and economic transition, so all the productive resources of society, due to this backlog, are slower adapted to the new conditions of business in the digital era. The consequences that have arisen from such dynamics of development make many media in Serbia and the region quite dysfunctional, especially those who were exposed during this transition to long-standing legal, economic and program crises. That is why most of the existing media in Serbia, especially small ones, are unprepared for modernization and they are not ready for re-modeling in accordance with the new technological circumstances.

  2. Radiation processing technology in the 21st century

    International Nuclear Information System (INIS)

    Miyuki Hagiwara

    1997-01-01

    The address discusses the following issue - towards the 21st century, we are required more and more to create innovative technologies to solve problems about environment, energy, natural resources, materials, health care, food and others which are the great concern to human beings. For the radiation processing technology to survive, it will be required to provide answers to those problems. The use of radiation of polymer modification will remain as an important field of the radiation application. Some other promising polymer processing can be cited as those which will grow in near future; for environment technology - polymeric fibers grafted with ion exchange residues to remove toxic metals for cleaning industrial waste water; For health care technology - crosslinked polyvinylalcohol hydrogel for wound dressing (irradiation of hydrogel); For high performance materials technology - less toxic crosslinked natural rubber latex (irradiation of emulsion), abrasion resistant crosslinked PTFE (irradiation at high temperature)

  3. Examining Educational Climate Change Technology: How Group Inquiry Work with Realistic Scientific Technology Alters Classroom Learning

    Science.gov (United States)

    Bush, Drew; Sieber, Renee; Seiler, Gale; Chandler, Mark

    2018-01-01

    This study with 79 students in Montreal, Quebec, compared the educational use of a National Aeronautics and Space Administration (NASA) global climate model (GCM) to climate education technologies developed for classroom use that included simpler interfaces and processes. The goal was to show how differing climate education technologies succeed…

  4. Technology Estimating 2: A Process to Determine the Cost and Schedule of Space Technology Research and Development

    Science.gov (United States)

    Cole, Stuart K.; Wallace, Jon; Schaffer, Mark; May, M. Scott; Greenberg, Marc W.

    2014-01-01

    As a leader in space technology research and development, NASA is continuing in the development of the Technology Estimating process, initiated in 2012, for estimating the cost and schedule of low maturity technology research and development, where the Technology Readiness Level is less than TRL 6. NASA' s Technology Roadmap areas consist of 14 technology areas. The focus of this continuing Technology Estimating effort included four Technology Areas (TA): TA3 Space Power and Energy Storage, TA4 Robotics, TA8 Instruments, and TA12 Materials, to confine the research to the most abundant data pool. This research report continues the development of technology estimating efforts completed during 2013-2014, and addresses the refinement of parameters selected and recommended for use in the estimating process, where the parameters developed are applicable to Cost Estimating Relationships (CERs) used in the parametric cost estimating analysis. This research addresses the architecture for administration of the Technology Cost and Scheduling Estimating tool, the parameters suggested for computer software adjunct to any technology area, and the identification of gaps in the Technology Estimating process.

  5. Idaho National Engineering Laboratory Waste Area Groups 1-7 and 10 Technology Logic Diagram

    International Nuclear Information System (INIS)

    O'Brien, M.C.; Meservey, R.H.; Little, M.; Ferguson, J.S.; Gilmore, M.C.

    1993-09-01

    The Idaho National Engineering Laboratory (INEL) Technology Logic Diagram (TLD) was developed to provide a decision support tool that relates Environmental Restoration (ER) and Waste Management (WM) problems at the INEL to potential technologies that can remediate these problems. The TLD identifies the research, development, demonstration, testing, and evaluation needed to develop these technologies to a state that allows technology transfer and application to an environmental restoration need. It is essential that follow-on engineering and system studies be conducted to build on the output of this project. These studies will begin by selecting the most promising technologies identified in this TLD and finding an optimum mix of technologies that will provide a socially acceptable balance between cost and risk to meet the site windows of opportunity. The TLD consists of three separate volumes: Volume I includes the purpose and scope of the TLD, a brief history of the INEL Waste Area Groups, and environmental problems they represent. A description of the TLD, definitions of terms, a description of the technology evaluation process, and a summary of each subelement, is presented. Volume II (this volume) describes the overall layout and development of the TLD in logic diagram format. This section addresses the environmental restoration of contaminated INEL sites. Specific INEL problem areas/contaminants are identified along with technology solutions, the status of the technologies, precise science and technology needs, and implementation requirements. Volume III provides the Technology Evaluation Data Sheets (TEDS) for Environmental Restoration and Waste Management (EM) activities that are referenced by a TEDS codenumber in Volume II. Each of these sheets represents a single logic trace across the TLD. These sheets contain more detail than provided for technologies in Volume II

  6. A design perspective on aligning process-centric and technology-centric approaches

    DEFF Research Database (Denmark)

    Siurdyban, Artur Henryk; Svejvig, Per; Møller, Charles

    2012-01-01

    Enterprise systems management (ESM) and business process management (BPM), although highly correlated, have evolved as alternative approaches to operational transformation. As a result, companies struggle to find the right balance when prioritizing technology and processes as change drivers....... The purpose of this paper is to propose a direction towards aligning the process-centric and technology-centric approaches. Using the case study method, we gain insight into two implementation projects: one of an information technology (IT) system and one of a process. We compare them using design thinking...... and strategic alignment theories. Based on the discussion, we assess the shortcomings of the process-centric and technology-centric approaches and argue that a conjoint design approach is required to achieve alignment between processes and technology. From a theoretical stance, this paper offers design-informed...

  7. TEXACO GASIFICATION PROCESS - INNOVATIVE TECHNOLOGY EVALUATION REPORT

    Science.gov (United States)

    This report summarizes the evaluation of the Texaco Gasification Process (TGP) conducted under the U.S. Environmental Protection Agency (EPA) Superfund Innovative Technology Evaluation (SITE) Program. The Texaco Gasification Process was developed by Texaco Inc. The TGP is a comm...

  8. The development of application technology for image processing in nuclear facilities

    International Nuclear Information System (INIS)

    Lee, Jong Min; Lee, Yong Bum; Kim, Woog Ki; Sohn, Surg Won; Kim, Seung Ho; Hwang, Suk Yeoung; Kim, Byung Soo

    1991-01-01

    The object of this project is to develop application technology of image processing in nuclear facilities where image signal are used for reliability and safety enhancement of operation, radiation exposure reduce of operator, and automation of operation processing. We has studied such application technology for image processing in nuclear facilities as non-tactile measurement, remote and automatic inspection, remote control, and enhanced analysis of visual information. On these bases, automation system and real-time image processing system are developed. Nuclear power consists in over 50% share of electic power supply of our country nowdays. So, it is required of technological support for top-notch technology in nuclear industry and its related fields. Especially, it is indispensable for image processing technology to enhance the reliabilty and safety of operation, to automate the process in a place like a nuclear power plant and radioactive envionment. It is important that image processing technology is linked to a nuclear engineering, and enhance the reliability abd safety of nuclear operation, as well as decrease the dose rate. (Author)

  9. Particle processing technology

    Science.gov (United States)

    Sakka, Yoshio

    2014-02-01

    includes two papers on the fabrication of mechanically reliable nanocomposites by dispersing graphene into a ceramic matrix, and on supercapacitors with high energy densities in a Co(OH)2 system decorated with graphene and carbon nanotubes. As a novel preparation method of oxide films, the fabrication of alumina films with laminated structures by ac anodization is reviewed. Moreover a new type of nanosheet has been fabricated by the exfoliation of layered, ternary transition-metal carbide and nitride compounds, known as Mn + 1AXn phases (or MAX phases) where M is an early transition metal, such as Ti or Nb, A is an A group element, such as Si or Al, X is carbon and/or nitrogen and n = 1-3 [4]. Among the MAX phases, those containing Mo have been theoretically calculated by first-principles calculations to be a source for obtaining Mo2C nanosheets with potentially unique properties. As an example of improving bulk ceramic properties, texturing by using a high magnetic field [5] and sintering by the electric current activated/assisted sintering (ECAS) technology [6] have been demonstrated for ultra-high temperature ceramics with high-temperature strength. A project on the development of materials and particle processing for the field of environment and energy has been ongoing at the National Institute for Materials Science since April 2011. This project employs various core competence technologies for particle processing such as ion beam irradiation for nanoparticle fabrication [7], fullerene nanomaterial processing using liquid-liquid interface precipitation [8], a gas reduction nitridation process to obtain Si3N4-based phosphor materials [9], advanced phosphors via novel processing [10, 11], ultra-high pressure technology for processing and in situ analysis [12, 13], colloidal processing in a high magnetic field to obtain laminated, textured ceramics [1, 3, 5], the ECAS process for nanostructuring ceramics [6] and so forth. Here, I would like to introduce some research

  10. The Decision-Making Process of a Small Task Group.

    Science.gov (United States)

    Roderick, Joan C.

    1985-01-01

    This article focuses on the following areas of group process: the nature of the task group, the steps taken to reach a decision, and the ways in which a leader can effectively manage the inevitable conflict that emerges within groups as the problem-solving process progresses. (CT)

  11. IMIA Working Group 15 : Technology assessment and quality development in health informatics

    NARCIS (Netherlands)

    Gennip, E.M.S.J. van

    1999-01-01

    The working group on technology assessment and quality development in health informatics was established as a follow-up to the recommendations made at the IMIA-ISTAHC working conference in 1990. The working group was approved by the IMIA General Assembly at Kyoto, September, 1993. The working group

  12. Idaho National Engineering Laboratory Waste Area Groups 1-7 and 10 Technology Logic Diagram

    International Nuclear Information System (INIS)

    O'Brien, M.C.; Meservey, R.H.; Little, M.; Ferguson, J.S.; Gilmore, M.C.

    1993-09-01

    The Idaho National Engineering Laboratory (INEL) Technology Logic Diagram (TLD) was developed to provide a decision support tool that relates Environmental Restoration (ER) and Waste Management (WM) problems at the INEL to potential technologies that can remediate these problems. The TLD identifies the research, development, demonstration, testing, and evaluation needed to develop these technologies to a state that allows technology transfer and application to an environmental restoration need. It is essential that follow-on engineering and system studies be conducted to build on the output of this project. These studies will begin by selecting the most promising technologies identified in this TLD and finding an optimum mix of technologies that will provide a socially acceptable balance between cost and risk to meet the site windows of opportunity. The TLD consists of three separate volumes: Volume I includes the purpose and scope of the TLD, a brief history of the INEL Waste Area Groups, and environmental problems they represent. A description of the TLD, definitions of terms, a description of the technology evaluation process, and a summary of each subelement, is presented. Volume II describes the overall layout and development of the TLD in logic diagram format. This section addresses the environmental restoration of contaminated INEL sites. Volume III (this volume) provides the Technology Evaluation Data Sheets (TEDS) for Environmental Restoration and Waste Management (EM) activities that are reference by a TEDS code number in Volume II. Each of these sheets represents a single logic trace across the TLD. These sheets contain more detail than provided for technologies in Volume II. Data sheets are arranged alphanumerically by the TEDS code number in the upper right corner of each sheet

  13. The process system analysis for advanced spent fuel management technology (I)

    International Nuclear Information System (INIS)

    Lee, H. H.; Lee, J. R.; Kang, D. S.; Seo, C. S.; Shin, Y. J.; Park, S. W.

    1997-12-01

    Various pyrochemical processes were evaluated, and viable options were selected in consideration of the proliferation safety, technological feasibility and compatibility to the domestic nuclear power system. Detailed technical analysis were followed on the selected options such as unit process flowsheet including physico-chemical characteristics of the process systems, preliminary concept development, process design criteria and materials for equipment. Supplementary analysis were also carried out on the support technologies including sampling and transport technologies of molten salt, design criteria and equipment for glove box systems, and remote operation technologies. (author). 40 refs., 49 tabs., 37 figs

  14. 40 CFR 63.1322 - Batch process vents-reference control technology.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 11 2010-07-01 2010-07-01 true Batch process vents-reference control technology. 63.1322 Section 63.1322 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) AIR... Batch process vents—reference control technology. (a) Batch process vents. The owner or operator of a...

  15. 49 CFR 232.503 - Process to introduce new brake system technology.

    Science.gov (United States)

    2010-10-01

    ... 49 Transportation 4 2010-10-01 2010-10-01 false Process to introduce new brake system technology... Technology § 232.503 Process to introduce new brake system technology. (a) Pursuant to the procedures... brake system technology, prior to implementing the plan. (b) Each railroad shall complete a pre-revenue...

  16. Information technology, knowledge processes, and innovation success

    NARCIS (Netherlands)

    Song, X.M.; Zang, F.; Bij, van der J.D.; Weggeman, M.C.D.P.

    2001-01-01

    Despite the obvious linkage between information technologies (IT) and knowledge processes and the apparent strategic importance of both, little research has done to explicitly examine how, if at all, IT and knowledge processes affect firm outcomes. The purpose of this study is to bridge this

  17. Optimization of processing technology of Rhizoma Pinelliae ...

    African Journals Online (AJOL)

    Methods: Orthogonal design method was applied to analyze the effects of factors such as licorice concentration volume, soaking time and processing temperature on processing technology of Rhizoma Pinelliae Praeparatum; MTT assay and flow cytometry were used to determine the inhibitory effect of Rhizoma Pinelliae ...

  18. Decision Gate Process for Assessment of a NASA Technology Development Portfolio

    Science.gov (United States)

    Kohli, Rajiv; Fishman, Julianna L.; Hyatt, Mark J.

    2012-01-01

    The NASA Dust Management Project (DMP) was established to provide technologies (to Technology Readiness Level (TRL) 6) required to address adverse effects of lunar dust to humans and to exploration systems and equipment, to reduce life cycle cost and risk, and to increase the probability of sustainable and successful lunar missions. The technology portfolio of DMP consisted of different categories of technologies whose final product was either a technology solution in itself, or one that contributes toward a dust mitigation strategy for a particular application. A Decision Gate Process (DGP) was developed to assess and validate the achievement and priority of the dust mitigation technologies as the technologies progress through the development cycle. The DGP was part of continuous technology assessment and was a critical element of DMP risk management. At the core of the process were technology-specific criteria developed to measure the success of each DMP technology in attaining the technology readiness levels assigned to each decision gate. The DGP accounts for both categories of technologies and qualifies the technology progression from technology development tasks to application areas. The process provided opportunities to validate performance, as well as to identify non-performance in time to adjust resources and direction. This paper describes the overall philosophy of the DGP and the methodology for implementation for DMP, and describes the method for defining the technology evaluation criteria. The process is illustrated by example of an application to a specific DMP technology.

  19. Process analytical technology (PAT) for biopharmaceuticals

    DEFF Research Database (Denmark)

    Glassey, Jarka; Gernaey, Krist; Clemens, Christoph

    2011-01-01

    Process analytical technology (PAT), the regulatory initiative for building in quality to pharmaceutical manufacturing, has a great potential for improving biopharmaceutical production. The recommended analytical tools for building in quality, multivariate data analysis, mechanistic modeling, novel...

  20. [Automation and organization of technological process of urinalysis].

    Science.gov (United States)

    Kolenkin, S M; Kishkun, A A; Kol'chenko, O L

    2000-12-01

    Results of introduction into practice of a working model of industrial technology of laboratory studies and KONE Specific Supra and Miditron M devices are shown as exemplified by clinical analysis of the urine. This technology helps standardize all stages and operations, improves the efficiency of quality control of laboratory studies, rationally organizes the work at all stages of the process, creates a system for permanent improvement of the efficiency of investigations at the preanalytical, analytical, and postanalytical stages of technological process of laboratory studies. As a result of introduction of this technology into laboratory practice, violations of quality criteria of clinical urinalysis decreased from 15 to 8% at the preanalytical stage and from 6 to 3% at the analytical stage. Automation of the analysis decreased the need in reagents 3-fold and improved the productivity at the analytical stage 4-fold.

  1. Development of the four group partitioning process at JAERI

    International Nuclear Information System (INIS)

    Kubota, Masumitsu; Morita, Yasuji; Yamaguchi, Isoo; Yamagishi, Isao; Fujiwara, T.; Watanabe, Masayuki; Mizoguchi, Kenichi; Tatsugae, Ryozo

    1999-01-01

    At JAERI, development of a partitioning method started about 24 years ago. From 1973 to 1984, a partitioning process was developed for separating elements in HLLW into 3 groups; TRU, Sr-Cs and others. The partitioning process consisted of three steps; solvent extraction of U and Pu with TBP, solvent extraction of Am and Cm with DIDPA, and adsorption of Sr and Cs with inorganic ion exchangers. The process was demonstrated with real HLLW. Since 1985, a four group partitioning process has been developed, in which a step for separating the Tc-PGM group was developed in addition to the three group separation. Effective methods for separating TRU, especially Np, and Tc have been developed. In this paper, the flow sheet of the four group partitioning and the results of tests with simulated and real HLLW in NUCEF hot-cell are shown. (J.P.N.)

  2. FOOD PROCESSING TECHNOLOGY AS A MEDIATOR OF FUNCTIONALITY. STRUCTURE-PROPERTY-PROCESS RELATIONSHIPS

    Directory of Open Access Journals (Sweden)

    Ester Betoret

    2015-02-01

    Full Text Available During the last years, the food industry has been facing technical and economic changes both in society and in the food processing practices, paying high attention to food products that meet the consumers´ demands. In this direction, the study areas in food process and products have evolved mainly from safety to other topics such as quality, environment or health. The improvement of the food products is now directed towards ensuring nutritional and specific functional benefits. Regarding the processes evolution, they are directed to ensure the quality and safety of environmentally friendly food products produced optimizing the use of resources, minimally affecting or even enhancing their nutritional and beneficial characteristics. The product structure both in its raw form and after processing plays an important role maintaining, enhancing and delivering the bioactive compounds in the appropriate target within the organism. The aim of this review is to make an overview on some synergistic technologies that can constitute a technological process to develop functional foods, enhancing the technological and/or nutritional functionality of the food products in which they are applied. More concretely, the effect of homogenization, vacuum impregnation and drying operations on bioactive compounds have been reviewed, focusing on the structure changes produced and its relationship on the product functionality, as well as on the parameters and the strategies used to quantify and increase the achieved functionality.

  3. Using technology-enhanced, cooperative, group-project learning for student comprehension and academic performance

    Science.gov (United States)

    Tlhoaele, Malefyane; Suhre, Cor; Hofman, Adriaan

    2016-05-01

    Cooperative learning may improve students' motivation, understanding of course concepts, and academic performance. This study therefore enhanced a cooperative, group-project learning technique with technology resources to determine whether doing so improved students' deep learning and performance. A sample of 118 engineering students, randomly divided into two groups, participated in this study and provided data through questionnaires issued before and after the experiment. The results, obtained through analyses of variance and structural equation modelling, reveal that technology-enhanced, cooperative, group-project learning improves students' comprehension and academic performance.

  4. Advanced spent fuel processing technologies for the United States GNEP programme

    International Nuclear Information System (INIS)

    Laidler, J.J.

    2007-01-01

    Spent fuel processing technologies for future advanced nuclear fuel cycles are being developed under the scope of the Global Nuclear Energy Partnership (GNEP). This effort seeks to make available for future deployment a fissile material recycling system that does not involve the separation of pure plutonium from spent fuel. In the nuclear system proposed by the United States under the GNEP initiative, light water reactor spent fuel is treated by means of a solvent extraction process that involves a group extraction of transuranic elements. The recovered transuranics are recycled as fuel material for advanced burner reactors, which can lead in the long term to fast reactors with conversion ratios greater than unity, helping to assure the sustainability of nuclear power systems. Both aqueous and pyrochemical methods are being considered for fast reactor spent fuel processing in the current US development programme. (author)

  5. [Effect of fluoride concentration on the corrosion behavior of cobalt-chromium alloy fabricated by two different technology processes].

    Science.gov (United States)

    Qiuxia, Yang; Ying, Yang; Han, Xu; Di, Wu; Ke, Guo

    2016-02-01

    This study aims to determine the effect of fluoride concentration on the corrosion behavior of cobalt-chromium alloy fabricated by two different technology processes in a simulated oral environment. A total of 15 specimens were employed with selective laser melting (SLM) and another 15 for traditional casting (Cast) in cobalt-chromium alloy powders and blocks with the same material composition. The corrosion behavior of the specimens was studied by potentiodynamic polarization test under different oral environments with varying solubilities of fluorine (0, 0.05%, and 0.20% for each) in acid artificial saliva (pH = 5.0). The specimens were soaked in fluorine for 24 h, and the surface microstructure was observed under a field emission scanning electron microscope after immersing the specimens in the test solution at constant temperature. The corrosion potential (Ecorr) value of the cobalt-chromium alloy cast decreased with increasing fluoride concentration in acidic artificial saliva. The Ecorr, Icorr, and Rp values of the cobalt-chromium alloy fabricated by two different technology processes changed significantly when the fluoride concentration was 0.20% (P technology processes exhibited a statistically significant difference. The Icorr value of the cobalt-chromium alloy cast was higher than that in the SLM group cobalt-chromium alloy when the fluoride concentration was 0.20% (P technology processes. The corrosion resistance of the cobalt-chromium alloy cast was worse than that of the SLM group cobalt-chromium alloy when the fluoride concentration was 0.20%.

  6. Development of Food Preservation and Processing Technologies by Radiation Technology

    International Nuclear Information System (INIS)

    Byun, Myung Woo; Lee, Ju Won; Kim, Jae Hun

    2007-07-01

    To secure national food resources, development of energy-saving food processing and preservation technologies, establishment of method on improvement of national health and safety by development of alternative techniques of chemicals and foundation of the production of hygienic food and public health related products by irradiation technology were studied. Results at current stage are following: As the first cooperative venture business technically invested by National Atomic Research Development Project, institute/company's [technology-invested technology foundation No. 1] cooperative venture, Sun-BioTech Ltd., was founded and stated its business. This suggested new model for commercialization and industrialization of the research product by nation-found institute. From the notice of newly approved product list about irradiated food, radiation health related legal approval on 7 food items was achieved from the Ministry of health and wellfare, the Korea Food and Drug Administration, and this contributed the foundation of enlargement of practical use of irradiated food. As one of the foundation project for activation of radiation application technology for the sanitation and secure preservation of special food, such as military meal service, food service for patient, and food for sports, and instant food, such as ready-to-eat/ready-to-cook food, the proposal for radiation application to the major military commander at the Ministry of National Defence and the Joint Chiefs of Staff was accepted for the direction of military supply development in mid-termed plan for the development of war supply. Especially, through the preliminary research and the development of foundation technology for the development of the Korean style space food and functional space food, space Kimch with very long shelf life was finally developed. The development of new item/products for food and life science by combining RT/BT, the development of technology for the elimination/reduction of

  7. Development of Food Preservation and Processing Technologies by Radiation Technology

    Energy Technology Data Exchange (ETDEWEB)

    Byun, Myung Woo; Lee, Ju Won; Kim, Jae Hun [and others

    2007-07-15

    To secure national food resources, development of energy-saving food processing and preservation technologies, establishment of method on improvement of national health and safety by development of alternative techniques of chemicals and foundation of the production of hygienic food and public health related products by irradiation technology were studied. Results at current stage are following: As the first cooperative venture business technically invested by National Atomic Research Development Project, institute/company's [technology-invested technology foundation No. 1] cooperative venture, Sun-BioTech Ltd., was founded and stated its business. This suggested new model for commercialization and industrialization of the research product by nation-found institute. From the notice of newly approved product list about irradiated food, radiation health related legal approval on 7 food items was achieved from the Ministry of health and wellfare, the Korea Food and Drug Administration, and this contributed the foundation of enlargement of practical use of irradiated food. As one of the foundation project for activation of radiation application technology for the sanitation and secure preservation of special food, such as military meal service, food service for patient, and food for sports, and instant food, such as ready-to-eat/ready-to-cook food, the proposal for radiation application to the major military commander at the Ministry of National Defence and the Joint Chiefs of Staff was accepted for the direction of military supply development in mid-termed plan for the development of war supply. Especially, through the preliminary research and the development of foundation technology for the development of the Korean style space food and functional space food, space Kimch with very long shelf life was finally developed. The development of new item/products for food and life science by combining RT/BT, the development of technology for the elimination/reduction of

  8. Development of Food Preservation and Processing Technologies by Radiation Technology

    Energy Technology Data Exchange (ETDEWEB)

    Byun, Myung Woo; Lee, Ju Won; Kim, Jae Hun (and others)

    2007-07-15

    To secure national food resources, development of energy-saving food processing and preservation technologies, establishment of method on improvement of national health and safety by development of alternative techniques of chemicals and foundation of the production of hygienic food and public health related products by irradiation technology were studied. Results at current stage are following: As the first cooperative venture business technically invested by National Atomic Research Development Project, institute/company's [technology-invested technology foundation No. 1] cooperative venture, Sun-BioTech Ltd., was founded and stated its business. This suggested new model for commercialization and industrialization of the research product by nation-found institute. From the notice of newly approved product list about irradiated food, radiation health related legal approval on 7 food items was achieved from the Ministry of health and wellfare, the Korea Food and Drug Administration, and this contributed the foundation of enlargement of practical use of irradiated food. As one of the foundation project for activation of radiation application technology for the sanitation and secure preservation of special food, such as military meal service, food service for patient, and food for sports, and instant food, such as ready-to-eat/ready-to-cook food, the proposal for radiation application to the major military commander at the Ministry of National Defence and the Joint Chiefs of Staff was accepted for the direction of military supply development in mid-termed plan for the development of war supply. Especially, through the preliminary research and the development of foundation technology for the development of the Korean style space food and functional space food, space Kimch with very long shelf life was finally developed. The development of new item/products for food and life science by combining RT/BT, the development of technology for the elimination/reduction of

  9. Waste processing building with incineration technology

    Science.gov (United States)

    Wasilah, Wasilah; Zaldi Suradin, Muh.

    2017-12-01

    In Indonesia, waste problem is one of major problem of the society in the city as part of their life dynamics. Based on Regional Medium Term Development Plan of South Sulawesi Province in 2013-2018, total volume and waste production from Makassar City, Maros, Gowa, and Takalar Regency estimates the garbage dump level 9,076.949 m3/person/day. Additionally, aim of this design is to present a recommendation on waste processing facility design that would accommodate waste processing process activity by incineration technology and supported by supporting activity such as place of education and research on waste, and the administration activity on waste processing facility. Implementation of incineration technology would reduce waste volume up to 90% followed by relative negative impact possibility. The result planning is in form of landscape layout that inspired from the observation analysis of satellite image line pattern of planning site and then created as a building site pattern. Consideration of building orientation conducted by wind analysis process and sun path by auto desk project Vasari software. The footprint designed by separate circulation system between waste management facility interest and the social visiting activity in order to minimize the croos and thus bring convenient to the building user. Building mass designed by inseparable connection series system, from the main building that located in the Northward, then connected to a centre visitor area lengthways, and walked to the waste processing area into the residue area in the Southward area.

  10. Organic Process Technology Valuation: Cyclohexanone Oxime Syntheses

    Science.gov (United States)

    Cannon, Kevin C.; Breen, Maureen P.

    2010-01-01

    Three contemporary processes for cyclohexanone oxime synthesis are evaluated in a case study. The case study introduces organic chemistry students to basic cost accounting to determine the most economical technology. Technical and financial aspects of these processes are evaluated with problem-based exercises that may be completed by students…

  11. GROUP TECHNOLOGY IN CONTEXT OF THE PRODUCT CLASSIFICATION

    Directory of Open Access Journals (Sweden)

    Lenka Debnárová

    2014-03-01

    Full Text Available In the intensive competitive environment of the global economy, the survival of even the most well-established the world manufacturers depends on the ability to improve continuously quality while reducing costs. The resulting higher productivity is the key to market leadership and gaining sustainable competitive advantage. This paper outlines a group technology and classification of products which improve productivity, quality, inventory management of a company and reduce production times.

  12. Technology Estimating: A Process to Determine the Cost and Schedule of Space Technology Research and Development

    Science.gov (United States)

    Cole, Stuart K.; Reeves, John D.; Williams-Byrd, Julie A.; Greenberg, Marc; Comstock, Doug; Olds, John R.; Wallace, Jon; DePasquale, Dominic; Schaffer, Mark

    2013-01-01

    NASA is investing in new technologies that include 14 primary technology roadmap areas, and aeronautics. Understanding the cost for research and development of these technologies and the time it takes to increase the maturity of the technology is important to the support of the ongoing and future NASA missions. Overall, technology estimating may help provide guidance to technology investment strategies to help improve evaluation of technology affordability, and aid in decision support. The research provides a summary of the framework development of a Technology Estimating process where four technology roadmap areas were selected to be studied. The framework includes definition of terms, discussion for narrowing the focus from 14 NASA Technology Roadmap areas to four, and further refinement to include technologies, TRL range of 2 to 6. Included in this paper is a discussion to address the evaluation of 20 unique technology parameters that were initially identified, evaluated and then subsequently reduced for use in characterizing these technologies. A discussion of data acquisition effort and criteria established for data quality are provided. The findings obtained during the research included gaps identified, and a description of a spreadsheet-based estimating tool initiated as a part of the Technology Estimating process.

  13. Motivated information processing and group decision-making : Effects of process accountability on information processing and decision quality

    NARCIS (Netherlands)

    Scholten, Lotte; van Knippenberg, Daan; Nijstad, Bernard A.; De Dreu, Carsten K. W.

    Integrating dual-process models [Chaiken, S., & Trope, Y. (Eds.). (1999). Dual-process theories in social psychology. NewYork: Guilford Press] with work on information sharing and group decision-making [Stasser, G., & Titus, W. (1985). Pooling of unshared information in group decision making: biased

  14. Technology for the product and process data base

    Science.gov (United States)

    Barnes, R. D.

    1984-01-01

    The computerized product and process data base is increasingly recognized to be the cornerstone component of an overall system aimed at the integrated automation of the industrial processes of a given company or enterprise. The technology needed to support these more effective computer integrated design and manufacturing methods, especially the concept of 3-D computer-sensible product definitions rather than engineering drawings, is not fully available and rationalized. Progress is being made, however, in bridging this technology gap with concentration on the modeling of sophisticated information and data structures, high-performance interactive user interfaces and comprehensive tools for managing the resulting computerized product definition and process data base.

  15. Usability of clinical decision support system as a facilitator for learning the assistive technology adaptation process.

    Science.gov (United States)

    Danial-Saad, Alexandra; Kuflik, Tsvi; Weiss, Patrice L Tamar; Schreuer, Naomi

    2016-01-01

    The aim of this study was to evaluate the usability of Ontology Supported Computerized Assistive Technology Recommender (OSCAR), a Clinical Decision Support System (CDSS) for the assistive technology adaptation process, its impact on learning the matching process, and to determine the relationship between its usability and learnability. Two groups of expert and novice clinicians (total, n = 26) took part in this study. Each group filled out system usability scale (SUS) to evaluate OSCAR's usability. The novice group completed a learning questionnaire to assess OSCAR's effect on their ability to learn the matching process. Both groups rated OSCAR's usability as "very good", (M [SUS] = 80.7, SD = 11.6, median = 83.7) by the novices, and (M [SUS] = 81.2, SD = 6.8, median = 81.2) by the experts. The Mann-Whitney results indicated that no significant differences were found between the expert and novice groups in terms of OSCAR's usability. A significant positive correlation existed between the usability of OSCAR and the ability to learn the adaptation process (rs = 0.46, p = 0.04). Usability is an important factor in the acceptance of a system. The successful application of user-centered design principles during the development of OSCAR may serve as a case study that models the significant elements to be considered, theoretically and practically in developing other systems. Implications for Rehabilitation Creating a CDSS with a focus on its usability is an important factor for its acceptance by its users. Successful usability outcomes can impact the learning process of the subject matter in general, and the AT prescription process in particular. The successful application of User-Centered Design principles during the development of OSCAR may serve as a case study that models the significant elements to be considered, theoretically and practically. The study emphasizes the importance of close collaboration between the developers and

  16. FEATURES OF USING AUGMENTED REALITY TECHNOLOGY TO SUPPORT EDUCATIONAL PROCESSES

    Directory of Open Access Journals (Sweden)

    Yury A. Kravchenko

    2014-01-01

    Full Text Available The paper discusses the concept and technology of augmented reality, the rationale given the relevance and timeliness of its use to support educational processes. Paper is a survey and study of the possibility of using augmented reality technology in education. Architecture is proposed and constructed algorithms of the software system management QR-codes media objects. An overview of the features and uses of augmented reality technology to support educational processes is displayed, as an option of a new form of visual demonstration of complex objects, models and processes

  17. Applying the Precaution Adoption Process Model to the Acceptance of Mine Safety and Health Technologies.

    Science.gov (United States)

    Haas, Emily J

    2018-03-01

    Mineworkers are continually introduced to protective technologies on the job. Yet, their perceptions toward the technologies are often not addressed until they are actively trying to use them, which may halt safe technology adoption and associated work practices. This study explored management and worker perspectives toward three technologies to forecast adoption and behavioral intention on the job. Interviews and focus groups were conducted with 21 mineworkers and 19 mine managers to determine the adoption process stage algorithm for workers and managers, including perceived barriers to using new safety and health technologies. Differences between workers and managers were revealed in terms of readiness, perceptions, and initial trust in using technologies. Workers, whether they had or had not used a particular technology, still had negative perceptions toward its use in the initial introduction and integration at their mine site, indicating a lengthy time period needed for full adoption. The key finding from these results is that a carefully considered and extended introduction of technology for workers in Stage 3 (undecided to act) is most important to promote progression to Stage 5 (decided to act) and to avoid Stage 4 (decided not to act). In response, organizational management may need to account for workers' particular stage algorithm, using the Precaution Adoption Process Model, to understand how to tailor messages about protective technologies, administer skill-based trainings and interventions that raise awareness and knowledge, and ultimately encourage safe adoption of associated work practices.

  18. Image processing technology for nuclear facilities

    International Nuclear Information System (INIS)

    Lee, Jong Min; Lee, Yong Beom; Kim, Woong Ki; Park, Soon Young

    1993-05-01

    Digital image processing technique is being actively studied since microprocessors and semiconductor memory devices have been developed in 1960's. Now image processing board for personal computer as well as image processing system for workstation is developed and widely applied to medical science, military, remote inspection, and nuclear industry. Image processing technology which provides computer system with vision ability not only recognizes nonobvious information but processes large information and therefore this technique is applied to various fields like remote measurement, object recognition and decision in adverse environment, and analysis of X-ray penetration image in nuclear facilities. In this report, various applications of image processing to nuclear facilities are examined, and image processing techniques are also analysed with the view of proposing the ideas for future applications. (Author)

  19. Study of technical, environmental and economic assessment of the process of waste gasification by plasma torch of PlascoEnergy Group - Report

    International Nuclear Information System (INIS)

    Kunegel, Andre

    2009-10-01

    This study aims at assessing technical, environmental and economic performance of a technology developed by PlascoEnergy Group in its application to French household and similar wastes, at analysing PlascoEnergy project for their processing in a city of southern France, and at providing a global analysis of the appropriateness of plasma torch technologies to the gasification of these wastes, of other wastes to be defined, biomass and so on. After a presentation of the technology and a reference to a demonstrator project in Ottawa, the report presents the PlascoEnergy Company, the French installation and its differences with the demonstration project. Based on documents provided by PlascoEnergy, it reports an analysis of various critical points (waste preparation, gasification, waste introduction, waste movements in the oven, hot air recovery, gasification performance, syngas processing, engines, valorisation and removal of solid residues). Performance of the Ottawa plant are presented and commented. The use of the plasma torch technology in waste processing is described

  20. Inertial confinement physics and technology group progress report (1994-1995)

    International Nuclear Information System (INIS)

    Associazione EURATOM-ENEA sulla fusione, Frascati

    1998-05-01

    The technical activities performed during the period 1994-1995 in the framework of the Inertial Fusion Physics and Technology Group, are reported. The theoretical and numerical work, as well as experiments performed with the Frascati ABC facility are described [it

  1. Peace and power: a theory of emancipatory group process.

    Science.gov (United States)

    Chinn, Peggy L; Falk-Rafael, Adeline

    2015-01-01

    To present the theoretical basis for the group process known as "Peace and Power." A dialectic between two dominant forms of power-peace powers and power-over powers-forms the basis for a synthesis that yields an emancipatory group process characterized by praxis, empowerment, awareness, cooperation, and evolvement for individuals and groups. Critical analysis of prevailing competitive group dynamics and the ideals of cooperative group dynamics was conducted to project the potential for achieving group interactions that yield profound changes in the direction of justice, empowerment, and well-being for all. The theoretical framework of "Peace and Power" is consistent with characteristics of emancipatory integrity that are vital for social change. The processes of "Peace and Power" can be used to create peaceful, cooperative interactions among nurses, with other health professionals, with patients and families, and in communities. © 2014 Sigma Theta Tau International.

  2. METHODOLOGY EDUCATIONAL PROCESS ORGANIZATION OF FUTURE MAGISTRA TEACHER EDUCATION ON THE EXAMPLE OF THE COURSE «INFORMATION TECHNOLOGIES IN SCIENCE AND EDUCATION»

    OpenAIRE

    V. Shelud’ko

    2013-01-01

    The article provides a technique of training activities for future masters of using information technology as an example of discipline "Information technologies in science and education." Defined and characterized every stage of the educational process masters in the application of learning technologies and the use of certain technologies. The results verify the effectiveness of this technique and the analysis of questionnaires experimental groups.

  3. Friction Stir Welding Process: A Green Technology

    OpenAIRE

    Esther T. Akinlabi; Stephen A. Akinlabi

    2012-01-01

    Friction Stir Welding (FSW) is a solid state welding process invented and patented by The Welding Institute (TWI) in the United Kingdom in 1991 for butt and lap welding of metals and plastics. This paper highlights the benefits of friction stir welding process as an energy efficient and a green technology process in the field of welding. Compared to the other conventional welding processes, its benefits, typical applications and its use in joining similar and dissimilar materia...

  4. Future Prospects: Ionization Radiation Processing Technology. Chapter 12

    International Nuclear Information System (INIS)

    Rida Tajau

    2017-01-01

    This final chapter concluded that the ionizing radiation processing technology was potentially used to develop new and advanced products. The new advanced products which been discussed was HBPUA, printing ink, PSA, hydrogel, bioplastic, SWA, CNT, RVNRL and others. With this new innovative technology, it will develop the country's economy and increase the productivity of manufacturing industry, medical, science and technology and also strenghten the social science field.

  5. A Survey on Evaluation Factors for Business Process Management Technology

    NARCIS (Netherlands)

    Mutschler, B.B.; Reichert, M.U.

    2006-01-01

    Estimating the value of business process management (BPM) technology is a difficult task to accomplish. Computerized business processes have a strong impact on an organization, and BPM projects have a long-term cost amortization. To systematically analyze BPM technology from an economic-driven

  6. Support group processes: Perspectives from HIV-infected women in South Africa.

    Science.gov (United States)

    Mundell, J P; Visser, M J; Makin, J D; Forsyth, B W; Sikkema, K J

    2012-01-01

    This study examined the experiences and perceived benefits of support group participation among HIV-infected women in South Africa. From a qualitative analysis of responses, key psychological processes through which support groups are potentially beneficial were identified. These processes included: identification; modeling; acceptance; and empowerment. The participants' consequent life changes were explored in order to associate these processes with the positive outcomes of support group participation. Through understanding the relationship between the psychological processes within a support group setting and the potential benefits, and by targeting these processes in the development and implementation of future support group interventions, a framework is provided for achieving positive outcomes associated with support group participation.

  7. Application of information and communication technology in process reengineering

    Directory of Open Access Journals (Sweden)

    Đurović Aleksandar M.

    2014-01-01

    Full Text Available This paper examines the role of information communication technologies in reengineering processes. General analysis of a process will show that information communication technologies improve their efficiency. Reengineering model based on the BPMN 2.0 standard will be applied to the process of seeking internship/job by students from Faculty of Transport and Traffic Engineering. In the paper, after defining the technical characteristics and required functionalities, web / mobile application is proposed, enabling better visibility of traffic engineers to companies seeking that education profile.

  8. Systems Factorial Technology provides new insights on global-local information processing in autism spectrum disorders.

    Science.gov (United States)

    Johnson, Shannon A; Blaha, Leslie M; Houpt, Joseph W; Townsend, James T

    2010-02-01

    Previous studies of global-local processing in autism spectrum disorders (ASDs) have indicated mixed findings, with some evidence of a local processing bias, or preference for detail-level information, and other results suggesting typical global advantage, or preference for the whole or gestalt. Findings resulting from this paradigm have been used to argue for or against a detail focused processing bias in ASDs, and thus have important theoretical implications. We applied Systems Factorial Technology, and the associated Double Factorial Paradigm (both defined in the text), to examine information processing characteristics during a divided attention global-local task in high-functioning individuals with an ASD and typically developing controls. Group data revealed global advantage for both groups, contrary to some current theories of ASDs. Information processing models applied to each participant revealed that task performance, although showing no differences at the group level, was supported by different cognitive mechanisms in ASD participants compared to controls. All control participants demonstrated inhibitory parallel processing and the majority demonstrated a minimum-time stopping rule. In contrast, ASD participants showed exhaustive parallel processing with mild facilitatory interactions between global and local information. Thus our results indicate fundamental differences in the stopping rules and channel dependencies in individuals with an ASD.

  9. Radiation processing: a versatile technology for industry

    International Nuclear Information System (INIS)

    Cabalfin, E.G.

    1996-01-01

    Soon after the discovery of x-ray in 1895 and radioactivity in 1896, it was recognized that ionizing radiation can modify the chemical, physical and/or biological properties of materials. However, it was only in the late 50's, when large radiation sources become available, has this unique property of radiation found industrial applications in radiation processing. Today, radiation processing has been used by industry in such diverse applications, such as radiation sterilization/decontamination of medical products, pharmaceuticals, cosmetics and their raw materials; radiation cross-linking of wire and cable insulation; production of heat shrinkable materials and polymer foam; and radiation curing of coatings, adhesives and inks on a wide variety of substrates. In addition to being a clean environment-friendly technology, radiation processing can also be used for the conservation of the environment by such processes as radiation treatment of flue gases to remove SO 2 and NO x and disinfection of sewage sludge. Because of the many advantages offered by radiation processing, industry is showing strong interest in the technology as evidenced by the growing number of industrial radiation facilities in many countries. (author)

  10. Consumer perception of the use of high-pressure processing and pulsed electric field technologies in food production.

    Science.gov (United States)

    Nielsen, Henriette Boel; Sonne, Anne-Mette; Grunert, Klaus G; Banati, Diana; Pollák-Tóth, Annamária; Lakner, Zoltán; Olsen, Nina Veflen; Zontar, Tanja Pajk; Peterman, Marjana

    2009-02-01

    The success of new food processing technologies is highly dependent on consumers' acceptance. The purpose of this paper is to study consumers' perceptions of two new processing technologies and food products produced by means of these novel technologies. To accomplish this, a qualitative study on consumer attitudes towards high-pressure processing (HPP) and pulsed electric field (PEF) processing of food was carried out. In all 97 adults between 20 and 71 years of age participated in 12 focus groups conducted in Slovenia, Hungary, Serbia, Slovakia, Norway and Denmark using a common guideline. Participants were introduced to the HPP and PEF technologies and then to the effect of the two new technologies on two specific product categories: juice and baby food. The transcribed data was content analysed and the coded data was transformed into diagrams using UCINET 5 and NETDRAW. The results show that consumers perceived the main advantages of HPP and PEF products to be the products' naturalness, improved taste and their high nutritional value, whereas the main disadvantage was the lack of information about the PEF and HPP products. The results of the participants' evaluation of the PEF and HPP processes showed that environmental friendliness and the more natural products were seen as the main advantages, while they were concerned about body and health, the higher price of the products, the lack of information about the technologies and a general scepticism. The study also shows that North European participants were a bit more sceptical towards PEF and HPP products than the East European participants.

  11. INFORMATION AND COMMUNICATION TECHNOLOGIES AT THE MODERN STAGE OF EDUCATIONAL PROCESS

    Directory of Open Access Journals (Sweden)

    Ivan P. Osobov

    2014-05-01

    Full Text Available The paper focuses attention on the analysis of the role and place of the concept of "information and communication technologies" among the concepts related to technology training. In the article it is analyzed the aspects of nascence of the concept "Information and communication technologies", modern approaches to its identification. The author shows potential and possibility to use ICT at the modern stage of educational process. The paper analyzes the arsenal of modern information and communication technologies, their importance in the development of the potential of students. There are considered conditions of effective functioning of information and communication technologies in educational process. The author discusses possible directions of implementation of informatization in the educational process.

  12. Integration thermal processes through Pinch technology

    International Nuclear Information System (INIS)

    Rios H, Carlos Mario; Grisales Rincon, Rogelio; Cardona, Carlos Ariel

    2004-01-01

    This paper presents the techniques of heat integration used for process optimization, their fortresses and weaknesses during the implementation in several specific process are also discussed. It is focused to the pinch technology, explaining algorithms for method applications in the industry. The paper provides the concepts and models involved in different types of commercial software applying this method for energy cost reduction, both in design of new plants and improve of old ones. As complement to benefits of the energy cost reduction it is analysed other favorable aspects of process integration, as the emissions waste reduction and the combined heat end power systems

  13. Group processing in an undergraduate biology course for preservice teachers: Experiences and attitudes

    Science.gov (United States)

    Schellenberger, Lauren Brownback

    Group processing is a key principle of cooperative learning in which small groups discuss their strengths and weaknesses and set group goals or norms. However, group processing has not been well-studied at the post-secondary level or from a qualitative or mixed methods perspective. This mixed methods study uses a phenomenological framework to examine the experience of group processing for students in an undergraduate biology course for preservice teachers. The effect of group processing on students' attitudes toward future group work and group processing is also examined. Additionally, this research investigated preservice teachers' plans for incorporating group processing into future lessons. Students primarily experienced group processing as a time to reflect on past performance. Also, students experienced group processing as a time to increase communication among group members and become motivated for future group assignments. Three factors directly influenced students' experiences with group processing: (1) previous experience with group work, (2) instructor interaction, and (3) gender. Survey data indicated that group processing had a slight positive effect on students' attitudes toward future group work and group processing. Participants who were interviewed felt that group processing was an important part of group work and that it had increased their group's effectiveness as well as their ability to work effectively with other people. Participants held positive views on group work prior to engaging in group processing, and group processing did not alter their atittude toward group work. Preservice teachers who were interviewed planned to use group work and a modified group processing protocol in their future classrooms. They also felt that group processing had prepared them for their future professions by modeling effective collaboration and group skills. Based on this research, a new model for group processing has been created which includes extensive

  14. METHODOLOGY EDUCATIONAL PROCESS ORGANIZATION OF FUTURE MAGISTRA TEACHER EDUCATION ON THE EXAMPLE OF THE COURSE «INFORMATION TECHNOLOGIES IN SCIENCE AND EDUCATION»

    Directory of Open Access Journals (Sweden)

    V. Shelud’ko

    2013-03-01

    Full Text Available The article provides a technique of training activities for future masters of using information technology as an example of discipline "Information technologies in science and education." Defined and characterized every stage of the educational process masters in the application of learning technologies and the use of certain technologies. The results verify the effectiveness of this technique and the analysis of questionnaires experimental groups.

  15. Status of electron beam processing technology in Malaysia

    International Nuclear Information System (INIS)

    Ghazali, Zulkafli; Dahlan, Khairul Zaman; Aiasah, S.H.; Khomsaton, A.B.; Ting, T.M.

    2003-01-01

    The electron beam processing in Malaysia starting in 1991 at MINT (Malaysian Institute for Nuclear Technology Research) has been focussed on medical product sterilization, curing of surface coating and polymer modifications. Subsequent installation of accelerators by private companies promoted the development of radiation processing technologies for the use of production of heat-shrinkable products, pilot-scale flue gas purification, as well as wires, cables, tubes and hydrogels. Decomposition of a wide range of volatile organic compounds from industrial exhausts (car painting lines, volatile dioxin and furan from municipal waste incinerators) and purification of liquid wastewater and drinking water are also being under R and D work. Malaysia will continue to play an active part in the program on radiation technology to strengthen environmentally sustainable development in line with FNCA objectives. (S. Ohno)

  16. Development of new processing technology for ruminant feed

    International Nuclear Information System (INIS)

    Mat Rasol Awang; Hassan Hamdani Mutaat; Zainun Said; Alias Saidali; Erwan Md Ariff

    2002-01-01

    The technology for production ruminant feed from agriculture by-product remains scare despite plentiful availability of feeding materials worldwide. Factors that prohibit the process technology development suggested that their peculiar physical make up, high cost of production and inferior product quality compared to established raw material, had consequently impeding the effort. In Malaysia, only two pilot plants exist; they demonstrate utilization of Oil Palm Frond (OPF) into feed. In the case of OPF in situ utilization as feed, farmers use chipper machine or shredder to process it. Other by-products have not been successfully exploited, except for Palm Kernel Cake (PKC) and Palm Oil Mill Effluent (POME) that already in commercial operation. In view of the by-product availability as feeding material in ruminant feeding system and availability of new chipper and shredder machines, the prospect of processing agriculture by-products into feed is expected to be a promising business venture. This paper describes the technology for production of new feed from oil palm Empty Fruit Bunch (EFB). It elaborates on Sterifeed Plant Operation based on plant capacity of 0.5 ton/day production. The operation aspects discuss raw materials handling and processing as well as transforming the products into marketable forms. In this process EFB is initially predigested by fungi in solid state fermentation process into feed materials; the product is ready to be fed in fresh form to animal. The operation exercise has established actual process flow, identified problems and process drawbacks. Based on this experience, availability of localized raw materials EFB at the palm oil mill and rapid development of processing machinery, it is very likely that a commercially viable feed processing plant can be established in the near future. In addition, establishing more data on product quality by further test and characterization of the new feed may contribute to success of the project. (Author)

  17. Process Technology for Immobilized LipaseProcess Technology for Immobilized Lipase-catalyzed

    DEFF Research Database (Denmark)

    Xu, Yuan

    Biocatalysis has attracted significant attention recently, mainly due to its high selectivity and potential benefits for sustainability. Applications can be found in biorefineries, turning biomass into energy and chemicals, and also for products in the food and pharmaceutical industries. However......, most applications remain in the production of high-value fine chemicals, primarily because of the expense of introducing new technology. In particular lipasecatalyzed synthesis has already achieved efficient operations for high-value products and more interesting now is to establish opportunities......-down experimental work is described in this thesis. The methodology uses economic targets to test options characterized via a set of tools. In order to validate the methodology, two processes based on immobilized lipase-catalysis have been studied: transesterification and esterification of vegetable oils...

  18. Biomass waste-to-energy valorisation technologies: a review case for banana processing in Uganda.

    Science.gov (United States)

    Gumisiriza, Robert; Hawumba, Joseph Funa; Okure, Mackay; Hensel, Oliver

    2017-01-01

    Uganda's banana industry is heavily impeded by the lack of cheap, reliable and sustainable energy mainly needed for processing of banana fruit into pulp and subsequent drying into chips before milling into banana flour that has several uses in the bakery industry, among others. Uganda has one of the lowest electricity access levels, estimated at only 2-3% in rural areas where most of the banana growing is located. In addition, most banana farmers have limited financial capacity to access modern solar energy technologies that can generate sufficient energy for industrial processing. Besides energy scarcity and unreliability, banana production, marketing and industrial processing generate large quantities of organic wastes that are disposed of majorly by unregulated dumping in places such as swamps, thereby forming huge putrefying biomass that emit green house gases (methane and carbon dioxide). On the other hand, the energy content of banana waste, if harnessed through appropriate waste-to-energy technologies, would not only solve the energy requirement for processing of banana pulp, but would also offer an additional benefit of avoiding fossil fuels through the use of renewable energy. The potential waste-to-energy technologies that can be used in valorisation of banana waste can be grouped into three: Thermal (Direct combustion and Incineration), Thermo-chemical (Torrefaction, Plasma treatment, Gasification and Pyrolysis) and Biochemical (Composting, Ethanol fermentation and Anaerobic Digestion). However, due to high moisture content of banana waste, direct application of either thermal or thermo-chemical waste-to-energy technologies is challenging. Although, supercritical water gasification does not require drying of feedstock beforehand and can be a promising thermo-chemical technology for gasification of wet biomass such as banana waste, it is an expensive technology that may not be adopted by banana farmers in Uganda. Biochemical conversion technologies are

  19. RESOURCE SAVING TECHNOLOGICAL PROCESS OF LARGE-SIZE DIE THERMAL TREATMENT

    Directory of Open Access Journals (Sweden)

    L. A. Glazkov

    2009-01-01

    Full Text Available The given paper presents a development of a technological process pertaining to hardening large-size parts made of die steel. The proposed process applies a water-air mixture instead of a conventional hardening medium that is industrial oil.While developing this new technological process it has been necessary to solve the following problems: reduction of thermal treatment duration, reduction of power resource expense (natural gas and mineral oil, elimination of fire danger and increase of process ecological efficiency. 

  20. Synthesis and post-processing of nanomaterials using microreaction technology

    International Nuclear Information System (INIS)

    Chang, Chih-Hung; Paul, Brian K.; Remcho, Vincent T.; Atre, Sundar; Hutchison, James E.

    2008-01-01

    A critical barrier to the routine use of nanomaterials is the tedious, expensive means of their synthesis. Microreaction technology takes advantage of the large surface area-to-volume ratios within microchannel structures to accelerate heat and mass transport. This accelerated transport allows for rapid changes in reaction temperatures and concentrations leading to more uniform heating and mixing which can have dramatic impacts on macromolecular yields and nanoparticle size distributions. Benefits of microreaction technology include higher yield and reactant conversion, better energy efficiency and less by-product generation. Microreactors can help minimize the environmental impact of nanoproduction by enabling solvent free mixing, integrated separation techniques and reagent recycling. The possibility of synthesizing nanomaterials in the required volumes at the point-of-use eliminates the need to store and transport potentially hazardous materials and provides the flexibility for tailoring complex functional nanomaterials. Recognizing these benefits for nanosynthesis, continuous flow microreactors have been used by several research groups to synthesize and characterize nanomaterials. An overview of these efforts and issues related to scale up and other post synthesis processes such as separation and deposition are presented in this paper.

  1. Materials Science and Technology (MST) Division, Nuclear Materials Process Technology Group (MST-12), chemical process research and development report

    International Nuclear Information System (INIS)

    Clifton, D.G.

    1984-04-01

    A process for the recovery of plutonium and americium from molten salt extraction (MSE) salt residues has been demonstrated. It is based upon a new chloride anion-exchange process at low acidity that eliminates corrosive HCl fumes. The Los Alamos americium oxide production line has been improved to give more product with a concurrent lowering of personnel radiation exposure. A cost study has been made for the disposal of americium-contaminated calcium metal buttons that were obtained by pyrochemical recovery of plutonium from MSE salts. The waste form used in the study conforms to WIPP-Facility standards and current state-of-the-art radioactive waste disposal. The cost estimate is approx. $300/g 241 Am. Plutonium decontamination factors of approx. 300 have been obtained from lead-platinum alloy dissolution experiments carried out in alumina crucibles using lead oxide slag to getter the plutonium

  2. Ethical perspectives on emerging assistive technologies: insights from focus groups with stakeholders in long-term care facilities.

    Science.gov (United States)

    Dorsten, Aimee-Marie; Sifford, K Susan; Bharucha, Ashok; Mecca, Laurel Person; Wactlar, Howard

    2009-03-01

    ASSISTIVE TECHNOLOGIES ARE RELATIVELY novel tools for research and daily care in long-term care (LTC) facilities that are faced with the burgeoning of the older adult population and dwindling staffing resources. The degree to which stakeholders in LTC facilities are receptive to the use of these technologies is poorly understood. Eighteen semi-structured focus groups and one interview were conducted with relevant groups of stakeholders at seven LTC facilities in southwestern Pennsylvania. Common themes identified across all focus groups centered on concerns for privacy, autonomy, cost, and safety associated with implementation of novel technologies. The relative importance of each theme varied by stakeholder group as well as the perceived severity of cognitive and/or physical disability. Our findings suggest that ethical issues are critical to acceptance of novel technologies by their end users, and that stakeholder groups are interdependent and require shared communication about the acceptance of these emerging technologies.

  3. Information Technology in Small Medium Enterprise: Logistic and Production Processes

    Directory of Open Access Journals (Sweden)

    Maurizio Pighin

    2017-01-01

    Full Text Available This paper presents and discuss a survey which describes how small-medium enterprises (SMEs implement and use their information system with respect to their logistic and production processes. The study first describes the rationale of the research, then it identifies the characteristics of the companies and detects their general attitude towards information technology (IT. In the following section the paper presents a set of detailed processes to verify the structure and workflow of companies and how IT supports their processes. In the last part we study the influence of some company characteristics to effective use of processes and to different technological approaches, to support defined logistic and production processes. The novelty of the study and its interest, both in academic and institutional context as in the real world, resides in the opportunity to verify and understand the different attitudes of SMEs towards information technology in defining, organizing, planning and control their processes.

  4. Mobile Technology Use Across Age Groups in Patients Eligible for Cardiac Rehabilitation: Survey Study.

    Science.gov (United States)

    Gallagher, Robyn; Roach, Kellie; Sadler, Leonie; Glinatsis, Helen; Belshaw, Julie; Kirkness, Ann; Zhang, Ling; Gallagher, Patrick; Paull, Glenn; Gao, Yan; Partridge, Stephanie Ruth; Parker, Helen; Neubeck, Lis

    2017-10-24

    Emerging evidence indicates mobile technology-based strategies may improve access to secondary prevention and reduce risk factors in cardiac patients. However, little is known about cardiac patients' use of mobile technology, particularly for health reasons and whether the usage varies across patient demographics. This study aimed to describe cardiac patients' use of mobile technology and to determine variations between age groups after adjusting for education, employment, and confidence with using mobile technology. Cardiac patients eligible for attending cardiac rehabilitation were recruited from 9 hospital and community sites across metropolitan and rural settings in New South Wales, Australia. Participants completed a survey on the use of mobile technology devices, features used, confidence with using mobile technology, willingness and interest in learning, and health-related use. The sample (N=282) had a mean age of 66.5 (standard deviation [SD] 10.6) years, 71.9% (203/282) were male, and 79.0% (223/282) lived in a metropolitan area. The most common diagnoses were percutaneous coronary intervention (33.3%, 94/282) and myocardial infarction (22.7%, 64/282). The majority (91.1%, 257/282) used at least one type of technology device, 70.9% (200/282) used mobile technology (mobile phone/tablet), and 31.9% (90/282) used all types. Technology was used by 54.6% (154/282) for health purposes, most often to access information on health conditions (41.4%, 117/282) and medications (34.8%, 98/282). Age had an important independent association with the use of mobile technology after adjusting for education, employment, and confidence. The youngest group (mobile technology than the oldest (>69 years) age group (odds ratio [OR] 4.45, 95% CI 1.46-13.55), 5 times more likely to use mobile apps (OR 5.00, 95% CI 2.01-12.44), and 3 times more likely to use technology for health-related reasons (OR 3.31, 95% CI 1.34-8.18). Compared with the older group, the middle age group (56

  5. Technology Transfer: A Contact Sport

    Science.gov (United States)

    Paynter, Nina P.

    1995-01-01

    Technology transfer is a dynamic process, involving dynamic people as the bridge between NASA Langley Research Center and the outside world. This bridge, for nonaerospace applications, is known as the Technology Applications Group. The introduction of new innovations and expertise where they are needed occurs through a 'push' and 'pull' process. A 'push' occurs when a new technology is first developed with high commercial potential and then a company is found to licence or further develop the technology. The 'pull' process occurs through problem statements. A company or group will submit a written statement of what they need and the shortcomings of commercially available technology. The Technology Transfer Team (T3) reviews these problem statements and decides where NASA LaRC can offer assistance. A researcher or group of researchers are then identified who can help solve the problem and they are put in contact with the company. Depending upon the situation in either method, a Space Act Agreement (SAA), or outline of the responsibilities for each party, is developed.

  6. Influence Processes for Information Technology Acceptance

    DEFF Research Database (Denmark)

    Bhattacherjee, Anol; Sanford, Clive Carlton

    2006-01-01

    This study examines how processes of external influence shape information technology acceptance among potential users, how such influence effects vary across a user population, and whether these effects are persistent over time. Drawing on the elaboration-likelihood model (ELM), we compared two...... alternative influence processes, the central and peripheral routes, in motivating IT acceptance. These processes were respectively operationalized using the argument quality and source credibility constructs, and linked to perceived usefulness and attitude, the core perceptual drivers of IT acceptance. We...... further examined how these influence processes were moderated by users' IT expertise and perceived job relevance and the temporal stability of such influence effects. Nine hypotheses thus developed were empirically validated using a field survey of document management system acceptance at an eastern...

  7. Organizational Development: Values, Process, and Technology.

    Science.gov (United States)

    Margulies, Newton; Raia, Anthony P.

    The current state-of-the-art of organizational development is the focus of this book. The five parts into which the book is divided are as follows: Part One--Introduction (Organizational Development in Perspective--the nature, values, process, and technology of organizational development); Part Two--The Components of Organizational Developments…

  8. Research on Implementing Big Data: Technology, People, & Processes

    Science.gov (United States)

    Rankin, Jenny Grant; Johnson, Margie; Dennis, Randall

    2015-01-01

    When many people hear the term "big data", they primarily think of a technology tool for the collection and reporting of data of high variety, volume, and velocity. However, the complexity of big data is not only the technology, but the supporting processes, policies, and people supporting it. This paper was written by three experts to…

  9. Conceptual framework for the mapping of management process with information technology in a business process.

    Science.gov (United States)

    Rajarathinam, Vetrickarthick; Chellappa, Swarnalatha; Nagarajan, Asha

    2015-01-01

    This study on component framework reveals the importance of management process and technology mapping in a business environment. We defined ERP as a software tool, which has to provide business solution but not necessarily an integration of all the departments. Any business process can be classified as management process, operational process and the supportive process. We have gone through entire management process and were enable to bring influencing components to be mapped with a technology for a business solution. Governance, strategic management, and decision making are thoroughly discussed and the need of mapping these components with the ERP is clearly explained. Also we suggest that implementation of this framework might reduce the ERP failures and especially the ERP misfit was completely rectified.

  10. Modern processing technologies and food quality. 18th Food Technology Days '97 dedicated to prof. F. Bitenc

    International Nuclear Information System (INIS)

    Zlender, Bozidar; Gasperlin, Lea; Hocevar, Ivica; Slemenik, Barbka; Hocevar, Polona

    1997-01-01

    Modern processing technologies and food quality. Proceedings of thematic survey of topics in food science and technology and nutrition for postgraduate students, Ljubljana Univ. (Slovenia). Biotechnical Fac., Food Science and Technology Dept

  11. [Study on baking processing technology of hui medicine Aconitum flavum].

    Science.gov (United States)

    Fu, Xue-yan; Zhang, Bai-tong; Li, Ting-ting; Dong, Lin; Hao, Wen-jing; Yu, Liang

    2013-12-01

    To screen and optimize the processing technology of Aconitum flavum. The acute-toxicity, anti-inflammatory and analgesic experiments were used as indexes. Four processing methods, including decoction, streaming, baking and processing with Chebulae Fructus decoction, were compared to screen the optimum processing method for Aconitum flavum. The baking time was also optimized. The optimal baked technology was that 1-2 mm decoction pieces was baked at 105 degrees C for 3 hours. The baking method is proved to be the optimal processing method of Aconitum flavum. It is shown that this method is simple and stable.

  12. Feminist Group Process in Seminar Classes: Possibilities and Challenges

    Science.gov (United States)

    Barrett, Betty J.

    2009-01-01

    In this essay, I describe my experience applying the principles of feminist group process in a senior level social science course. I begin by providing an overview of feminist pedagogy as an approach to teaching and learning and discuss the relevance of feminist group process within this model. I then highlight the core components of feminist…

  13. The aluminum smelting process and innovative alternative technologies.

    Science.gov (United States)

    Kvande, Halvor; Drabløs, Per Arne

    2014-05-01

    The industrial aluminum production process is addressed. The purpose is to give a short but comprehensive description of the electrolysis cell technology, the raw materials used, and the health and safety relevance of the process. This article is based on a study of the extensive chemical and medical literature on primary aluminum production. At present, there are two main technological challenges for the process--to reduce energy consumption and to mitigate greenhouse gas emissions. A future step may be carbon dioxide gas capture and sequestration related to the electric power generation from fossil sources. Workers' health and safety have now become an integrated part of the aluminum business. Work-related injuries and illnesses are preventable, and the ultimate goal to eliminate accidents with lost-time injuries may hopefully be approached in the future.

  14. Development code for group constant processing

    International Nuclear Information System (INIS)

    Su'ud, Z.

    1997-01-01

    In this paper methods, formalism and algorithm related to group constant processing problem from basic library such as ENDF/B VI will be described. Basically the problems can be grouped as follows; the treatment of resolved resonance using NR approximation, the treatment of unresolved resonance using statistical method, the treatment of low lying resonance using intermediate resonance approximation, the treatment of thermal energy regions, and the treatment group transfer matrices cross sections. it is necessary to treat interference between resonance properly especially in the unresolved region. in this paper the resonance problems are treated based on Breit-wigner method, and doppler function is treated using Pade approximation for calculation efficiency. finally, some samples of calculational result for some nuclei, mainly for comparison between many methods are discussed in this paper

  15. Recent Advances in Food Processing Using High Hydrostatic Pressure Technology.

    Science.gov (United States)

    Wang, Chung-Yi; Huang, Hsiao-Wen; Hsu, Chiao-Ping; Yang, Binghuei Barry

    2016-01-01

    High hydrostatic pressure is an emerging non-thermal technology that can achieve the same standards of food safety as those of heat pasteurization and meet consumer requirements for fresher tasting, minimally processed foods. Applying high-pressure processing can inactivate pathogenic and spoilage microorganisms and enzymes, as well as modify structures with little or no effects on the nutritional and sensory quality of foods. The U.S. Food and Drug Administration (FDA) and the U.S. Department of Agriculture (USDA) have approved the use of high-pressure processing (HPP), which is a reliable technological alternative to conventional heat pasteurization in food-processing procedures. This paper presents the current applications of HPP in processing fruits, vegetables, meats, seafood, dairy, and egg products; such applications include the combination of pressure and biopreservation to generate specific characteristics in certain products. In addition, this paper describes recent findings on the microbiological, chemical, and molecular aspects of HPP technology used in commercial and research applications.

  16. Idaho National Engineering Laboratory Waste Area Groups 1-7 and 10 Technology Logic Diagram. Volume 2

    Energy Technology Data Exchange (ETDEWEB)

    O`Brien, M.C.; Meservey, R.H.; Little, M.; Ferguson, J.S.; Gilmore, M.C.

    1993-09-01

    The Idaho National Engineering Laboratory (INEL) Technology Logic Diagram (TLD) was developed to provide a decision support tool that relates Environmental Restoration (ER) and Waste Management (WM) problems at the INEL to potential technologies that can remediate these problems. The TLD identifies the research, development, demonstration, testing, and evaluation needed to develop these technologies to a state that allows technology transfer and application to an environmental restoration need. It is essential that follow-on engineering and system studies be conducted to build on the output of this project. These studies will begin by selecting the most promising technologies identified in this TLD and finding an optimum mix of technologies that will provide a socially acceptable balance between cost and risk to meet the site windows of opportunity. The TLD consists of three separate volumes: Volume I includes the purpose and scope of the TLD, a brief history of the INEL Waste Area Groups, and environmental problems they represent. A description of the TLD, definitions of terms, a description of the technology evaluation process, and a summary of each subelement, is presented. Volume II (this volume) describes the overall layout and development of the TLD in logic diagram format. This section addresses the environmental restoration of contaminated INEL sites. Specific INEL problem areas/contaminants are identified along with technology solutions, the status of the technologies, precise science and technology needs, and implementation requirements. Volume III provides the Technology Evaluation Data Sheets (TEDS) for Environmental Restoration and Waste Management (EM) activities that are referenced by a TEDS codenumber in Volume II. Each of these sheets represents a single logic trace across the TLD. These sheets contain more detail than provided for technologies in Volume II.

  17. BUSINESS PROCESS MANAGEMENT SYSTEMS TECHNOLOGY COMPONENTS ANALYSIS

    Directory of Open Access Journals (Sweden)

    Andrea Giovanni Spelta

    2007-05-01

    Full Text Available The information technology that supports the implementation of the business process management appproach is called Business Process Management System (BPMS. The main components of the BPMS solution framework are process definition repository, process instances repository, transaction manager, conectors framework, process engine and middleware. In this paper we define and characterize the role and importance of the components of BPMS's framework. The research method adopted was the case study, through the analysis of the implementation of the BPMS solution in an insurance company called Chubb do Brasil. In the case study, the process "Manage Coinsured Events"" is described and characterized, as well as the components of the BPMS solution adopted and implemented by Chubb do Brasil for managing this process.

  18. APPLICATION OF CLOUD TECHNOLOGY IN THE STOMATOLOGISTS EDUCATIONAL PROCESS

    Directory of Open Access Journals (Sweden)

    Oksana A. Zorina

    2016-01-01

    Full Text Available Study the possibility of applying cloud technologies for the control of knowledge and the certification of specialists has been studied in the framework of realization of educational programs of internship and residency training in dental specialties. It was found that the management of the educational process in online mode is possible on the basis of distance education technologies using cloud technology

  19. The Impact Of Optical Storage Technology On Image Processing Systems

    Science.gov (United States)

    Garges, Daniel T.; Durbin, Gerald T.

    1984-09-01

    The recent announcement of commercially available high density optical storage devices will have a profound impact on the information processing industry. Just as the initial introduction of random access storage created entirely new processing strategies, optical technology will allow dramatic changes in the storage, retrieval, and dissemination of engineering drawings and other pictorial or text-based documents. Storage Technology Corporation has assumed a leading role in this arena with the introduction of the 7600 Optical Storage Subsystem, and the formation of StorageTek Integrated Systems, a subsidiary chartered to incorporate this new technology into deliverable total systems. This paper explores the impact of optical storage technology from the perspective of a leading-edge manufacturer and integrator.

  20. Power plant siting; an application of the nominal group process technique

    International Nuclear Information System (INIS)

    Voelker, A.H.

    1976-01-01

    The application of interactive group processes to the problem of facility siting is examined by this report. Much of the discussion is abstracted from experience gained in applying the Nominal Group Process Technique, an interactive group technique, to the identification and rating of factors important in siting nuclear power plants. Through this experience, interactive group process techniques are shown to facilitate the incorporation of the many diverse factors which play a role in siting. In direct contrast to mathematical optimization, commonly represented as the ultimate siting technique, the Nominal Group Process Technique described allows the incorporation of social, economic, and environmental factors and the quantification of the relative importance of these factors. The report concludes that the application of interactive group process techniques to planning and resource management will affect the consideration of social, economic, and environmental concerns and ultimately lead to more rational and credible siting decisions

  1. Military Munitions Waste Working Group report

    International Nuclear Information System (INIS)

    1993-01-01

    This report presents the findings of the Military Munitions Waste Working Group in its effort to achieve the goals directed under the Federal Advisory Committee to Develop On-Site Innovative Technologies (DOIT Committee) for environmental restoration and waste management. The Military Munitions Waste Working Group identified the following seven areas of concern associated with the ordnance (energetics) waste stream: unexploded ordnance; stockpiled; disposed -- at known locations, i.e., disposal pits; discharged -- impact areas, unknown disposal sites; contaminated media; chemical sureties/weapons; biological weapons; munitions production; depleted uranium; and rocket motor and fuel disposal (open burn/open detonation). Because of time constraints, the Military Munitions Waste Working Group has focused on unexploded ordnance and contaminated media with the understanding that remaining waste streams will be considered as time permits. Contents of this report are as follows: executive summary; introduction; Military Munitions Waste Working Group charter; description of priority waste stream problems; shortcomings of existing approaches, processes and technologies; innovative approaches, processes and technologies, work force planning, training, and education issues relative to technology development and cleanup; criteria used to identify and screen potential demonstration projects; list of potential candidate demonstration projects for the DOIT committee decision/recommendation and appendices

  2. Military Munitions Waste Working Group report

    Energy Technology Data Exchange (ETDEWEB)

    1993-11-30

    This report presents the findings of the Military Munitions Waste Working Group in its effort to achieve the goals directed under the Federal Advisory Committee to Develop On-Site Innovative Technologies (DOIT Committee) for environmental restoration and waste management. The Military Munitions Waste Working Group identified the following seven areas of concern associated with the ordnance (energetics) waste stream: unexploded ordnance; stockpiled; disposed -- at known locations, i.e., disposal pits; discharged -- impact areas, unknown disposal sites; contaminated media; chemical sureties/weapons; biological weapons; munitions production; depleted uranium; and rocket motor and fuel disposal (open burn/open detonation). Because of time constraints, the Military Munitions Waste Working Group has focused on unexploded ordnance and contaminated media with the understanding that remaining waste streams will be considered as time permits. Contents of this report are as follows: executive summary; introduction; Military Munitions Waste Working Group charter; description of priority waste stream problems; shortcomings of existing approaches, processes and technologies; innovative approaches, processes and technologies, work force planning, training, and education issues relative to technology development and cleanup; criteria used to identify and screen potential demonstration projects; list of potential candidate demonstration projects for the DOIT committee decision/recommendation and appendices.

  3. Applying Trusted Network Technology To Process Control Systems

    Science.gov (United States)

    Okhravi, Hamed; Nicol, David

    Interconnections between process control networks and enterprise networks expose instrumentation and control systems and the critical infrastructure components they operate to a variety of cyber attacks. Several architectural standards and security best practices have been proposed for industrial control systems. However, they are based on older architectures and do not leverage the latest hardware and software technologies. This paper describes new technologies that can be applied to the design of next generation security architectures for industrial control systems. The technologies are discussed along with their security benefits and design trade-offs.

  4. Business process technology and the cloud : defining a business process cloud platform

    OpenAIRE

    Stoitsev, V.; Grefen, P.W.P.J.

    2012-01-01

    The present state of the integration between business process technology and the Cloud is vague and not well defined. Industry research organizations predict that enterprises will be moving in both these directions in the next few years. This will increase the need for a clear integration between these two areas. Apart from this, many current problems with automated business processes stem from the poor connection between business application systems and the needed business process support, a...

  5. The Role of IAEA in Coordinating Research and Transferring Technology in Radiation Chemistry and Processing of Polymers

    International Nuclear Information System (INIS)

    Haji Saeid, M.

    2006-01-01

    The IAEA has been playing a significant role in fostering developments in radiation technology in general and radiation processing of polymers in particular, among its Member States (MS) and facilitate know-how/technology transfer to developing MS. The former is usually achieved through coordinated research projects (CRP) and thematic technical meetings, while the latter is mainly accomplished through Technical Cooperation (TC) projects. Coordinated research projects encourage research on, and development and practical application of, radiation technology to foster exchange of scientific and technical information. The CRP brings together typically 10 - 15 groups of participants to share and complement core competencies and work on specific areas of development needed to benefit from an emerging radiation technique and its applications. The technical cooperation (TC) programme helps Member States realize their development priorities through the application of appropriate radiation technology. TC builds national capacities through training, expert advice and delivery of equipment. The impact of the IAEA's efforts is visible by the progress noticeable in adoption of radiation technology and/or growth in the range of activities in several MS in different regions. The IAEA has implemented several coordinated research projects (CRP) recently, including one on-going project, in the field of radiation processing of polymeric materials. The CRPs facilitated the acquisition and dissemination of know-how and technology for controlling of degradation effects in radiation processing of polymers, radiation synthesis of stimuli-responsive membranes, hydrogels and absorbents for separation purposes and the use of radiation processing to prepare biomaterials for applications in medicine. A number of technical cooperation projects have been implemented in this field to strengthen the capability of developing Member States and to create awareness in the industries about the technical

  6. Development of interface technology between unit processes in E-Refining process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, S. H.; Lee, H. S.; Kim, J. G. [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2010-10-15

    The pyroprocessing is composed mainly four subprocesses, such as an electrolytic reduction, an electrorefining, an electrowinning, and waste salt regeneration/ solidification processes. The electrorefining process, one of main processes which are composed of pyroprocess to recover the useful elements from spent fuel, is under development by Korea Atomic Energy Research Institute as a sub process of pyrochemical treatment of spent PWR fuel. The CERS(Continuous ElectroRefining System) is composed of some unit processes such as an electrorefiner, a salt distiller, a melting furnace for the U-ingot and U-chlorinator (UCl{sub 3} making equipment) as shown in Fig. 1. In this study, the interfaces technology between unit processes in E-Refining system is investigated and developed for the establishment of integrated E-Refining operation system as a part of integrated pyroprocessing

  7. Group creativity and innovation: a motivated information processing perspective

    NARCIS (Netherlands)

    de Dreu, C.K.W.; Nijstad, B.A.; Bechtoldt, M.N.; Baas, M.

    2011-01-01

    The authors review the Motivated Information Processing in Groups Model (De Dreu, Nijstad, & Van Knippenberg, 2008) to understand group creativity and innovation. Although distinct phenomena, group creativity and innovation are both considered a function of epistemic motivation (EM; the degree to

  8. 40 CFR 63.138 - Process wastewater provisions-performance standards for treatment processes managing Group 1...

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 9 2010-07-01 2010-07-01 false Process wastewater provisions-performance standards for treatment processes managing Group 1 wastewater streams and/or residuals removed from Group 1 wastewater streams. 63.138 Section 63.138 Protection of Environment ENVIRONMENTAL...

  9. Steam coal processing technology: handling, high-order processing, COM, meth-coal

    Energy Technology Data Exchange (ETDEWEB)

    Kamata, H.; Onodera, J.

    1982-01-01

    Topics covered include: various handling techologies (overland and marine transport, storage, water removal, drying, comminution and sizing); various coal processing technologies (gravity concentration, magnetic separation, multi-stage flotation, liquid-phase pelletizing, chemical processing); production methods for coal-oil mixtures (COM), their physical properties, stability, storage, transport, advantages, plus recent trends in research and development; production of coal-methanol slurry (meth-coal), its stability, storage, transport, utilization and environmental problems, plus latest trends in research and development. (In Japanese)

  10. Consumer Value perceptions of food products from emerging processing technologies

    DEFF Research Database (Denmark)

    Perrea, Toula; Grunert, Klaus G; Krystallis Krontalis, Athanasios

    2015-01-01

    Through a qualitative research approach, the present paper aims to explore the range and type of ‘values’ and ‘costs’ in formulating overall Consumer Value (CV) perceptions, in association with two emerging processing technologies that at the outset are neither distinctly positive nor negative...... in the eyes of consumers, in two culturally variant contexts, namely a Western society where technology is often met with skepticism (i.e., the UK); and a non-Western society where technology plays a reassuring role regarding concerns about food safety and quality (i.e., China). Results reveal that the most......-technology counterparts, who ‘allow’ more room for cultural discrepancies to impact on their CV perceptions. Overall, findings support the view that CV perceptions in the context of food produced by means of emerging processing technologies can be successfully analyzed using a multidimensional conceptualization, where CV...

  11. Internationalization Process and Technological Capability Trajectory of Iguaçu

    Directory of Open Access Journals (Sweden)

    Rafael Kuramoto Gonzalez

    2012-07-01

    Full Text Available This article focuses on the influence of the internationalization process in the evolution of technological capability. This implication was studied in Iguaçu between 1967 and 2009. To achieve the proposed goal it was used the Internationalization of Brazilian Export Producer Companies Model, built by Kraus (2006 and the Model of Technological Capabilities in Companies of Emerging Economies, built by Figueiredo (2004. The study found that different stages of internationalization require different functions and different levels of technology. The discussion proposed by this paper found a close association between the process of internationalization and the development of technological capability in the company studied. It can be concluded that for companies of Soluble Coffee to conquer, reach and remain competitive in international markets it should engage efforts to build diverse organizational skills, alliances and technological capabilities.

  12. Application of adult attachment theory to group member transference and the group therapy process.

    Science.gov (United States)

    Markin, Rayna D; Marmarosh, Cheri

    2010-03-01

    Although clinical researchers have applied attachment theory to client conceptualization and treatment in individual therapy, few researchers have applied this theory to group therapy. The purpose of this article is to begin to apply theory and research on adult dyadic and group attachment styles to our understanding of group dynamics and processes in adult therapy groups. In particular, we set forth theoretical propositions on how group members' attachment styles affect relationships within the group. Specifically, this article offers some predictions on how identifying group member dyadic and group attachment styles could help leaders predict member transference within the therapy group. Implications of group member attachment for the selection and composition of a group and the different group stages are discussed. Recommendations for group clinicians and researchers are offered. PsycINFO Database Record (c) 2010 APA, all rights reserved

  13. Control of processes using isotopic diagnostic's technologies

    International Nuclear Information System (INIS)

    Vargas, Celso; Chaverri, Oscar; Chine, Bruno; Conejo, Mario

    2005-01-01

    The Escuela de Ciencias e Ingenieria de los Materiales of the Instituto Tecnologico de Costa Rica, in cooperation with OIEA, develops a project of dowry of capacity oriented to the formation of professionals and equipment for the use of two important technologies of isotopic diagnostic. The first of them is the technology of tracers that operates the unique properties that present different radioactive isotopics like open sources. The second one well known as scanning or profile gamma, uses sealed source, of the some nature that the previous ones, to obtain profiles in different processes and thus to determine its internal condition and operation. The objective of this article is to present both technologies, its benefits and to promote the use in the country [es

  14. Pyrolysis and gasification of waste: a worldwide technology and business review. Vol.1: Markets and trends; Vol. 2: Technologies and processes

    International Nuclear Information System (INIS)

    2000-01-01

    The two volume report, Pyrolysis and Gasification of Waste; a Worldwide Technology and Business Review, covers technology trends and market forces, applications and markets, market profiles by region, decision makers' preferences, and the market forecast for 1999 to 2008 in Volume I. Technologies and processes are addressed in Volume II, with technology concepts, analysis of the processes, a comparative review of selected processes examined. A directory of suppliers, process developers and licenses is provided in the appendices to Volume II. (UK)

  15. Idaho National Engineering Laboratory Waste Area Groups 1-7 and 10 Technology Logic Diagram. Volume 3

    Energy Technology Data Exchange (ETDEWEB)

    O`Brien, M.C.; Meservey, R.H.; Little, M.; Ferguson, J.S.; Gilmore, M.C.

    1993-09-01

    The Idaho National Engineering Laboratory (INEL) Technology Logic Diagram (TLD) was developed to provide a decision support tool that relates Environmental Restoration (ER) and Waste Management (WM) problems at the INEL to potential technologies that can remediate these problems. The TLD identifies the research, development, demonstration, testing, and evaluation needed to develop these technologies to a state that allows technology transfer and application to an environmental restoration need. It is essential that follow-on engineering and system studies be conducted to build on the output of this project. These studies will begin by selecting the most promising technologies identified in this TLD and finding an optimum mix of technologies that will provide a socially acceptable balance between cost and risk to meet the site windows of opportunity. The TLD consists of three separate volumes: Volume I includes the purpose and scope of the TLD, a brief history of the INEL Waste Area Groups, and environmental problems they represent. A description of the TLD, definitions of terms, a description of the technology evaluation process, and a summary of each subelement, is presented. Volume II describes the overall layout and development of the TLD in logic diagram format. This section addresses the environmental restoration of contaminated INEL sites. Volume III (this volume) provides the Technology Evaluation Data Sheets (TEDS) for Environmental Restoration and Waste Management (EM) activities that are reference by a TEDS code number in Volume II. Each of these sheets represents a single logic trace across the TLD. These sheets contain more detail than provided for technologies in Volume II. Data sheets are arranged alphanumerically by the TEDS code number in the upper right corner of each sheet.

  16. Proceedings of the 4th Conference on Aerospace Materials, Processes, and Environmental Technology

    Science.gov (United States)

    Griffin, D. E. (Editor); Stanley, D. C. (Editor)

    2001-01-01

    The next millennium challenges us to produce innovative materials, processes, manufacturing, and environmental technologies that meet low-cost aerospace transportation needs while maintaining US leadership. The pursuit of advanced aerospace materials, manufacturing processes, and environmental technologies supports the development of safer, operational, next-generation, reusable, and expendable aeronautical and space vehicle systems. The Aerospace Materials, Processes, and Environmental Technology Conference (AMPET) provided a forum for manufacturing, environmental, materials, and processes engineers, scientists, and managers to describe, review, and critically assess advances in these key technology areas.

  17. Recovery of acids from dilute streams : A review of process technologies

    International Nuclear Information System (INIS)

    Talnikar, Vivek Digambar; Mahajan, Yogesh Shankar

    2014-01-01

    Chemical process industries convert raw materials into useful products. Acids, among other chemicals, are used in many industries as reactants, solvents and also as catalysts in a few instances as well. Resulting streams are dilute, from which the acids must be recovered. For recovery, many technologies can be used by which acids can be regained as such or can be converted into other value-added products like esters. Membrane processes and biological processes are being researched academically and practiced industrially. These have their own advantages and disadvantages in view of conversion, energy consumption etc. These are not always advantageous and hence an alternate process technology is necessary like reactive separation (RS). RS is advantageous especially when the acid is to be converted to other useful products by reaction, due to additional advantages or because no other technology is well suited or due to cost considerations alone. Conventional process technologies use the reactor configuration followed by the subsequent separation sequence. This approach can sometimes suffer from lesser conversion, difficulties in separation etc. To overcome these problems, RS has an edge over other processes in terms of the recovery of the useful compounds. Reactive distillation (RD), reactive extraction (RE) and reactive chromatography (RC) are the separation technologies that can be useful for acid recovery in an economically feasible way. This review covers the various processes of acid recovery along with the recent work in the field of reactive separations

  18. Information Technology Process Improvement Decision-Making: An Exploratory Study from the Perspective of Process Owners and Process Managers

    Science.gov (United States)

    Lamp, Sandra A.

    2012-01-01

    There is information available in the literature that discusses information technology (IT) governance and investment decision making from an executive-level perception, yet there is little information available that offers the perspective of process owners and process managers pertaining to their role in IT process improvement and investment…

  19. Advanced modeling of management processes in information technology

    CERN Document Server

    Kowalczuk, Zdzislaw

    2014-01-01

    This book deals with the issues of modelling management processes of information technology and IT projects while its core is the model of information technology management and its component models (contextual, local) describing initial processing and the maturity capsule as well as a decision-making system represented by a multi-level sequential model of IT technology selection, which acquires a fuzzy rule-based implementation in this work. In terms of applicability, this work may also be useful for diagnosing applicability of IT standards in evaluation of IT organizations. The results of this diagnosis might prove valid for those preparing new standards so that – apart from their own visions – they could, to an even greater extent, take into account the capabilities and needs of the leaders of project and manufacturing teams. The book is intended for IT professionals using the ITIL, COBIT and TOGAF standards in their work. Students of computer science and management who are interested in the issue of IT...

  20. Evaluation of Public E-Services and Information Technology Accessibility in Different Social Groups

    Directory of Open Access Journals (Sweden)

    Ramutė Naujikienė

    2012-12-01

    Full Text Available The purpose of this study is to develop an approach based on the social quality evaluation square model for evaluation of information technology usage in different social groups. Componential view to the accessibility of e-services including IT means providing the possibility to research the influences of different life conditions to usage of the public e-services. The task of this empirical study is directed towards revealing the differences of e-inclusion and e-services accessibility for social groups of citizens of Lithuania, and to compare this accessibility data with other EU countries.Design/methodology/approach—the approach is based on the square model of social quality evaluation of information technology usage in different social groups. The social division square model includes an assessment of quality according to the evaluation of socioeconomic security, social inclusion, social cohesion, and empowerment. Empowerment can be defined as consisting of individual or collective decisions to act on one’s own life.Findings—the results are demonstrated by the accessibility of public e-services data, which are evaluated by the quality of social group development according to IT applications. The hypothesis was confirmed that the e-government activities can be realized by properly selecting and installing technologies, and using technology facilities. E-services influence the capabilities of state officials to apply modern technology and increase the availability of e-services for social groups. Results consist of individual or collective decisions to act on one’s own life, to implementation of effective information technologies in the e-government activities and using of e-services. An important indicator is the implementation of e-services in the activity of citizens. It is submitted as the index of e-participation in dealing with the activities of citizens and the possibilities of authorities directly related with providing services

  1. Evaluation of Public E-Services and Information Technology Accessibility in Different Social Groups

    Directory of Open Access Journals (Sweden)

    Ramutė Naujikienė

    2013-02-01

    Full Text Available The purpose of this study is to develop an approach based on the social quality evaluation square model for evaluation of information technology usage in different social groups. Componential view to the accessibility of e-services including IT means providing the possibility to research the influences of different life conditions to usage of the public e-services. The task of this empirical study is directed towards revealing the differences of e-inclusion and e-services accessibility for social groups of citizens of Lithuania, and to compare this accessibility data with other EU countries. Design/methodology/approach—the approach is based on the square model of social quality evaluation of information technology usage in different social groups. The social division square model includes an assessment of quality according to the evaluation of socioeconomic security, social inclusion, social cohesion, and empowerment. Empowerment can be defined as consisting of individual or collective decisions to act on one’s own life. Findings—the results are demonstrated by the accessibility of public e-services data, which are evaluated by the quality of social group development according to IT applications. The hypothesis was confirmed that the e-government activities can be realized by properly selecting and installing technologies, and using technology facilities. E-services influence the capabilities of state officials to apply modern technology and increase the availability of e-services for social groups. Results consist of individual or collective decisions to act on one’s own life, to implementation of effective information technologies in the e-government activities and using of e-services. An important indicator is the implementation of e-services in the activity of citizens. It is submitted as the index of e-participation in dealing with the activities of citizens and the possibilities of authorities directly related with providing

  2. Carotenoids Functionality, Sources, and Processing by Supercritical Technology: A Review

    Directory of Open Access Journals (Sweden)

    Natália Mezzomo

    2016-01-01

    Full Text Available Carotenoid is a group of pigments naturally present in vegetal raw materials that have biological properties. These pigments have been used mainly in food, pharmaceutical, and cosmetic industries. Currently, the industrial production is executed through chemical synthesis, but natural alternatives of carotenoid production/attainment are in development. The carotenoid extraction occurs generally with vegetal oil and organic solvents, but supercritical technology is an alternative technique to the recovery of these compounds, presenting many advantages when compared to conventional process. Brazil has an ample diversity of vegetal sources inadequately investigated and, then, a major development of optimization and validation of carotenoid production/attainment methods is necessary, so that the benefits of these pigments can be delivered to the consumer.

  3. Team confidence, motivated information processing, and dynamic group decision making

    NARCIS (Netherlands)

    de Dreu, C.K.W.; Beersma, B.

    2010-01-01

    According to the Motivated Information Processing in Groups (MIP-G) model, groups should perform ambiguous (non-ambiguous) tasks better when they have high (low) epistemic motivation and concomitant tendencies to engage in systematic (heuristic) information processing and exchange. The authors

  4. Advanced Technology Composite Fuselage - Materials and Processes

    Science.gov (United States)

    Scholz, D. B.; Dost, E. F.; Flynn, B. W.; Ilcewicz, L. B.; Nelson, K. M.; Sawicki, A. J.; Walker, T. H.; Lakes, R. S.

    1997-01-01

    The goal of Boeing's Advanced Technology Composite Aircraft Structures (ATCAS) program was to develop the technology required for cost and weight efficient use of composite materials in transport fuselage structure. This contractor report describes results of material and process selection, development, and characterization activities. Carbon fiber reinforced epoxy was chosen for fuselage skins and stiffening elements and for passenger and cargo floor structures. The automated fiber placement (AFP) process was selected for fabrication of monolithic and sandwich skin panels. Circumferential frames and window frames were braided and resin transfer molded (RTM'd). Pultrusion was selected for fabrication of floor beams and constant section stiffening elements. Drape forming was chosen for stringers and other stiffening elements. Significant development efforts were expended on the AFP, braiding, and RTM processes. Sandwich core materials and core edge close-out design concepts were evaluated. Autoclave cure processes were developed for stiffened skin and sandwich structures. The stiffness, strength, notch sensitivity, and bearing/bypass properties of fiber-placed skin materials and braided/RTM'd circumferential frame materials were characterized. The strength and durability of cocured and cobonded joints were evaluated. Impact damage resistance of stiffened skin and sandwich structures typical of fuselage panels was investigated. Fluid penetration and migration mechanisms for sandwich panels were studied.

  5. Motivated information processing in group judgement and decision making

    NARCIS (Netherlands)

    de Dreu, C.K.W.; Nijstad, B.A.; van Knippenberg, D.

    2008-01-01

    This article expands the view of groups as information processors into a motivated information processing in groups (MIP-G) model by emphasizing, first, the mixedmotive structure of many group tasks and, second, the idea that individuals engage in more or less deliberate information search and

  6. Does applying technology throughout the medication use process improve patient safety with antineoplastics?

    Science.gov (United States)

    Bubalo, Joseph; Warden, Bruce A; Wiegel, Joshua J; Nishida, Tess; Handel, Evelyn; Svoboda, Leanne M; Nguyen, Lam; Edillo, P Neil

    2014-12-01

    Medical errors, in particular medication errors, continue to be a troublesome factor in the delivery of safe and effective patient care. Antineoplastic agents represent a group of medications highly susceptible to medication errors due to their complex regimens and narrow therapeutic indices. As the majority of these medication errors are frequently associated with breakdowns in poorly defined systems, developing technologies and evolving workflows seem to be a logical approach to provide added safeguards against medication errors. This article will review both the pros and cons of today's technologies and their ability to simplify the medication use process, reduce medication errors, improve documentation, improve healthcare costs and increase provider efficiency as relates to the use of antineoplastic therapy throughout the medication use process. Several technologies, mainly computerized provider order entry (CPOE), barcode medication administration (BCMA), smart pumps, electronic medication administration record (eMAR), and telepharmacy, have been well described and proven to reduce medication errors, improve adherence to quality metrics, and/or improve healthcare costs in a broad scope of patients. The utilization of these technologies during antineoplastic therapy is weak at best and lacking for most. Specific to the antineoplastic medication use system, the only technology with data to adequately support a claim of reduced medication errors is CPOE. In addition to the benefits these technologies can provide, it is also important to recognize their potential to induce new types of errors and inefficiencies which can negatively impact patient care. The utilization of technology reduces but does not eliminate the potential for error. The evidence base to support technology in preventing medication errors is limited in general but even more deficient in the realm of antineoplastic therapy. Though CPOE has the best evidence to support its use in the

  7. Advancing MEMS Technology Usage through the MUMPS (Multi-User MEMS Processes) Program

    Science.gov (United States)

    Koester, D. A.; Markus, K. W.; Dhuler, V.; Mahadevan, R.; Cowen, A.

    1995-01-01

    In order to help provide access to advanced micro-electro-mechanical systems (MEMS) technologies and lower the barriers for both industry and academia, the Microelectronic Center of North Carolina (MCNC) and ARPA have developed a program which provides users with access to both MEMS processes and advanced electronic integration techniques. The four distinct aspects of this program, the multi-user MEMS processes (MUMP's), the consolidated micro-mechanical element library, smart MEMS, and the MEMS technology network are described in this paper. MUMP's is an ARPA-supported program created to provide inexpensive access to MEMS technology in a multi-user environment. It is both a proof-of-concept and educational tool that aids in the development of MEMS in the domestic community. MUMP's technologies currently include a 3-layer poly-silicon surface micromachining process and LIGA (lithography, electroforming, and injection molding) processes that provide reasonable design flexibility within set guidelines. The consolidated micromechanical element library (CaMEL) is a library of active and passive MEMS structures that can be downloaded by the MEMS community via the internet. Smart MEMS is the development of advanced electronics integration techniques for MEMS through the application of flip chip technology. The MEMS technology network (TechNet) is a menu of standard substrates and MEMS fabrication processes that can be purchased and combined to create unique process flows. TechNet provides the MEMS community greater flexibility and enhanced technology accessibility.

  8. Trends in Process Analytical Technology: Present State in Bioprocessing.

    Science.gov (United States)

    Jenzsch, Marco; Bell, Christian; Buziol, Stefan; Kepert, Felix; Wegele, Harald; Hakemeyer, Christian

    2017-08-04

    Process analytical technology (PAT), the regulatory initiative for incorporating quality in pharmaceutical manufacturing, is an area of intense research and interest. If PAT is effectively applied to bioprocesses, this can increase process understanding and control, and mitigate the risk from substandard drug products to both manufacturer and patient. To optimize the benefits of PAT, the entire PAT framework must be considered and each elements of PAT must be carefully selected, including sensor and analytical technology, data analysis techniques, control strategies and algorithms, and process optimization routines. This chapter discusses the current state of PAT in the biopharmaceutical industry, including several case studies demonstrating the degree of maturity of various PAT tools. Graphical Abstract Hierarchy of QbD components.

  9. Application of neural networks to group technology

    Science.gov (United States)

    Caudell, Thomas P.; Smith, Scott D. G.; Johnson, G. C.; Wunsch, Donald C., II

    1991-08-01

    Adaptive resonance theory (ART) neural networks are being developed for application to the industrial engineering problem of group technology--the reuse of engineering designs. Two- and three-dimensional representations of engineering designs are input to ART-1 neural networks to produce groups or families of similar parts. These representations, in their basic form, amount to bit maps of the part, and can become very large when the part is represented in high resolution. This paper describes an enhancement to an algorithmic form of ART-1 that allows it to operate directly on compressed input representations and to generate compressed memory templates. The performance of this compressed algorithm is compared to that of the regular algorithm on real engineering designs and a significant savings in memory storage as well as a speed up in execution is observed. In additions, a `neural database'' system under development is described. This system demonstrates the feasibility of training an ART-1 network to first cluster designs into families, and then to recall the family when presented a similar design. This application is of large practical value to industry, making it possible to avoid duplication of design efforts.

  10. Motivated information processing in group judgment and decision making

    NARCIS (Netherlands)

    De Dreu, Carsten K. W.; Nijstad, Bernard A.; van Knippenberg, Daan

    This article expands the view of groups as information processors into a motivated information processing in groups (MIP-G) model by emphasizing, first, the mixed-motive structure of many group tasks and, second, the idea that individuals engage in more or less deliberate information search and

  11. Developing maintenance technologies for FBR's heat exchanger units by advanced laser processing

    International Nuclear Information System (INIS)

    Nishimura, Akihiko; Shimada, Yukihiro

    2011-01-01

    Laser processing technologies were developed for the purpose of maintenance of FBR's heat exchanger units. Ultrashort laser processing fabricated fiber Bragg grating sensor for seismic monitoring. Fiber laser welding with a newly developed robot system repair cracks on inner wall of heat exchanger tubes. Safety operation of the heat exchanger units will be improved by the advanced laser processing technologies. These technologies are expected to be applied to the maintenance for the next generation FBRs. (author)

  12. The Plasma Hearth Process Technology Development Project

    International Nuclear Information System (INIS)

    Geimer, R.; Batdorf, J.; Wolfe, P.

    1993-01-01

    The US DOE Office of Technology Development (OTD) is currently evaluating the Plasma Hearth Process (PHP) for potential treatment of several DOE waste types. The PHP is a high-temperature vitrification process that has potential application for a wide range of mixed waste types in both the low-level and transuranic mixed waste categories. The PHP is being tested under both the OTD Mixed Waste Integrated Program and the Buried Waste Integrated Demonstration. Initial testing has been completed on several different surrogate waste forms that are representative of some of the DOE mixed waste streams. Destruction of organic material exceeds that of conventional incineration technologies. The vitrified residual has leaching characteristics comparable to glass formulations produced in the high-level waste program. The first phase of the PHP demonstration project has been successfully completed, and the project is currently beginning a comprehensive second phase of development and testing

  13. Process Predictors of the Outcome of Group Drug Counseling

    Science.gov (United States)

    Crits-Christoph, Paul; Johnson, Jennifer E.; Connolly Gibbons, Mary Beth; Gallop, Robert

    2013-01-01

    Objective: This study examined the relation of process variables to the outcome of group drug counseling, a commonly used community treatment, for cocaine dependence. Method: Videotaped group drug counseling sessions from 440 adult patients (23% female, 41% minority) were rated for member alliance, group cohesion, participation, self-disclosure,…

  14. Development and application of a probabilistic evaluation method for advanced process technologies

    Energy Technology Data Exchange (ETDEWEB)

    Frey, H.C.; Rubin, E.S.

    1991-04-01

    The objective of this work is to develop and apply a method for research planning for advanced process technologies. To satisfy requirements for research planning, it is necessary to: (1) identify robust solutions to process design questions in the face of uncertainty to eliminate inferior design options; (2) identify key problem areas in a technology that should be the focus of further research to reduce the risk of technology failure; (3) compare competing technologies on a consistent basis to determine the risks associated with adopting a new technology; and (4) evaluate the effects that additional research might have on comparisons with conventional technology. An important class of process technologies are electric power plants. In particular, advanced clean coal technologies are expected to play a key role in the energy and environmental future of the US, as well as in other countries. Research planning for advanced clean coal technology development is an important part of energy and environmental policy. Thus, the research planning method developed here is applied to case studies focusing on a specific clean coal technology. The purpose of the case studies is both to demonstrate the research planning method and to obtain technology-specific conclusions regarding research strategies.

  15. Study on mineral processing technology for abrasive minerals

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Seong Woong; Yang, Jung Il; Hwang, Seon Kook; Choi, Yeon Ho; Cho, Ken Joon; Shin, Hee Young [Korea Inst. of Geology Mining and Materials, Taejon (Korea, Republic of)

    1995-12-01

    Buyeo Materials in Buyeogun, Choongnam province is a company producing feldspar concentrate, but does not yet utilize the garnet as abrasive material and other useful heavy minerals wasted out from the process of feldspar ore. The purpose of this study is to develop technology and process for the recovery of garnet concentrate. As results, the garnet is defined as ferro manganese garnet. The optimum process for recovery of garnet concentrate is to primarily concentrate heavy minerals from tailings of feldspar processing. And secondly the heavy minerals concentrated is dried and separated garnet concentrate from other heavy minerals. At this time, the garnet concentrate is yield by 0.176%wt from 0.31%wt of heavy minerals in head ore. The garnet concentrate contains 33.35% SiO{sub 2}, 12.20% Al{sub 2}O{sub 3}, 28.47% Fe{sub 2}O{sub 3}, 11.96% MnO. As for utilization of abrasive materials, a fundamental data was established on technology of grinding and classification. (author). 13 refs., 47 figs., 24 tabs.

  16. 40 CFR 63.487 - Batch front-end process vents-reference control technology.

    Science.gov (United States)

    2010-07-01

    ... § 63.487 Batch front-end process vents—reference control technology. (a) Batch front-end process vents... 40 Protection of Environment 9 2010-07-01 2010-07-01 false Batch front-end process vents-reference control technology. 63.487 Section 63.487 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY...

  17. The impact of intra-group processes on family business success

    Directory of Open Access Journals (Sweden)

    Shelley Farrington

    2011-03-01

    Full Text Available Interpersonal ties and intra-group processes influence the ability of people to work together effectively as teams. In the context of the family business team, intra-group processes describe the interaction that takes place between the family members and the resultant psychological climate that exists in the family business. Given the increasing number of sibling teams among family businesses, as well as the challenges they face as team members, this study focuses on sibling teams in family businesses and the intra-group processes that influence their success. Consequently, the primary objective of this study is to identify and empirically test the intra-group processes influencing the effectiveness of sibling partnerships. A structured questionnaire was distributed to 1323 sibling partner respondents. The respondents were identified by means of a convenience snowball sampling technique, and the data were collected from 371 usable questionnaires. The empirical findings of this study show that the sibling relationship and fairness are important determinants of sibling team effectiveness.

  18. Virtual Reality in Presentation of the Underground Mine Technological Process

    Directory of Open Access Journals (Sweden)

    Kodym Oldøich

    2003-09-01

    Full Text Available Virtual Reality in Presentation of the Underground Mine Technological Process focuses on methods of presentation of an underground mine technologies in intranet technology. It shows usage of platform independent VRML client for presentation of static and dynamic information about technological process. Bi-directional interactions between client and process information database are solved.Based on analysis of technological process of underground mine a database structure was designed. It is skeleton for storing all information about any underground mine. This skeleton can be modified in any direction. Data in this "static model" of underground mine can be applied for visualization in VRML environment. In this way it is possible to simplify and unify a user's front-end for all kinds of tasks.All designed scenes can be interactively displayed in full view or in any detail view, so that a user is able to recognize every important part of installed equipment, its stage, technical parameters and other information. If manufacturers of mining equipment will supply VRML model of their real products everybody would be able to place it into VRML scene and learn everything about it.This work explores and tries to enlighten some of the areas and available approaches compliant with VRML 97 specification of modifying static scene by its browser. Concepts of animation pipeline, inside and outside scripting in scene displayed and authoring of VRML targeted geometry are discussed including database connectivity.

  19. School Finance and Technology: A Case Study Using Grid and Group Theory to Explore the Connections

    Science.gov (United States)

    Case, Stephoni; Harris, Edward L.

    2014-01-01

    Using grid and group theory (Douglas 1982, 2011), the study described in this article examined the intersections of technology and school finance in four schools located in districts differing in size, wealth, and commitment to technology integration. In grid and group theory, grid refers to the degree to which policies and role prescriptions…

  20. Group Work in Schools: A Process Consultation Approach

    Science.gov (United States)

    Farouk, Shaalan

    2004-01-01

    This paper provides a description of how an educational psychologist can consult with groups of teachers mostly in relation to their work with pupils who display emotional behavioural difficulties. The paper includes a review of the work on group consultation in schools, followed by a description of process consultation (Schein, 1988 ) and how the…

  1. Application of reverse osmosis membrane technology for liquid radioactive waste processing

    International Nuclear Information System (INIS)

    Zhao Juan

    2010-01-01

    Liquid radioactive waste (LRW) processing should bear an acceptable level of residual radioactivity for discharge and meet the request of energy saving and waste minimization. Reverse osmosis (RO) membrane technology has been developed as a novel process for LRW processing. Five basic operating parameters of flux, recovery factor, rejection factor, concentration factor and decontamination factor were described, and the latter two parameters were the most important. Concentration factor and decontamination factor should be as high as possible and simultaneously the operating cost for membrane filtration should be low. Technical design considerations for membrane process were discussed and optimized from the aspects of pretreatment, membrane module choice and arrangement and membrane clear out. Application and investigation of RO membrane technology for LRW processing were introduced and it should be noted that the RO membrane technology has been introduced into overseas nuclear power plants for LRW processing and interiorly in the stage of investigation. (authors)

  2. Involving patients in health technology funding decisions: stakeholder perspectives on processes used in Australia.

    Science.gov (United States)

    Lopes, Edilene; Street, Jackie; Carter, Drew; Merlin, Tracy

    2016-04-01

    Governments use a variety of processes to incorporate public perspectives into policymaking, but few studies have evaluated these processes from participants' point of view. The objective of this study was twofold: to understand the perspectives of selected stakeholders with regard to involvement processes used by Australian Advisory Committees to engage the public and patients; and to identify barriers and facilitators to participation. Twelve semi-structured interviews were conducted with representatives of different stakeholder groups involved in health technology funding decisions in Australia. Data were collected and analysed using a theoretical framework created by Rowe and Frewer, but adapted to more fully acknowledge issues of power and influence. Stakeholder groups disagreed as to what constitutes effective and inclusive patient involvement. Barriers reported by interviewees included poor communication, a lack of transparency, unworkable deadlines, and inadequate representativeness. Also described were problems associated with defining the task for patients and their advocates and with the timing of patient input in the decision-making process. Interviewees suggested that patient participation could be improved by increasing the number of patient organizations engaged in processes and including those organizations at different stages of decision making, especially earlier. The different evaluations made by stakeholder groups appear to be underpinned by contrasting conceptions of public involvement and its value, in line with Graham Martin's work which distinguishes between 'technocratic' and 'democratic' public involvement. Understanding stakeholders' perspectives and the contrasting conceptions of public involvement could foster future agreement on which processes should be used to involve the public in decision making. © 2015 John Wiley & Sons Ltd.

  3. Investigation of food irradiation technology for application to plant quarantine. Working group report of food irradiation technology

    Energy Technology Data Exchange (ETDEWEB)

    Sunaga, Hiromi; Ito, Hitoshi; Takatani, Yasuyuki; Takizawa, Haruki; Yotsumoto, Keiichi; Tanaka, Ryuichi [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment; Hirano, Tsuyoshi; Tokunaga, Okihiro

    1999-06-01

    The commercialization of food irradiation in Japan was started in 1973 for the sprout inhibition of potatoes as the first successful food irradiation facility in the world. Since approval of potato irradiation, no items has been commercialized in Japan. However, international agreement for phase out of methyl bromide after 2005 and increasing incidences of foodborn diseases such as by Escherichia coli O157:H7 are forcing to have interesting to food irradiation. Takasaki Radiation Chemistry Research Establishment has long experiences on research of irradiation effect and engineering of food irradiation in Japan. From these back ground, working group of food irradiation was organized at August 1997 by some members of Department of Radiation Research for Environment and Resources and Advanced Technology Center for supporting technically on commercialization of food irradiation. This report presents the result of discussion in working group on generalization up to date researches of food irradiation, application fields and items, technical problems and future prospects of this technology in Japan. (author)

  4. Older Adults Perceptions of Technology and Barriers to Interacting with Tablet Computers: A Focus Group Study.

    Science.gov (United States)

    Vaportzis, Eleftheria; Clausen, Maria Giatsi; Gow, Alan J

    2017-10-04

    New technologies provide opportunities for the delivery of broad, flexible interventions with older adults. Focus groups were conducted to: (1) understand older adults' familiarity with, and barriers to, interacting with new technologies and tablets; and (2) utilize user-engagement in refining an intervention protocol. Eighteen older adults (65-76 years old; 83.3% female) who were novice tablet users participated in discussions about their perceptions of and barriers to interacting with tablets. We conducted three separate focus groups and used a generic qualitative design applying thematic analysis to analyse the data. The focus groups explored attitudes toward tablets and technology in general. We also explored the perceived advantages and disadvantages of using tablets, familiarity with, and barriers to interacting with tablets. In two of the focus groups, participants had previous computing experience (e.g., desktop), while in the other, participants had no previous computing experience. None of the participants had any previous experience with tablet computers. The themes that emerged were related to barriers (i.e., lack of instructions and guidance, lack of knowledge and confidence, health-related barriers, cost); disadvantages and concerns (i.e., too much and too complex technology, feelings of inadequacy, and comparison with younger generations, lack of social interaction and communication, negative features of tablets); advantages (i.e., positive features of tablets, accessing information, willingness to adopt technology); and skepticism about using tablets and technology in general. After brief exposure to tablets, participants emphasized the likelihood of using a tablet in the future. Our findings suggest that most of our participants were eager to adopt new technology and willing to learn using a tablet. However, they voiced apprehension about lack of, or lack of clarity in, instructions and support. Understanding older adults' perceptions of technology

  5. New technological developments in gas processing

    International Nuclear Information System (INIS)

    Draper, R.C.

    1996-01-01

    The changes that the natural gas industry has undergone over the last few years was discussed. Low natural gas prices forced companies to react to their high reserves replacements costs. They were forced to downsize and undergo major restructuring because they were losing money due to high operating costs; the future for natural gas prices looked pessimistic. The changes have led to a new kind of business practice, namely 'partnering with third party processor', mid-stream companies known as aggregators, to build and operate facilities as part of a move towards cost effective improvements for gas producers. Besides reducing capital and operating costs, the producer under this arrangements can dedicate his capital to finding new gas which is the basis of growth. Recent technological changes in the gas processing industry were also touched upon. These included enhanced technologies such as increased liquid hydrocarbon recovery, segregation of C3+ and C5+, installation of gas separation membrane systems, small sulphur plants, acid gas injection and selective or mixed solvents. Details of some of these technologies were described. 2 refs., 2 figs

  6. 76 FR 28499 - Data Fortress Systems Group Ltd., Digital Youth Network Corp., Fantom Technologies, Inc., and KIK...

    Science.gov (United States)

    2011-05-17

    ... SECURITIES AND EXCHANGE COMMISSION [File No. 500-1] Data Fortress Systems Group Ltd., Digital Youth Network Corp., Fantom Technologies, Inc., and KIK Technology International, Inc., Order of... of current and accurate information concerning the securities of Data Fortress Systems Group Ltd...

  7. Psychological Mindedness and Psychotherapy Process in Short-Term Group Therapy.

    Science.gov (United States)

    Kealy, David; Sierra-Hernandez, Carlos A; Piper, William E; Joyce, Anthony S; Weideman, Rene; Ogrodniczuk, John S

    2017-01-01

    Psychological mindedness is regarded as an important patient characteristic that can influence the course of psychotherapy. The purpose of this study was to investigate the relationship between patients' capacity for psychological mindedness and aspects of the group psychotherapy process as experienced and rated by therapists and other group members. Participants were 110 patients who completed two forms of short-term group therapy for the treatment of complicated grief. Psychological mindedness was assessed at pretreatment by external raters using a video-interview procedure. Group therapists assessed patients' therapeutic work and therapeutic alliance following each group therapy session. Therapists and other group members rated each patient's expression of emotion and provided appraisals of their cohesion to each patient throughout the course of therapy. Psychological mindedness was found to be positively associated with several group process variables as rated by the therapist and other group members.

  8. Technology development for DUPIC process safeguards

    Energy Technology Data Exchange (ETDEWEB)

    Hong, J S; Kim, H D; Lee, Y G; Kang, H Y; Cha, H R; Byeon, K H; Park, Y S; Choi, H N [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    As the strategy for DUPIC(Direct Use of spent PWR fuel In CANDU reactor) process safeguards, the neutron detection method was introduced to account for nuclear materials in the whole DUPIC process by selectively measuring spontaneous fission neutron signals from {sup 244}Cm. DSNC was designed and manufactured to measure the account of curium in the fuel bundle and associated process samples in the DUPIC fuel cycle. The MCNP code had response profile along the length of the CANDU type fuel bundle. It was found experimentally that the output signal variation due to the overall azimuthal asymmetry was less than 0.2%. The longitudinal detection efficiency distribution at every position including both ends was kept less than 2% from the average value. Spent fuel standards almost similar to DUPIC process material were fabricated from a single spent PWR fuel rod and the performance verification of the DSNC is in progress under very high radiation environment. The results of this test will be eventually benchmarked with other sources such as code simulation, chemical analysis and gamma analysis. COREMAS-DUPIC has been developed for the accountability management of nuclear materials treated by DUPIC facility. This system is able to track the controlled nuclear materials maintaining the material inventory in near-real time and to generate the required material accountability records and reports. Concerning the containment and surveillance technology, a focused R and D effort is given to the development of unattended continuous monitoring system. Currently, the component technologies of radiation monitoring and surveillance have been established, and continued R and D efforts are given to the integration of the components into automatic safeguards diagnostics. (author).

  9. Dynamic Modeling of Process Technologies for Closed-Loop Water Recovery Systems

    Science.gov (United States)

    Allada, Rama Kumar; Lange, Kevin; Anderson, Molly

    2011-01-01

    Detailed chemical process simulations are a useful tool in designing and optimizing complex systems and architectures for human life support. Dynamic and steady-state models of these systems help contrast the interactions of various operating parameters and hardware designs, which become extremely useful in trade-study analyses. NASA s Exploration Life Support technology development project recently made use of such models to compliment a series of tests on different waste water distillation systems. This paper presents dynamic simulations of chemical process for primary processor technologies including: the Cascade Distillation System (CDS), the Vapor Compression Distillation (VCD) system, the Wiped-Film Rotating Disk (WFRD), and post-distillation water polishing processes such as the Volatiles Removal Assembly (VRA) that were developed using the Aspen Custom Modeler and Aspen Plus process simulation tools. The results expand upon previous work for water recovery technology models and emphasize dynamic process modeling and results. The paper discusses system design, modeling details, and model results for each technology and presents some comparisons between the model results and available test data. Following these initial comparisons, some general conclusions and forward work are discussed.

  10. Dynamic Modeling of Process Technologies for Closed-Loop Water Recovery Systems

    Science.gov (United States)

    Allada, Rama Kumar; Lange, Kevin E.; Anderson, Molly S.

    2012-01-01

    Detailed chemical process simulations are a useful tool in designing and optimizing complex systems and architectures for human life support. Dynamic and steady-state models of these systems help contrast the interactions of various operating parameters and hardware designs, which become extremely useful in trade-study analyses. NASA s Exploration Life Support technology development project recently made use of such models to compliment a series of tests on different waste water distillation systems. This paper presents dynamic simulations of chemical process for primary processor technologies including: the Cascade Distillation System (CDS), the Vapor Compression Distillation (VCD) system, the Wiped-Film Rotating Disk (WFRD), and post-distillation water polishing processes such as the Volatiles Removal Assembly (VRA). These dynamic models were developed using the Aspen Custom Modeler (Registered TradeMark) and Aspen Plus(Registered TradeMark) process simulation tools. The results expand upon previous work for water recovery technology models and emphasize dynamic process modeling and results. The paper discusses system design, modeling details, and model results for each technology and presents some comparisons between the model results and available test data. Following these initial comparisons, some general conclusions and forward work are discussed.

  11. Impact of Collaborative Tools Utilization on Group Performance in University Students

    Science.gov (United States)

    Hidayanto, Achmad Nizar; Setyady, Stella Tantra

    2014-01-01

    Nowadays the growth of technology influences the changes in group collaboration's process either for the professional or for the students. The requirement of interaction in group collaboration while doing task forces the students to schedule their meeting in order to finish the task given. So the technology starts to influence the process of group…

  12. Cognitive load privileges memory-based over data-driven processing, not group-level over person-level processing.

    Science.gov (United States)

    Skorich, Daniel P; Mavor, Kenneth I

    2013-09-01

    In the current paper, we argue that categorization and individuation, as traditionally discussed and as experimentally operationalized, are defined in terms of two confounded underlying dimensions: a person/group dimension and a memory-based/data-driven dimension. In a series of three experiments, we unconfound these dimensions and impose a cognitive load. Across the three experiments, two with laboratory-created targets and one with participants' friends as the target, we demonstrate that cognitive load privileges memory-based over data-driven processing, not group- over person-level processing. We discuss the results in terms of their implications for conceptualizations of the categorization/individuation distinction, for the equivalence of person and group processes, for the ultimate 'purpose' and meaningfulness of group-based perception and, fundamentally, for the process of categorization, broadly defined. © 2012 The British Psychological Society.

  13. Mobile Technology and CAD Technology Integration in Teaching Architectural Design Process for Producing Creative Product

    Science.gov (United States)

    Bin Hassan, Isham Shah; Ismail, Mohd Arif; Mustafa, Ramlee

    2011-01-01

    The purpose of this research is to examine the effect of integrating the mobile and CAD technology on teaching architectural design process for Malaysian polytechnic architectural students in producing a creative product. The website is set up based on Caroll's minimal theory, while mobile and CAD technology integration is based on Brown and…

  14. Elaborating and Making Rational Decisions in Designing Process Operations of a Group of Holes

    Directory of Open Access Journals (Sweden)

    A. I. Solov'ev

    2015-01-01

    Full Text Available To manufacture engineering products are used expensive multi-purpose CNC machines with five operated coordinates, allowing a single setup of the work-piece to process a group of holes in the housing part from all sides.Because of the haphazard arrangement of a large number of holes available in the space it is difficult to ensure the effective use of these machines.Onsite operational research, conducted on six CNC GS-500 models, involved actual observations and time measurements during 15 working shifts, processing of observation results, and calculations of equipment performance parameters such as machine utilization rate, arrangement and changeover time loss, and real output. Time loss (downtime because of arrangement amounted 44.52%, while that of due to changeover was 20.1% of the total downtime value. These downtimes hide irrational design solutions concerning the engineering process and a large number of changeovers for a new operation to process a group of the specified work-pieces.It is found that to reduce the changeover downtimes it is necessary to increase, first of all, the average number of single tool travels per one setup in generalized characteristics of a group of the work-pieces. That means to increase a changeover concentration of processing within a single operation, as well as to choose rational values for machining a batch of the work-pieces. Under study conditions, it is, at least. 20-50 pieces.To implement a development of the principle of increasing concentration of the processing changeovers it is advised to apply the developed mathematical models, algorithms, and programs that can be used, as modules or their parts, in computer-aided design (CAD systems. This allows a 3-5 times reduction in time to find the rational option of the work-piece position on the machine work surface when developing a process technology, a review and an analysis of more than the usual number of such possible options. It also improves the

  15. Planning and leading of the technological processes by mechanical working with microsoft project

    Science.gov (United States)

    Nae, I.; Grigore, N.

    2016-08-01

    Nowadays, fabrication systems and methods are being modified; new processing technologies come up, flow sheets develop a minimum number of phases, the flexibility of the technologies grows up, new methods and instruments of monitoring and leading the processing operations also come up. The technological course (route, entry, scheme, guiding) referring to the series of the operation, putting and execution phases of a mark in order to obtain the final product from the blank is represented by a sequence of activities realized by a logic manner, on a well determined schedule, with a determined budget and resources. Also, a project can be defined as a series of specific activities, methodical structured which they aim to finish a specific objective, within a fixed schedule and budget. Within the homogeneity between the project and the technological course, this research is presenting the defining of the technological course of mechanical chip removing process using Microsoft Project. Under these circumstances, this research highlights the advantages of this method: the celerity using of other technological alternatives in order to pick the optimal process, the job scheduling being constrained by any kinds, the standardization of some processing technological operations.

  16. The Impact of Process Observers on Interpersonal Group Therapy

    Science.gov (United States)

    Hogan, Christopher; Harris, Rafael S.; Cassidy, Jennie M.

    2006-01-01

    The impact of including process observers (all master's-level trainees) and their notes on the outcome of interpersonal group therapy at a university counseling center was investigated. For a total of four groups, one method per group of delivering the notes to the participants was designated and assessed for perceived differences. A self-report…

  17. Integrating anammox with the autotrophic denitrification process via electrochemistry technology.

    Science.gov (United States)

    Qiao, Sen; Yin, Xin; Zhou, Jiti; Wei, Li'e; Zhong, Jiayou

    2018-03-01

    In this study, an autotrophic denitrification process was successfully coupled with anammox to remove the nitrate by-product via electrochemical technology. When the voltage applied to the combined electrode reactor was 1.5 V, the electrode reaction removed nitrate by using the autotrophic denitrification biomass without affecting the anammox biomass. The nitrogen removal efficiency of the combined electrode reactor reached 99.1% without detectable nitrate at an influent NO 2 - -N/NH 4 + -N ratio of 1.5. On day 223, using the model calculations based on reaction equations, 19.7% of total nitrogen was removed via the autotrophic denitrification process, while the majority of nitrogen removal (approximately 79.4%) was attributed to the anammox reaction. Small variations of the population numbers and community structure of artificial bacteria according to electron microscopy predicted that the anammox and autotrophic denitrifying biomasses could coexist in the electrode reactor. Then, 16S rRNA analysis determined that the anammox biomass group was always dominant in mixed flora during continuous cultivation. Copyright © 2017 Elsevier Ltd. All rights reserved.

  18. PRINCIPLES OF RE-ENGINEERING METHODOLOGY FOR TECHNOLOGICAL PROCESS IN PROCESSING OF RAW MATERIAL COMPONENTS WHILE PRODUCING CEMENT AND SILICATE PRODUCTS

    Directory of Open Access Journals (Sweden)

    I. A. Busel

    2014-01-01

    Full Text Available Grinding process is characterized by high energy consumption and low productivity. Nowadays efficiency of the ball mills applied for grinding is rather low. Only 3-6 % of the supplied power energy is used for material grinding. The rest part of the energy disappears in the form of heat, vibration and noise. So matter concerning reduction of energy consumption is of great importance.Improvement of efficiency and quality of technological process in grinding of raw material components while producing construction materials is considered as one of priority-oriented targets of power- and resource saving in construction industry with the purpose to reduce energy consumption for grinding. Grinding efficiency at operating enterprises is reasonable to improve by modernization of the equipment and existing technological, management and other processes which are related to grinding of mineral raw material. In order to reduce grinding power consumption it is necessary to carry out a complex re-engineering of technological process in grinding of various materials which is based on usage of new modifications of grinding bodies, physical and chemical grinding aids, modern information technologies and industrial automation equipment. Application of modern information technologies and industrial automation equipment makes it possible to execute the grinding process with maximum achievable productivity for existing capacity due to automatic control and consideration of continuous changes in technological parameters. In addition to this such approach gives an opportunity to control processes in real time by immediate adjustments of technological equipment operational modes.The paper considers an approach to the development of re-engineering methodology for technological process in grinding of raw material components while producing construction materials. The present state of technological grinding process is presented in the paper. The paper points out the

  19. A review on the process technology for Mo-99 production

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jin Hoh; Yoo, Jae Hyung; Jung, Won Myung; Lee, Kyoo Il; Woo, Moon Sik; Hwang, Doo Sung; Kim, Yun Koo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-07-01

    Tc-99m is most frequently used in nuclear medical diagnostics because of its favourable nuclear properties and reasonable prices, and the demand of Tc-99m, is on the increase recently. Mo-99, the parent radionuclide of Tc-99m, is the only source of Tc-99m. This review described overall aspects of process technologies for Mo-99 production. Firstly, the chemical, physical and radioactive properties of Tc-99m, Mo-99 were examined to understand Mo-99 separation process. Also, the technology for Mo-99 production with both the neutron capture and nuclear fission method were examined. But the neutron capture method was scarcely used for large production of Mo-99 because of its low specific activity and high production cost. This review also described mainly process technologies in the nuclear fission method, fabrication and condition for irradiation of targets, transport and dissolution of targets irradiated, separation and purification of Mo-99, etc. Especially, for Mo-99 separation and purification process, the characteristics, merits and demerits of various processes, which have been developed in a few countries, were examined and analyzed. 30 figs., 16 tabs., 60 refs. (Author).

  20. Par-baked Bread Technology: Formulation and Process Studies to Improve Quality.

    Science.gov (United States)

    Almeida, Eveline Lopes; Steel, Caroline Joy; Chang, Yoon Kil

    2016-01-01

    Extending the shelf-life of bakery products has been an important requirement resulting from the mechanization of this industry and the need to increase the distance for the distribution of final products, caused by the increase in production and consumer demand. Technologies based on the interruption of the breadmaking process represent an alternative to overcome product staling and microbiological deterioration. The production of par-baked breads is one of these technologies. It consists of baking the bread in two stages, and due to the possibility of retarding the second stage, it can be said that the bread can always be offered fresh to the consumer. The technology inserts logistics as part of the production process and creates the "hot point" concept, these being the locations where the bread is finalized, such as in the consumers' homes or sales locations. In this work, a review of the papers published on this subject was carried out, and aspects related to both the formulation and the process were considered. This technology still faces a few challenges, such as solving bread quality problems that appear due to process modifications, and these will also be considered. The market for these breads has grown rapidly and the bakery industry searches innovations related to par-baked bread technology.

  1. Summary of Industry-Academia Collaboration Projects on Cluster Ion Beam Process Technology

    International Nuclear Information System (INIS)

    Yamada, Isao; Toyoda, Noriaki; Matsuo, Jiro

    2008-01-01

    Processes employing clusters of ions comprised of a few hundred to many thousand atoms are now being developed into a new field of ion beam technology. Cluster-surface collisions produce important non-linear effects which are being applied to shallow junction formation, to etching and smoothing of semiconductors, metals, and dielectrics, to assisted formation of thin films with nano-scale accuracy, and to other surface modification applications. In 2000, a four year R and D project for development of industrial technology began in Japan under funding from the New Energy and Industrial Technology Development Organization (NEDO). Subjects of the projects are in areas of equipment development, semiconductor surface processing, high accuracy surface processing and high-quality film formation. In 2002, another major cluster ion beam project which emphasized nano-technology applications has started under a contract from the Ministry of Economy and Technology for Industry (METI). This METI project involved development related to size-selected cluster ion beam equipment and processes, and development of GCIB processes for very high rate etching and for zero damage etching of magnetic materials and compound semiconductor materials. This paper describes summery of the results.

  2. Exploring novel food proteins and processing technologies

    NARCIS (Netherlands)

    Avila Ruiz, Geraldine

    2016-01-01

    Foods rich in protein are nowadays high in demand worldwide. To ensure a sustainable supply and a high quality of protein foods, novel food proteins and processing technologies need to be explored to understand whether they can be used for the development of high-quality protein foods. Therefore,

  3. Traditional fish processing: technology, quality development and evaluation

    International Nuclear Information System (INIS)

    Nketsia-Tabiri, J.

    1994-01-01

    Traditional fish processing technologies are important in the preservation and utilization of fish in many developing countries. These technologies as well as the quality of the products arc poorly defined and understood. This study therefore investigated the production, quality characteristics and utilization of traditional cured fish products using field surveys and laboratory techniques. It was found that simple traditional technologies for smoking, salting and drying are used to process fish in Ghana; infrastructural requirements for traditional fish processing have high local material input. The cured fish products have distinct sensory, physico-chemical properties and variable storage characteristics. Processors' perceptions of important quality attributes of cured fish products were linked to storage, marketing and other product delivery characteristics. Consumers' perceptions and expectations of desirable quality attributes however were found to he dependent upon the type of cured fish product and the food in which it is used. Cost was found to be the most important factor influencing the utilization of animal protein foods; other factors were nutritional quality, beliefs and food habits. Animal protein consumers showed a high preference for fish in general and cured fish products in particular. U sing central composite rotatable design for k = 3, representing salting time (0 - 24), drying temperature (40°C - 60°C) and drying time (6 - 20 hours), equations for predicting objective and subjective quality indices were developed. The critical salting time for attaining minimum moisture content were 20.5, 12 and 8.5 hours respectively for products dried at 40°C, 50°C and 60°C. At each salting time, the mean hardness score was dependent on the drying temperature and drying time whilst the mean colour and overall acceptability scores were influenced by drying temperature. It was found that the long salting time (24-72 hours) and drying time (5

  4. Survival of contact processes on the hierarchical group

    Czech Academy of Sciences Publication Activity Database

    Athreya, S.R.; Swart, Jan M.

    2010-01-01

    Roč. 147, č. 3 (2010), s. 529-563 ISSN 0178-8051 R&D Projects: GA ČR GA201/06/1323 Institutional research plan: CEZ:AV0Z10750506 Keywords : contact process * survival * hierarchical group * coupling * renormalization group Subject RIV: BA - General Mathematics Impact factor: 1.590, year: 2010 http://library.utia.cas.cz/separaty/2010/SI/swart-0342729.pdf

  5. Plasma Technologies of Solid Fuels Processing

    International Nuclear Information System (INIS)

    Karpenko, E.I.; Messerle, V.E.; Ustimenko, A.

    2003-01-01

    Use of fuel processing plasma technologies improves ecological and economical indexes of low-grade coal utilization at thermal power plants. This paper presents experimental plasma plant 70 k W of power and 11 kg per hour of coal productivity. On the base of material and heat balances integral indexes of the process of plasma gasification of Podmoskovny brown coal 48% of ash content were found. Synthesis gas with concentration 85.2% was got. Hydrogen concentration in the synthesis gas was higher than carbon monoxide one. Ratio H 2 :CO in synthesis gas was 1.4-1.5. It was shown that steam consumption and temperature of the process increase causes H 2 concentration and coal gasification degree increase. Fulfilled experiments and comparison of their result with theoretical investigations allowed creating pilot experimental plant for plasma processing of low-grade coals. The power of the pilot plant is 1000 k W and coal productivity is 300 kg/h. (author)

  6. Thermal food processing: new technologies and quality issues

    National Research Council Canada - National Science Library

    Sun, Da-Wen

    2012-01-01

    .... The editor of Thermal Food Processing: New Technologies and Quality Issues presents a comprehensive reference through authors that assist in meeting this challenge by explaining the latest developments and analyzing the latest trends...

  7. Choices of capture chromatography technology in antibody manufacturing processes.

    Science.gov (United States)

    DiLeo, Michael; Ley, Arthur; Nixon, Andrew E; Chen, Jie

    2017-11-15

    The capture process employed in monoclonal antibody downstream purification is not only the most critically impacted process by increased antibody titer resulting from optimized mammalian cell culture expression systems, but also the most important purification step in determining overall process throughput, product quality, and economics. Advances in separation technology for capturing antibodies from complex feedstocks have been one focus of downstream purification process innovation for past 10 years. In this study, we evaluated new generation chromatography resins used in the antibody capture process including Protein A, cation exchange, and mixed mode chromatography to address the benefits and unique challenges posed by each chromatography approach. Our results demonstrate the benefit of improved binding capacity of new generation Protein A resins, address the concern of high concentration surge caused aggregation when using new generation cation exchange resins with over 100mg/mL binding capacity, and highlight the potential of multimodal cation exchange resins for capture process design. The new landscape of capture chromatography technologies provides options to achieve overall downstream purification outcome with high product quality and process efficiency. Copyright © 2017 Elsevier B.V. All rights reserved.

  8. Technology Readiness Level Assessment Process as Applied to NASA Earth Science Missions

    Science.gov (United States)

    Leete, Stephen J.; Romero, Raul A.; Dempsey, James A.; Carey, John P.; Cline, Helmut P.; Lively, Carey F.

    2015-01-01

    Technology assessments of fourteen science instruments were conducted within NASA using the NASA Technology Readiness Level (TRL) Metric. The instruments were part of three NASA Earth Science Decadal Survey missions in pre-formulation. The Earth Systematic Missions Program (ESMP) Systems Engineering Working Group (SEWG), composed of members of three NASA Centers, provided a newly modified electronic workbook to be completed, with instructions. Each instrument development team performed an internal assessment of its technology status, prepared an overview of its instrument, and completed the workbook with the results of its assessment. A team from the ESMP SEWG met with each instrument team and provided feedback. The instrument teams then reported through the Program Scientist for their respective missions to NASA's Earth Science Division (ESD) on technology readiness, taking the SEWG input into account. The instruments were found to have a range of TRL from 4 to 7. Lessons Learned are presented; however, due to the competition-sensitive nature of the assessments, the results for specific missions are not presented. The assessments were generally successful, and produced useful results for the agency. The SEWG team identified a number of potential improvements to the process. Particular focus was on ensuring traceability to guiding NASA documents, including the NASA Systems Engineering Handbook. The TRL Workbook has been substantially modified, and the revised workbook is described.

  9. Technology Roadmapping for Waste Management

    International Nuclear Information System (INIS)

    Bray, O.

    2003-01-01

    Technology roadmapping can be an effective strategic technology planning tool. This paper describes a process for customizing a generic technology roadmapping process. Starting with a generic process reduces the learning curve and speeds up the roadmap development. Similarly, starting with a generic domain model provides leverage across multiple applications or situations within the domain. A process that combines these two approaches facilitates identifying technology gaps and determining common core technologies that can be reused for multiple applications or situations within the domain. This paper describes both of these processes and how they can be integrated. A core team and a number of technology working groups develop the technology roadmap, which includes critical system requirements and targets, technology areas and metrics for each area, and identifies and evaluates possible technology alternatives to recommend the most appropriate ones to pursue. A generalized waste management model, generated by considering multiple situations or applications in terms of a generic waste management model, provides the domain requirements for the technology roadmapping process. Finally, the paper discusses lessons learns from a number of roadmapping projects

  10. Center Director Bridges visits Disability Awareness and Action working Group Technology Fair

    Science.gov (United States)

    1999-01-01

    Center Director Roy Bridges (standing, center) poses with members of the Disability Awareness and Action Working Group (DAAWG), which is holding the 1999 Technology Fair Oct. 20-21 at Kennedy Space Center. The Fair is highlighting vendors demonstrating mobility, hearing, vision and silent disability assistive technology. The purpose is to create an awareness of the types of technology currently available to assist people with various disabilities in the workplace. The theme is that of this year's National Disability Employment Awareness Month, 'Opening Doors to Ability.' Some of the vendors participating are Canine Companions for Independence, Goodwill Industries, Accessible Structures, Division of Blind Services, Space Coast Center for Independent Living, KSC Fitness Center and Delaware North Parks Services.

  11. Interpersonal processes in psychodynamic-interpersonal and cognitive behavioral group therapy: a systematic case study of two groups.

    Science.gov (United States)

    Tasca, Giorgio A; Foot, Meredith; Leite, Catherine; Maxwell, Hilary; Balfour, Louise; Bissada, Hany

    2011-09-01

    This mixed method systematic case study applied an interpersonal stage model of the therapeutic process to examine interpersonal processes among a highly adherent Group Psychodynamic-Interpersonal Psychotherapy (GPIP) therapist and a highly adherent Group Cognitive Behavioral Therapy (GCBT) therapist and their groups of binge eating disordered (BED) patients. This is the first case study to apply the interpersonal stage model of psychotherapy to compare GCBT and GPIP methods and the first to apply the model to group therapy. Early-, middle-, and late-stage transcribed video recordings of sequential interactions among therapists and patients in each of these two time-limited group therapies were analyzed with the Structural Analysis of Social Behavior (SASB). We also provide qualitative presentations of the transcripts from each stage as context for the quantitative analyses. BED patients in both groups achieved positive outcomes for binge eating and depression. Consistent with their treatment model, the GPIP therapist was more autonomy-giving, whereas the GCBT therapist was more controlling/directive. The GPIP therapist and her group had high levels of interpersonal complementary interaction sequences in the early stage followed by lower complementarity in the middle stage. The GCBT therapist and her group showed a high-low-high pattern of complementarity across the three stage of therapy. However, overall the GPIP group had higher levels complementarity than the GCBT group. This mixed method case study of group processes based on an interpersonal stage model of psychotherapy suggested specific therapist behaviors in each modality to maximize positive therapeutic interactions at each stage of group therapy. (c) 2011 APA, all rights reserved.

  12. Raman spectroscopy as a process analytical technology for pharmaceutical manufacturing and bioprocessing.

    Science.gov (United States)

    Esmonde-White, Karen A; Cuellar, Maryann; Uerpmann, Carsten; Lenain, Bruno; Lewis, Ian R

    2017-01-01

    Adoption of Quality by Design (QbD) principles, regulatory support of QbD, process analytical technology (PAT), and continuous manufacturing are major factors effecting new approaches to pharmaceutical manufacturing and bioprocessing. In this review, we highlight new technology developments, data analysis models, and applications of Raman spectroscopy, which have expanded the scope of Raman spectroscopy as a process analytical technology. Emerging technologies such as transmission and enhanced reflection Raman, and new approaches to using available technologies, expand the scope of Raman spectroscopy in pharmaceutical manufacturing, and now Raman spectroscopy is successfully integrated into real-time release testing, continuous manufacturing, and statistical process control. Since the last major review of Raman as a pharmaceutical PAT in 2010, many new Raman applications in bioprocessing have emerged. Exciting reports of in situ Raman spectroscopy in bioprocesses complement a growing scientific field of biological and biomedical Raman spectroscopy. Raman spectroscopy has made a positive impact as a process analytical and control tool for pharmaceutical manufacturing and bioprocessing, with demonstrated scientific and financial benefits throughout a product's lifecycle.

  13. Computer-Aided Modeling of Lipid Processing Technology

    DEFF Research Database (Denmark)

    Diaz Tovar, Carlos Axel

    2011-01-01

    increase along with growing interest in biofuels, the oleochemical industry faces in the upcoming years major challenges in terms of design and development of better products and more sustainable processes to make them. Computer-aided methods and tools for process synthesis, modeling and simulation...... are widely used for design, analysis, and optimization of processes in the chemical and petrochemical industries. These computer-aided tools have helped the chemical industry to evolve beyond commodities toward specialty chemicals and ‘consumer oriented chemicals based products’. Unfortunately...... to develop systematic computer-aided methods (property models) and tools (database) related to the prediction of the necessary physical properties suitable for design and analysis of processes employing lipid technologies. The methods and tools include: the development of a lipid-database (CAPEC...

  14. Application of radiation technology to sewage sludge processing: A review

    International Nuclear Information System (INIS)

    Wang Jianlong; Wang Jiazhuo

    2007-01-01

    Sewage sludge is unwanted residual solid wastes generated in wastewater treatment and its management is one of the most critical environmental issues of today. The treatment and disposal of sludge contribute a considerable proportion of the cost for running a wastewater treatment plant. The increasing amount of swage sludge and more and more legislative regulation of its disposal have stimulated the need for developing new technologies to process sewage sludge efficiently and economically. One ideal consideration is to recycle it after proper treatment. Radiation technology is regarded to be a promising alternative for its high efficiency in pathogen inactivation, organic pollutants oxidation, odor nuisance elimination and some other characteristics enhancement, which will facilitate the down-stream process of sludge treatment and disposal. Here we present a brief review of application of radiation technology on sewage sludge processing. Some basic information of two currently available irradiation systems and fundamental radiation chemistry are introduced firstly; then the world-wide application of this promising technology is reviewed; various effects of radiation on sludge is discussed in detail; and some concluding remarks are given and some future directions are also proposed

  15. The research and implementation of nuclear science and technology literature processing system based on smart client technology

    International Nuclear Information System (INIS)

    Zhang Shufeng

    2010-01-01

    Nuclear literature processing, namely cataloging, subject indexing and abstracting, is one of the highly specialized work, the quality and speed of literature processing have an important impact on the building of information resources in nuclear field. Firstly, the system's overall functionality was determined through the analysis of system requirements and the difficulties we meet with were pointed out. Secondly, the function of collaborative collecting and processing of nuclear literature is realized using smart client technology, achieve the purpose of providing a network platform to the literature processing specialists located in different places, therefore the out source of nuclear literature collecting and processing can be done. The article comprises three aspects: needs analysis and overall functional design, smart client technical presentations, Net platform based on smart client technology, nuclear literature processing system implementation. (author)

  16. Іnformation and communication technologies and their integration in the teaching process regulations

    Directory of Open Access Journals (Sweden)

    Oksana Chekan

    2017-03-01

    Full Text Available In the article the role and place of ICT in the educational process of modern preschoolinstitutions have been outlined. The ways of integration the ICT into the educational process ofpreschool institutions have been specified. Psychological and educational literature about ICTin upbringing process have been analyzed. Theoretical foundations of information andcommunication technologies and their integration into the educational process of preschoolshave been studied. The key goals and objectives of the problem have been determined. Theterms of use the ICT in the preschools have been grounded. The didactic capabilities ofmultimedia technologies have been verified. Computer games as a factor of child’sdevelopment have been determined.Key words: information technologies, information and communication technologies,information society, computer games, multimedia.

  17. Industrial Technologies Program Research Plan for Energy-Intensive Process Industries

    Energy Technology Data Exchange (ETDEWEB)

    Chapas, Richard B. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Colwell, Jeffery A. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2007-10-01

    In this plan, the Industrial Technologies Program (ITP) identifies the objectives of its cross-cutting strategy for conducting research in collaboration with industry and U.S. Department of Energy national laboratories to develop technologies that improve the efficiencies of energy-intensive process industries.

  18. Algorithm of automatic generation of technology process and process relations of automotive wiring harnesses

    Institute of Scientific and Technical Information of China (English)

    XU Benzhu; ZHU Jiman; LIU Xiaoping

    2012-01-01

    Identifying each process and their constraint relations from the complex wiring harness drawings quickly and accurately is the basis for formulating process routes. According to the knowledge of automotive wiring harness and the characteristics of wiring harness components, we established the model of wiring harness graph. Then we research the algorithm of identifying technology processes automatically, finally we describe the relationships between processes by introducing the constraint matrix, which is in or- der to lay a good foundation for harness process planning and production scheduling.

  19. Supporting intra-group social metacognitive activities with technology: A grammar learning game

    NARCIS (Netherlands)

    Molenaar, I.; Horvers, A.; Desain, P.W.M.

    2017-01-01

    This study investigates the effects of a technology enhanced collaborative grammar learning activity on students sentence parsing and formulation. These types of collaborative learning activities for grammar education are expected to support more effective learning. Yet, effective intra-group social

  20. Business process technology and the cloud : defining a business process cloud platform

    NARCIS (Netherlands)

    Stoitsev, V.; Grefen, P.W.P.J.

    2012-01-01

    The present state of the integration between business process technology and the Cloud is vague and not well defined. Industry research organizations predict that enterprises will be moving in both these directions in the next few years. This will increase the need for a clear integration between

  1. Technology Assessment of Laser-Assisted Materials Processing in Space

    Science.gov (United States)

    Nagarathnam, Karthik; Taminger, Karen M. B.

    2001-01-01

    Lasers are useful for performing operations such as joining, machining, built-up freeform fabrication, shock processing, and surface treatments. These attributes are attractive for the supportability of longer-term missions in space due to the multi-functionality of a single tool and the variety of materials that can be processed. However, current laser technology also has drawbacks for space-based applications, specifically size, power efficiency, lack of robustness, and problems processing highly reflective materials. A review of recent laser developments will be used to show how these issues may be reduced and indicate where further improvement is necessary to realize a laser-based materials processing capability in space. The broad utility of laser beams in synthesizing various classes of engineering materials will be illustrated using state-of-the art processing maps for select lightweight alloys typically found on spacecraft. With the advent of recent breakthroughs in diode-pumped solid-state lasers and fiber optic technologies, the potential to perform multiple processing techniques is increasing significantly. Lasers with suitable wavelengths and beam properties have tremendous potential for supporting future space missions to the moon, Mars and beyond.

  2. Landmarks in the historical development of twenty first century food processing technologies.

    Science.gov (United States)

    Misra, N N; Koubaa, Mohamed; Roohinejad, Shahin; Juliano, Pablo; Alpas, Hami; Inácio, Rita S; Saraiva, Jorge A; Barba, Francisco J

    2017-07-01

    Over a course of centuries, various food processing technologies have been explored and implemented to provide safe, fresher-tasting and nutritive food products. Among these technologies, application of emerging food processes (e.g., cold plasma, pressurized fluids, pulsed electric fields, ohmic heating, radiofrequency electric fields, ultrasonics and megasonics, high hydrostatic pressure, high pressure homogenization, hyperbaric storage, and negative pressure cavitation extraction) have attracted much attention in the past decades. This is because, compared to their conventional counterparts, novel food processes allow a significant reduction in the overall processing times with savings in energy consumption, while ensuring food safety, and ample benefits for the industry. Noteworthily, industry and university teams have made extensive efforts for the development of novel technologies, with sound scientific knowledge of their effects on different food materials. The main objective of this review is to provide a historical account of the extensive efforts and inventions in the field of emerging food processing technologies since their inception to present day. Copyright © 2017 Elsevier Ltd. All rights reserved.

  3. Ethanol production by extractive fermentation - Process development and technology transfer

    International Nuclear Information System (INIS)

    Daugulis, A.J.; Axford, D.B.; Mau, T.K.

    1991-01-01

    Extractive Fermentation is an ethanol processing strategy in which the operations of fermentation and product recovery are integrated and undertaken simultaneously in a single step. In this process an inert and biocompatible organic solvent is introduced directly into the fermentation vessel to selectively extract the ethanol product. The ethanol is readily recovered from the solvent at high concentration by means of flash vaporization, and the solvent is recycled in a closed loop back to the fermentor. This process is characterized by a high productivity (since ethanol does not build up to inhibitory levels), continuous operation, significantly reduced water consumption, and lower product recovery costs. The technical advantages of this processing strategy have been extensively demonstrated by means of a continuous, fully integrated and computer-controlled Process Demonstration Unit in the authors' laboratory. Numerous features of this technology have been protected by US patent. A thorough economic comparison of Extractive Fermentation relative to modern ethanol technology (continuous with cell recycle) has been completed for both new plants and retrofitting of existing facilities for a capacity of 100 million liters of ethanol per year. Substantial cost savings are possible with Extractive Fermentation ranging, depending on the process configuration, from 5 cents to 16 cents per liter. Activities are under way to transfer this proprietary technology to the private sector

  4. When high achievers and low achievers work in the same group: the roles of group heterogeneity and processes in project-based learning.

    Science.gov (United States)

    Cheng, Rebecca Wing-yi; Lam, Shui-fong; Chan, Joanne Chung-yan

    2008-06-01

    There has been an ongoing debate about the inconsistent effects of heterogeneous ability grouping on students in small group work such as project-based learning. The present research investigated the roles of group heterogeneity and processes in project-based learning. At the student level, we examined the interaction effect between students' within-group achievement and group processes on their self- and collective efficacy. At the group level, we examined how group heterogeneity was associated with the average self- and collective efficacy reported by the groups. The participants were 1,921 Hong Kong secondary students in 367 project-based learning groups. Student achievement was determined by school examination marks. Group processes, self-efficacy and collective efficacy were measured by a student-report questionnaire. Hierarchical linear modelling was used to analyse the nested data. When individual students in each group were taken as the unit of analysis, results indicated an interaction effect of group processes and students' within-group achievement on the discrepancy between collective- and self-efficacy. When compared with low achievers, high achievers reported lower collective efficacy than self-efficacy when group processes were of low quality. However, both low and high achievers reported higher collective efficacy than self-efficacy when group processes were of high quality. With 367 groups taken as the unit of analysis, the results showed that group heterogeneity, group gender composition and group size were not related to the discrepancy between collective- and self-efficacy reported by the students. Group heterogeneity was not a determinant factor in students' learning efficacy. Instead, the quality of group processes played a pivotal role because both high and low achievers were able to benefit when group processes were of high quality.

  5. Advisory group meeting on new trends and developments in radiation technology

    International Nuclear Information System (INIS)

    1993-02-01

    High energy, ionizing radiation (gamma and electron beams) has been used by industry for many years and for different applications. Well established applications include: industrial sterilization of health care products (medical products and medicinals), radiation modification of plastics (crosslinking of wire and cable insulation, heat shrinkable materials, etc.) and radiation curing of adhesives and coatings on different substrates. The main purpose of the Advisory Group Meeting was to provide a forum for an exchange of information about the new developments in radiation technology, to review the status of these developments and to discuss potential for commercial applications. A further objective was to discuss the role of the International Atomic Energy Agency in promoting new technologies, research and transfer of technology to developing countries. The meeting was expected to prepare recommendations to the Agency for future activities and programmes in this field. Refs, figs and tabs

  6. Improving drug manufacturing with process analytical technology.

    Science.gov (United States)

    Rodrigues, Licinia O; Alves, Teresa P; Cardoso, Joaquim P; Menezes, José C

    2006-01-01

    Within the process analytical technology (PAT) framework, as presented in the US Food and Drug Administration guidelines, the aim is to design, develop and operate processes consistently to ensure a pre-defined level of quality at the end of the manufacturing process. Three PAT implementation scenarios can be envisaged. Firstly, PAT could be used in its most modest version (in an almost non-PAT manner) to simply replace an existing quality control protocol (eg, using near-infrared spectroscopy for an in-process quality control, such as moisture content). Secondly, the use of in-process monitoring and process analysis could be integrated to enhance process understanding and operation for an existing industrial process. Thirdly, PAT could be used extensively and exclusively throughout development, scale-up and full-scale production of a new product and process. Although the first type of implementations are well known, reports of the second and third types remain scarce. Herein, results obtained from PAT implementations of the second and third types are described for two industrial processes for preparing bulk active pharmaceutical ingredients, demonstrating the benefits in terms of increased process understanding and process control.

  7. Process and technological wastes compaction through a fluidized bed incineration process

    International Nuclear Information System (INIS)

    Guiroy, J.J.

    1993-01-01

    The various fluidized bed systems (dense or circulating) are reviewed and the advantages of the circulation fluidized bed are highlighted (excellent combustion performance, clean combustion, large operating range, poly-functionality with regards to waste type, ...). Applications to contaminated graphite (with the problem of ash management) and to plant process wastes (ion exchangers, technological wastes, aqueous effluents); study of the neutralization and chlorine emission

  8. Fundamentals of electroheat electrical technologies for process heating

    CERN Document Server

    Lupi, Sergio

    2017-01-01

    This book provides a comprehensive overview of the main electrical technologies for process heating, which tend to be treated separately in specialized books. Individual chapters focus on heat transfer, electromagnetic fields in electro-technologies, arc furnaces, resistance furnaces, direct resistance heating, induction heating, and high-frequency and microwave heating. The authors highlight those topics of greatest relevance to a wide-ranging teaching program, and at the same time offer a detailed review of the main applications of the various technologies. The content represents a synthesis of the extensive knowledge and experience that the authors have accumulated while researching and teaching at the University of Padua’s Engineering Faculty. This text on industrial electroheating technologies is a valuable resource not only for students of industrial, electrical, chemical, and material science engineering, but also for engineers, technicians and others involved in the application of electroheating and...

  9. Reflection Positive Stochastic Processes Indexed by Lie Groups

    Science.gov (United States)

    Jorgensen, Palle E. T.; Neeb, Karl-Hermann; Ólafsson, Gestur

    2016-06-01

    Reflection positivity originates from one of the Osterwalder-Schrader axioms for constructive quantum field theory. It serves as a bridge between euclidean and relativistic quantum field theory. In mathematics, more specifically, in representation theory, it is related to the Cartan duality of symmetric Lie groups (Lie groups with an involution) and results in a transformation of a unitary representation of a symmetric Lie group to a unitary representation of its Cartan dual. In this article we continue our investigation of representation theoretic aspects of reflection positivity by discussing reflection positive Markov processes indexed by Lie groups, measures on path spaces, and invariant gaussian measures in spaces of distribution vectors. This provides new constructions of reflection positive unitary representations.

  10. Managing processes and information technology in mergers - the integration of finance processes and systems

    OpenAIRE

    Pedain, Christoph

    2003-01-01

    Many companies use mergers to achieve their growth goals or target technology position. To realise synergies that justify the merger transaction, an integration of the merged companies is often necessary. Such integartion takes place across company business areas (such as finance or sales) and across the layers of management consideration, which are strategy, human resources, organisation, processes, and information technology. In merger integration techniques, there is a significant gap ...

  11. Power and Vision: Group-Process Models Evolving from Social-Change Movements.

    Science.gov (United States)

    Morrow, Susan L.; Hawxhurst, Donna M.

    1988-01-01

    Explores evolution of group process in social change movements, including the evolution of the new left, the cooperative movement,and the women's liberation movement. Proposes a group-process model that encourages people to share power and live their visions. (Author/NB)

  12. The process of selecting technology development projects: a practical framework

    NARCIS (Netherlands)

    Herps, Joost M.J.; van Mal, Herman H.; Halman, Johannes I.M.; Martens, Jack H.M.; Borsboom, Ron H.M.

    2003-01-01

    In this article a practical framework is proposed, that can be used to organise the activities related to the selection-process of technology development projects. The framework is based upon recent literature and application at DAF Trucks Company. A technology development project has a long way to

  13. The process of selecting technology development projects : a practical framework

    NARCIS (Netherlands)

    Herps, J.M.J.; Mal, van H.H.; Halman, J.I.M.; Martens, J.H.M.; Borsboom, R.H.M.

    2003-01-01

    In this article a practical framework is proposed, that can be used to organise the activities related to the selection-process of technology development projects. The framework is based upon recent literature and application at DAF Trucks Company. A technology development project has a long way to

  14. Optimal Selection Method of Process Patents for Technology Transfer Using Fuzzy Linguistic Computing

    Directory of Open Access Journals (Sweden)

    Gangfeng Wang

    2014-01-01

    Full Text Available Under the open innovation paradigm, technology transfer of process patents is one of the most important mechanisms for manufacturing companies to implement process innovation and enhance the competitive edge. To achieve promising technology transfers, we need to evaluate the feasibility of process patents and optimally select the most appropriate patent according to the actual manufacturing situation. Hence, this paper proposes an optimal selection method of process patents using multiple criteria decision-making and 2-tuple fuzzy linguistic computing to avoid information loss during the processes of evaluation integration. An evaluation index system for technology transfer feasibility of process patents is designed initially. Then, fuzzy linguistic computing approach is applied to aggregate the evaluations of criteria weights for each criterion and corresponding subcriteria. Furthermore, performance ratings for subcriteria and fuzzy aggregated ratings of criteria are calculated. Thus, we obtain the overall technology transfer feasibility of patent alternatives. Finally, a case study of aeroengine turbine manufacturing is presented to demonstrate the applicability of the proposed method.

  15. Technologies That Assist in Online Group Work: A Comparison of Synchronous and Asynchronous Computer Mediated Communication Technologies on Students' Learning and Community

    Science.gov (United States)

    Rockinson-Szapkiw, Amanda; Wendt, Jillian

    2015-01-01

    While the benefits of online group work completed using asynchronous CMC technology is documented, researchers have identified a number of challenges that result in ineffective and unsuccessful online group work. Fewer channels of communication and lack of immediacy when compared to face-to-face group work are a few of the noted limitations. Thus,…

  16. NUTRITIONAL VALUE AND METHODS OF THE TECHNOLOGICAL PROCESSING OF PELED (СOREGONUS PELED GMELIN (REVIEW

    Directory of Open Access Journals (Sweden)

    O. Nazarov

    2016-06-01

    Full Text Available Purpose. To investigate peled as a food product, raw material for processing and analyze traditional methods of its technological processing. Findings. The paper contains an analysis of the chemical composition of peled meat and its difference compared to other fish of pond aquaculture of Ukraine. According to the parameters of the biochemical composition of the meat of peled reared in the conditions of pond aquaculture, including: contents of fats, proteins, and moisture, belongs to the category of fish from medium to high fat content with medium protein content as well as to fish of increased nutritional value and assimilability based on water-protein, fat-protein, and water-fat balance, and based on amino-acid composition in percent, according to Score standard. Unlike cyprinids — objects of pond aquaculture, general indices of the biochemical composition and peculiarities of anatomical structure of peled as a coregonid representative, contribute to the formation of organoleptic features of native origin that are inherent to gourmet types of the products of traditional processing. It was found that unlike other coregonids, the biochemical indices of peled meat, which define the type and directions of its processing and its regime, first of all, the content of fat, protein, and moisture аre relatively stable for different age groups under conditions of pond aquaculture and they change less during the biological cycle. Main product requirements to the methods of technological processing of peled are summarized, namely: drying, smoking, salting. Full technological schemes of peled processing by traditional methods taking into account biochemical peculiarities of raw material and requirements for the finished product are presented and analyzed. Practical value. The summarized information is useful for further development of domestic aquaculture and processing. Different indices of biochemical composition and high output indices of peled meat

  17. The Aluminum Smelting Process and Innovative Alternative Technologies

    Science.gov (United States)

    Drabløs, Per Arne

    2014-01-01

    Objective: The industrial aluminum production process is addressed. The purpose is to give a short but comprehensive description of the electrolysis cell technology, the raw materials used, and the health and safety relevance of the process. Methods: This article is based on a study of the extensive chemical and medical literature on primary aluminum production. Results: At present, there are two main technological challenges for the process—to reduce energy consumption and to mitigate greenhouse gas emissions. A future step may be carbon dioxide gas capture and sequestration related to the electric power generation from fossil sources. Conclusions: Workers' health and safety have now become an integrated part of the aluminum business. Work-related injuries and illnesses are preventable, and the ultimate goal to eliminate accidents with lost-time injuries may hopefully be approached in the future. PMID:24806723

  18. Robotic inspection technology-process an toolbox

    Energy Technology Data Exchange (ETDEWEB)

    Hermes, Markus [ROSEN Group (United States). R and D Dept.

    2005-07-01

    Pipeline deterioration grows progressively with ultimate aging of pipeline systems (on-plot and cross country). This includes both, very localized corrosion as well as increasing failure probability due to fatigue cracking. Limiting regular inspecting activities to the 'scrapable' part of the pipelines only, will ultimately result into a pipeline system with questionable integrity. The confidence level in the integrity of these systems will drop below acceptance levels. Inspection of presently un-inspectable sections of the pipeline system becomes a must. This paper provides information on ROSEN's progress on the 'robotic inspection technology' project. The robotic inspection concept developed by ROSEN is based on a modular toolbox principle. This is mandatory. A universal 'all purpose' robot would not be reliable and efficient in resolving the postulated inspection task. A preparatory Quality Function Deployment (QFD) analysis is performed prior to the decision about the adequate robotic solution. This enhances the serviceability and efficiency of the provided technology. The word 'robotic' can be understood in its full meaning of Recognition - Strategy - Motion - Control. Cooperation of different individual systems with an established communication, e.g. utilizing Bluetooth technology, support the robustness of the ROSEN robotic inspection approach. Beside the navigation strategy, the inspection strategy is also part of the QFD process. Multiple inspection technologies combined on a single carrier or distributed across interacting container must be selected with a clear vision of the particular goal. (author)

  19. Commercial Parts Technology Qualification Processes

    Science.gov (United States)

    Cooper, Mark S.

    2013-01-01

    Many high-reliability systems, including space systems, use selected commercial parts (including Plastic Encapsulated Microelectronics or PEMs) for unique functionality, small size, low weight, high mechanical shock resistance, and other factors. Predominantly this usage is subjected to certain 100% tests (typically called screens) and certain destructive tests usually (but not always) performed on the flight lot (typically called qualification tests). Frequently used approaches include those documented in EEE-INST-002 and JPL DocID62212 (which are sometimes modified by the particular aerospace space systems manufacturer). In this study, approaches from these documents and several space systems manufacturers are compared to approaches from a launch systems manufacturer (SpaceX), an implantable medical electronics manufacturer (Medtronics), and a high-reliability transport system process (automotive systems). In the conclusions section, these processes are outlined for all of these cases and presented in tabular form. Then some simple comparisons are made. In this introduction section, the PEM technology qualification process is described, as documented in EEE-INST-002 (written by the Goddard Space Flight Center, GSFC), as well as the somewhat modified approach employed at the Jet Propulsion Laboratory (JPL). Approaches used at several major NASA contractors are also described

  20. Intelligent Processing Equipment Developments Within the Navy's Manufacturing Technology Centers of Excellence

    Science.gov (United States)

    Nanzetta, Philip

    1992-01-01

    The U.S. Navy has had an active Manufacturing Technology (MANTECH) Program aimed at developing advanced production processes and equipment since the late-1960's. During the past decade, however, the resources of the MANTECH program were concentrated in Centers of Excellence. Today, the Navy sponsors four manufacturing technology Centers of Excellence: the Automated Manufacturing Research Facility (AMRF); the Electronics Manufacturing Productivity Facility (EMPF); the National Center for Excellence in Metalworking Technology (NCEMT); and the Center of Excellence for Composites Manufacturing Technology (CECMT). This paper briefly describes each of the centers and summarizes typical Intelligent Equipment Processing (IEP) projects that were undertaken.

  1. Study progression in application of process analytical technologies on film coating

    Directory of Open Access Journals (Sweden)

    Tingting Peng

    2015-06-01

    Full Text Available Film coating is an important unit operation to produce solid dosage forms, thereby, the monitoring of this process is helpful to find problems in time and improve the quality of coated products. Traditional methods adopted to monitor this process include measurement of coating weight gain, performance of disintegration and dissolution test, etc. However, not only do these methods cause destruction to the samples, but also consume time and energy. There have recently emerged the applications of process analytical technologies (PAT on film coating, especially some novel spectroscopic and imaging technologies, which have the potential to real-time track the progress in film coating and optimize production efficiency. This article gives an overview on the application of such technologies for film coating, with the goal to provide a reference for the further researches.

  2. Interim report deliverable 3.2 : focus group management process of the restricted use technology study

    Science.gov (United States)

    2005-09-30

    The Altarum Institute, under contract to the Michigan Department of Transportation (MDOT), currently is engaged in a project called the Altarum Restricted Use Technology Study. This study, an 18-month effort, seeks to apply restricted use techn...

  3. Advances in downstream processing of biologics - Spectroscopy: An emerging process analytical technology.

    Science.gov (United States)

    Rüdt, Matthias; Briskot, Till; Hubbuch, Jürgen

    2017-03-24

    Process analytical technologies (PAT) for the manufacturing of biologics have drawn increased interest in the last decade. Besides being encouraged by the Food and Drug Administration's (FDA's) PAT initiative, PAT promises to improve process understanding, reduce overall production costs and help to implement continuous manufacturing. This article focuses on spectroscopic tools for PAT in downstream processing (DSP). Recent advances and future perspectives will be reviewed. In order to exploit the full potential of gathered data, chemometric tools are widely used for the evaluation of complex spectroscopic information. Thus, an introduction into the field will be given. Copyright © 2016 The Authors. Published by Elsevier B.V. All rights reserved.

  4. Plasma technologies: applications to waste processing

    International Nuclear Information System (INIS)

    Fauchais, P.

    2007-01-01

    Since the 1990's, plasma technologies have found applications in the processing of toxic wastes of military and industrial origin, like the treatment of contaminated solids and low level radioactive wastes, the decontamination of soils etc.. Since the years 2000, this development is becoming exponential, in particular for the processing of municipal wastes and the recovery of their synthesis gas. The advantage of thermal plasmas with respect to conventional combustion techniques are: a high temperature (more than 6000 K), a pyrolysis capability (CO formation instead of CO 2 ), about 90% of available energy above 1500 K (with respect to 23% with flames), a greater energy density, lower gas flow rates, and plasma start-up and shut-down times of only few tenth of seconds. This article presents: 1 - the present day situation of thermal plasmas development; 2 - some general considerations about plasma waste processing; 3 - the plasma processes: liquid toxic wastes, solid wastes (contaminated soils and low level radioactive wastes, military wastes, vitrification of incinerators fly ash, municipal wastes processing, treatment of asbestos fibers, treatment of chlorinated industrial wastes), metallurgy wastes (dusts, aluminium slags), medical and ship wastes, perspectives; 4 -conclusion. (J.S.)

  5. Process for Selecting System Level Assessments for Human System Technologies

    Science.gov (United States)

    Watts, James; Park, John

    2006-01-01

    The integration of many life support systems necessary to construct a stable habitat is difficult. The correct identification of the appropriate technologies and corresponding interfaces is an exhaustive process. Once technologies are selected secondary issues such as mechanical and electrical interfaces must be addressed. The required analytical and testing work must be approached in a piecewise fashion to achieve timely results. A repeatable process has been developed to identify and prioritize system level assessments and testing needs. This Assessment Selection Process has been defined to assess cross cutting integration issues on topics at the system or component levels. Assessments are used to identify risks, encourage future actions to mitigate risks, or spur further studies.

  6. The Evolution Process on Information Technology Outsourcing Relationship

    Directory of Open Access Journals (Sweden)

    Duan Weihua

    2017-01-01

    Full Text Available Information technology outsourcing relationship is one of the key issues to IT outsourcing success. To explore how to manage and promote IT outsourcing relationship, it is necessary to understand its evolution process. Firstly, the types of IT outsourcing based on relationship quality and IT outsourcing project level will be analyzed; Secondly, two evolution process models of IT outsourcing relationship are proposed based on relationship quality and IT outsourcing project level, and the IT outsourcing relationship evolution process is indicated; Finally, an IT outsourcing relationship evolution process model is developed, and the development process of IT outsourcing relationship from low to high under the internal and external power is explained.

  7. Fiscal 1995 research investigation on chemical process technology using supercritical fluid; 1995 nendo chorinkai ryutai wo riyoshita kagaku process gijutsu ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-03-01

    With relation to the supercritical fluid utilization technology, conducted in fiscal 1995 were collection of basic data, extraction of R and D subjects and survey/analysis of application fields based on the literature survey and overseas field survey. From the research results, the following were selected as research subjects: as to the clean/recycling process technology, non-selection cascade treatment process of mixed waste plastics, hazardous waste treatment process, and radioactive waste treatment process. As to the unused resource utilization process technology, the supercritical submerged combustion power generation process, heavy hydrocarbon resource reutilization process, biomass synthetic utilization process, and carbon dioxide reutilization process. As to the next generation reaction process technology, the simple reaction process, de-organic solvent process, chemical materialization process for methane, and reaction separation combined process. As the innovative material process technology, the plastic forming process, high-functional materials, high-efficiency energy conversion materials, and heightening of function of solid wastes. 537 refs., 116 figs., 54 tabs.

  8. The Impact of Belonging Groups in an Institutional Merger Process

    DEFF Research Database (Denmark)

    Broeng, Susanne

    2017-01-01

    for the discussions and worker's disaffection and anxieties about job security were brought to light in the research process. The analysis of the research process is informed by psychodynamic system theory and focuses on individual and group processes, and on the leaders' roles in the merger process. This leads...

  9. A Protocol Analysis of the Influence of Technology on Students' Actions, Verbal Commentary, and Thought Processes During the Performance of Acid-Base Titrations.

    Science.gov (United States)

    Nakhleh, Mary B.; Krajcik, Joseph S.

    1993-01-01

    From an analysis of 14 secondary student's actions and thought processes, it was found that technology's level of information affected the focus of student observations. The microcomputer group focused primarily on the graph while other groups exhibited multiple foci. The discussion data also reveal that students have three main ideas about how…

  10. Causal Relationship Model of the Information and Communication Technology Skill Affect the Technology Acceptance Process in the 21ST Century for Undergraduate Students

    Directory of Open Access Journals (Sweden)

    Thanyatorn Amornkitpinyo

    2015-02-01

    Full Text Available The objective of this study is to design a framework for a causal relationship model of the Information and Communication Technology skills that affect the Technology Acceptance Process (TAP for undergraduate students in the 21ST Century. This research uses correlational analysis. A consideration of the research methodology is divided into two sections. The first section involves a synthesis concept framework for process acceptance of the causal relationship model of the Information and Communication Technology skills that affect the Technology Acceptance Process for undergraduate students in the 21ST Century. The second section proposes the design concept framework of the model. The research findings are as follows: 1 The exogenous latent variables included in the causal relationship model of the Information and Communication Technology skills that affect the Technology Acceptance Process for undergraduate students in the 21ST Century are basic ICT skills and self-efficacy. 2 The mediating latent variables of the causal relationship model of the Information and Communication Technology skills that affect the Technology Acceptance Process for undergraduate students in the 21ST Century are from the TAM Model, these includes three components: 1 perceived usefulness, 2 perceived ease of use and 3 attitudes. 3 The outcome latent variable of the causal relationship model of the Information and Communication Technology skills that affect the Technology Acceptance Process for undergraduate students in the 21ST Century is behavioural intention.

  11. Adoption Space and the Idea-to-Market Process of Health Technologies.

    Science.gov (United States)

    Saranummi, Niilo; Beuscart, Regis; Black, Norman; Maglaveras, Nicos; Strano, Chiara; Karavidopoulou, Youla

    2016-01-01

    Although Europe 'produces' excellent science, it has not been equally successful in translating scientific results into commercially successful companies in spite of European and national efforts invested in supporting the translation process. The Idea-to-Market process is highly complex due to the large number of actors and stakeholders. ITECH was launched to propose recommendations which would accelerate the Idea-to-Market process of health technologies leading to improvements in the competitiveness of the European health technology industry in the global markets. The project went through the following steps: defining the Idea-to-Market process model; collection and analysis of funding opportunities; identification of 12 gaps and barriers in the Idea-to-Market process; a detailed analysis of these supported by interviews; a prioritization process to select the most important issues; construction of roadmaps for the prioritized issues; and finally generating recommendations and associated action plans. Seven issues were classified as in need of actions. Three of these are part of the ongoing Medical Device Directive Reform (MDR), namely health technology assessment, post-market surveillance and regulatory process, and therefore not within the scope of ITECH. Recommendations were made for eHealth taxonomy; Education and training; Clinical trials and Adoption space and Human Factors Engineering (HFE).

  12. 5th Conference on Aerospace Materials, Processes, and Environmental Technology

    Science.gov (United States)

    Cook, M. B. (Editor); Stanley, D. Cross (Editor)

    2003-01-01

    Records are presented from the 5th Conference on Aerospace Materials, Processes, and Environmental Technology. Topics included pollution prevention, inspection methods, advanced materials, aerospace materials and technical standards,materials testing and evaluation, advanced manufacturing,development in metallic processes, synthesis of nanomaterials, composite cryotank processing, environmentally friendly cleaning, and poster sessions.

  13. Adherence to Technology-Mediated Insomnia Treatment: A Meta-Analysis, Interviews, and Focus Groups.

    Science.gov (United States)

    Horsch, Corine; Lancee, Jaap; Beun, Robbert Jan; Neerincx, Mark A; Brinkman, Willem-Paul

    2015-09-04

    Several technologies have been proposed to support the reduction of insomnia complaints. A user-centered assessment of these technologies could provide insight into underlying factors related to treatment adherence. Gaining insight into adherence to technology-mediated insomnia treatment as a solid base for improving those adherence rates by applying adherence-enhancing strategies. Adherence to technology-mediated sleep products was studied in three ways. First, a meta-analysis was performed to investigate adherence rates in technology-mediated insomnia therapy. Several databases were queried for technology-mediated insomnia treatments. After inclusion and exclusion steps, data from 18 studies were retrieved and aggregated to find an average adherence rate. Next, 15 semistructured interviews about sleep-support technologies were conducted to investigate perceived adherence. Lastly, several scenarios were written about the usage of a virtual sleep coach that could support adherence rates. The scenarios were discussed in six different focus groups consisting of potential users (n=15), sleep experts (n=7), and coaches (n=9). From the meta-analysis, average treatment adherence appeared to be approximately 52% (95% CI 43%-61%) for technology-mediated insomnia treatments. This means that, on average, half of the treatment exercises were not executed, suggesting there is a substantial need for adherence and room for improvement in this area. However, the users in the interviews believed they adhered quite well to their sleep products. Users mentioned relying on personal commitment (ie, willpower) for therapy adherence. Participants of the focus groups reconfirmed their belief in the effectiveness of personal commitment, which they regarded as more effective than adherence-enhancing strategies. Although adherence rates for insomnia interventions indicate extensive room for improvement, users might not consider adherence to be a problem; they believe willpower to be an

  14. Technological process of a multi-purpose radwaste incineration system

    International Nuclear Information System (INIS)

    Wang Peiyi; Zhou Lianquan; Ma Mingxie; Qiu Mingcai; Yang Liguo; Li Xiaohai; Zhang Xiaobin; Lu Xiaowu; Dong Jingling; Wang Xujin; Li Chuanlian; Yang Baomin

    2002-01-01

    The author introduces the technological process of a multi-purpose radwaste incineration system. It is composed of three parts: pretreatment, incinerating and clean up of off-gas. The waste that may be treated include combustible solid waste, spent resins and oils. Technological routes of the system is pyrolysis incinerating for solid waste, spray incinerating for spent oils, combination of dry-dust removing and wet adsorption for cleaning up off-gas

  15. Cogeneration technology alternatives study. Volume 2: Industrial process characteristics

    Science.gov (United States)

    1980-01-01

    Information and data for 26 industrial processes are presented. The following information is given for each process: (1) a description of the process including the annual energy consumption and product production and plant capacity; (2) the energy requirements of the process for each unit of production and the detailed data concerning electrical energy requirements and also hot water, steam, and direct fired thermal requirements; (3) anticipated trends affecting energy requirements with new process or production technologies; and (4) representative plant data including capacity and projected requirements through the year 2000.

  16. The Evolution Process on Information Technology Outsourcing Relationship

    OpenAIRE

    Duan Weihua

    2017-01-01

    Information technology outsourcing relationship is one of the key issues to IT outsourcing success. To explore how to manage and promote IT outsourcing relationship, it is necessary to understand its evolution process. Firstly, the types of IT outsourcing based on relationship quality and IT outsourcing project level will be analyzed; Secondly, two evolution process models of IT outsourcing relationship are proposed based on relationship quality and IT outsourcing project level, and the IT ou...

  17. Radiant-and-plasma technology for coal processing

    Directory of Open Access Journals (Sweden)

    Vladimir Messerle

    2012-12-01

    Full Text Available Radiant-and-plasma technology for coal processing is presented in the article. Thermodynamic computation and experiments on plasma processing of bituminous coal preliminary electron-beam activated were fulfilled in comparison with plasma processing of the coal. Positive influence of the preliminary electron-beam activation of coal on synthesis gas yield was found. Experiments were carried out in the plasma gasifier of 100 kW power. As a result of the measurements of material and heat balance of the process gave the following integral indicators: weight-average temperature of 2200-2300 K, and carbon gasification degree of 82,4-83,2%. Synthesis gas yield at thermochemical preparation of raw coal dust for burning was 24,5% and in the case of electron-beam activation of coal synthesis gas yield reached 36,4%, which is 48% higher.

  18. Technological yields of sources for radiation processing; Wydajnosci technologiczne zrodel do obrobki radiacyjnej

    Energy Technology Data Exchange (ETDEWEB)

    Zagorski, Z.P. [Institute of Nuclear Chemistry and Technology, Warsaw (Poland)

    1993-12-31

    The present report is prepared for planners of radiation processing of any material. Calculations are focused on accelerators of electrons, divided into two groups: versatile linacs of energy up to 13 MeV, and accelerators of lower energy, below 2 MeV, of better energy yield but of limited applications. The calculations are connected with the confrontation of the author`s technological expectations during the preparation of the linac project in the late `60s, with the results of 25 years of exploitation of the machine. One has to realize that from the 200 kW input power from the mains, only 5 kW of bent and scanned beam is recovered on the conveyor. That power is only partially used for radiation induced phenomena, because of the demanded homogeneity of the dose, of the mode of packing of the object and its shape, of edges of the scanned area and in the spaces between boxes, and of loses during the idle time due to the tuning of the machine and dosimetric operations. The use of lower energy accelerators may be more economical than that of linacs in case of objects of specific type. At the first stage already, that is of the conversion of electrical power into that of low energy electron beam, the yield is 2-3 times better than in the case of linacs. Attention has been paid to the technological aspects of electron beam conversion into the more penetrating Bremsstrahlung similar to gamma radiation. The advantages of technologies, which make possible a control of the shape of the processed object are stressed. Special attention is focused to the relation between the yield of processing and the ratio between the maximum to the minimum dose in the object under the irradiation. (author). 14 refs, 14 figs.

  19. [Near infrared spectroscopy based process trajectory technology and its application in monitoring and controlling of traditional Chinese medicine manufacturing process].

    Science.gov (United States)

    Li, Wen-Long; Qu, Hai-Bin

    2016-10-01

    In this paper, the principle of NIRS (near infrared spectroscopy)-based process trajectory technology was introduced.The main steps of the technique include:① in-line collection of the processes spectra of different technics; ② unfolding of the 3-D process spectra;③ determination of the process trajectories and their normal limits;④ monitoring of the new batches with the established MSPC (multivariate statistical process control) models.Applications of the technology in the chemical and biological medicines were reviewed briefly. By a comprehensive introduction of our feasibility research on the monitoring of traditional Chinese medicine technical process using NIRS-based multivariate process trajectories, several important problems of the practical applications which need urgent solutions are proposed, and also the application prospect of the NIRS-based process trajectory technology is fully discussed and put forward in the end. Copyright© by the Chinese Pharmaceutical Association.

  20. Natural language processing-based COTS software and related technologies survey.

    Energy Technology Data Exchange (ETDEWEB)

    Stickland, Michael G.; Conrad, Gregory N.; Eaton, Shelley M.

    2003-09-01

    Natural language processing-based knowledge management software, traditionally developed for security organizations, is now becoming commercially available. An informal survey was conducted to discover and examine current NLP and related technologies and potential applications for information retrieval, information extraction, summarization, categorization, terminology management, link analysis, and visualization for possible implementation at Sandia National Laboratories. This report documents our current understanding of the technologies, lists software vendors and their products, and identifies potential applications of these technologies.

  1. NASA Space Technology Draft Roadmap Area 13: Ground and Launch Systems Processing

    Science.gov (United States)

    Clements, Greg

    2011-01-01

    This slide presentation reviews the technology development roadmap for the area of ground and launch systems processing. The scope of this technology area includes: (1) Assembly, integration, and processing of the launch vehicle, spacecraft, and payload hardware (2) Supply chain management (3) Transportation of hardware to the launch site (4) Transportation to and operations at the launch pad (5) Launch processing infrastructure and its ability to support future operations (6) Range, personnel, and facility safety capabilities (7) Launch and landing weather (8) Environmental impact mitigations for ground and launch operations (9) Launch control center operations and infrastructure (10) Mission integration and planning (11) Mission training for both ground and flight crew personnel (12) Mission control center operations and infrastructure (13) Telemetry and command processing and archiving (14) Recovery operations for flight crews, flight hardware, and returned samples. This technology roadmap also identifies ground, launch and mission technologies that will: (1) Dramatically transform future space operations, with significant improvement in life-cycle costs (2) Improve the quality of life on earth, while exploring in co-existence with the environment (3) Increase reliability and mission availability using low/zero maintenance materials and systems, comprehensive capabilities to ascertain and forecast system health/configuration, data integration, and the use of advanced/expert software systems (4) Enhance methods to assess safety and mission risk posture, which would allow for timely and better decision making. Several key technologies are identified, with a couple of slides devoted to one of these technologies (i.e., corrosion detection and prevention). Development of these technologies can enhance life on earth and have a major impact on how we can access space, eventually making routine commercial space access and improve building and manufacturing, and weather

  2. Digital signal processing - growth of a technology

    International Nuclear Information System (INIS)

    Peek, J.B.H.

    1985-01-01

    The rapid development of microelectronics has led to an increasing extent in circuits and systems for digital signal processing. This happened first in professional applications, e.g. geophysics, astronomy and space flight, and now, with the Compact Disc player, these techniques have entered the consumer field. In the near future digital TV applications will undoubtedly follow. This article outlines a number of the developments behind the advancing 'digitization' of modern technology. The article also considers the main advantages and disadvantages of digital signal processing the main modules now used and some common applications. Particular attention is paid to medical applications. (Auth.)

  3. Laser Processed Condensing Heat Exchanger Technology Development

    Science.gov (United States)

    Hansen, Scott; Wright, Sarah; Wallace, Sarah; Hamilton, Tanner; Dennis, Alexander; Zuhlke, Craig; Roth, Nick; Sanders, John

    2017-01-01

    The reliance on non-permanent coatings in Condensing Heat Exchanger (CHX) designs is a significant technical issue to be solved before long-duration spaceflight can occur. Therefore, high reliability CHXs have been identified by the Evolvable Mars Campaign (EMC) as critical technologies needed to move beyond low earth orbit. The Laser Processed Condensing Heat Exchanger project aims to solve these problems through the use of femtosecond laser processed surfaces, which have unique wetting properties and potentially exhibit anti-microbial growth properties. These surfaces were investigated to identify if they would be suitable candidates for a replacement CHX surface. Among the areas researched in this project include microbial growth testing, siloxane flow testing in which laser processed surfaces were exposed to siloxanes in an air stream, and manufacturability.

  4. Similarity search processing. Paralelization and indexing technologies.

    Directory of Open Access Journals (Sweden)

    Eder Dos Santos

    2015-08-01

    The next Scientific-Technical Report addresses the similarity search and the implementation of metric structures on parallel environments. It also presents the state of the art related to similarity search on metric structures and parallelism technologies. Comparative analysis are also proposed, seeking to identify the behavior of a set of metric spaces and metric structures over processing platforms multicore-based and GPU-based.

  5. COST action TD1407: network on technology-critical elements (NOTICE)--from environmental processes to human health threats.

    Science.gov (United States)

    Cobelo-García, A; Filella, M; Croot, P; Frazzoli, C; Du Laing, G; Ospina-Alvarez, N; Rauch, S; Salaun, P; Schäfer, J; Zimmermann, S

    2015-10-01

    The current socio-economic, environmental and public health challenges that countries are facing clearly need common-defined strategies to inform and support our transition to a sustainable economy. Here, the technology-critical elements (which includes Ga, Ge, In, Te, Nb, Ta, Tl, the Platinum Group Elements and most of the rare-earth elements) are of great relevance in the development of emerging key technologies-including renewable energy, energy efficiency, electronics or the aerospace industry. In this context, the increasing use of technology-critical elements (TCEs) and associated environmental impacts (from mining to end-of-life waste products) is not restricted to a national level but covers most likely a global scale. Accordingly, the European COST Action TD1407: Network on Technology-Critical Elements (NOTICE)-from environmental processes to human health threats, has an overall objective for creating a network of scientists and practitioners interested in TCEs, from the evaluation of their environmental processes to understanding potential human health threats, with the aim of defining the current state of knowledge and gaps, proposing priority research lines/activities and acting as a platform for new collaborations and joint research projects. The Action is focused on three major scientific areas: (i) analytical chemistry, (ii) environmental biogeochemistry and (iii) human exposure and (eco)-toxicology.

  6. Ramp Technology and Intelligent Processing in Small Manufacturing

    Science.gov (United States)

    Rentz, Richard E.

    1992-01-01

    To address the issues of excessive inventories and increasing procurement lead times, the Navy is actively pursuing flexible computer integrated manufacturing (FCIM) technologies, integrated by communication networks to respond rapidly to its requirements for parts. The Rapid Acquisition of Manufactured Parts (RAMP) program, initiated in 1986, is an integral part of this effort. The RAMP program's goal is to reduce the current average production lead times experienced by the Navy's inventory control points by a factor of 90 percent. The manufacturing engineering component of the RAMP architecture utilizes an intelligent processing technology built around a knowledge-based shell provided by ICAD, Inc. Rules and data bases in the software simulate an expert manufacturing planner's knowledge of shop processes and equipment. This expert system can use Product Data Exchange using STEP (PDES) data to determine what features the required part has, what material is required to manufacture it, what machines and tools are needed, and how the part should be held (fixtured) for machining, among other factors. The program's rule base then indicates, for example, how to make each feature, in what order to make it, and to which machines on the shop floor the part should be routed for processing. This information becomes part of the shop work order. The process planning function under RAMP greatly reduces the time and effort required to complete a process plan. Since the PDES file that drives the intelligent processing is 100 percent complete and accurate to start with, the potential for costly errors is greatly diminished.

  7. Miniaturized Power Processing Unit Study: A Cubesat Electric Propulsion Technology Enabler Project

    Science.gov (United States)

    Ghassemieh, Shakib M.

    2014-01-01

    This study evaluates High Voltage Power Processing Unit (PPU) technology and driving requirements necessary to enable the Microfluidic Electric Propulsion technology research and development by NASA and university partners. This study provides an overview of the state of the art PPU technology with recommendations for technology demonstration projects and missions for NASA to pursue.

  8. Microscale technology and biocatalytic processes: opportunities and challenges for synthesis.

    Science.gov (United States)

    Wohlgemuth, Roland; Plazl, Igor; Žnidaršič-Plazl, Polona; Gernaey, Krist V; Woodley, John M

    2015-05-01

    Despite the expanding presence of microscale technology in chemical synthesis and energy production as well as in biomedical devices and analytical and diagnostic tools, its potential in biocatalytic processes for pharmaceutical and fine chemicals, as well as related industries, has not yet been fully exploited. The aim of this review is to shed light on the strategic advantages of this promising technology for the development and realization of biocatalytic processes and subsequent product recovery steps, demonstrated with examples from the literature. Constraints, opportunities, and the future outlook for the implementation of these key green engineering methods and the role of supporting tools such as mathematical models to establish sustainable production processes are discussed. Copyright © 2015 Elsevier Ltd. All rights reserved.

  9. Electron-processing technology: A promising application for the viscose industry

    Science.gov (United States)

    Stepanik, T. M.; Rajagopal, S.; Ewing, D.; Whitehouse, R.

    1998-06-01

    In marketing its IMPELA ® line of high power, high-throughput industrial accelerators, Atomic Energy of Canada Limited (AECL) is working with viscose (rayon) companies world-wide to integrate electron-processing technology as part of the viscose manufacturing process. The viscose industry converts cellulose wood pulp into products such as staple fiber, filament, cord, film, packaging, and non-edible sausage casings. This multibillion dollar industry is currently suffering from high production costs, and is facing increasingly stringent environmental regulations. The use of electron-treated pulp can significantly lower production costs and can provide equally significant environmental benefits. This paper describes our current understanding of the benefits of using electron-treated pulp in this process, and AECL's efforts in developing this technology.

  10. AUTOMATED SYSTEM OF DATA PROCESSING WITH THE IMPLEMENTATION OF RATING TECHNOLOGY OF TEACHING

    Directory of Open Access Journals (Sweden)

    О. И. Дзювина

    2014-01-01

    Full Text Available Rating technology of teaching enables independent and individual work of students, increase their motivation.Purpose: to increase the efficiency of data processing with the implementation of rating technology of teaching.Method: analysis, synthesis,experiment.Results. Developed an automated data processing system for the implementation of rating technology of teaching.Practical implication. Education.Purchase on Elibrary.ru > Buy now

  11. Adoption of improved technologies in soyabean processing and ...

    African Journals Online (AJOL)

    adequate information and limited understanding. To increase protein food intake, adoption of soyabean improved technologies should be encouraged. Efforts should be made by extension workers to create more awareness on the importance of these innovations and on methods of processing. This way, consumption of ...

  12. LITERATURE REVIEWS TO SUPPORT ION EXCHANGE TECHNOLOGY SELECTION FOR MODULAR SALT PROCESSING

    Energy Technology Data Exchange (ETDEWEB)

    King, W

    2007-11-30

    This report summarizes the results of literature reviews conducted to support the selection of a cesium removal technology for application in a small column ion exchange (SCIX) unit supported within a high level waste tank. SCIX is being considered as a technology for the treatment of radioactive salt solutions in order to accelerate closure of waste tanks at the Savannah River Site (SRS) as part of the Modular Salt Processing (MSP) technology development program. Two ion exchange materials, spherical Resorcinol-Formaldehyde (RF) and engineered Crystalline Silicotitanate (CST), are being considered for use within the SCIX unit. Both ion exchange materials have been studied extensively and are known to have high affinities for cesium ions in caustic tank waste supernates. RF is an elutable organic resin and CST is a non-elutable inorganic material. Waste treatment processes developed for the two technologies will differ with regard to solutions processed, secondary waste streams generated, optimum column size, and waste throughput. Pertinent references, anticipated processing sequences for utilization in waste treatment, gaps in the available data, and technical comparisons will be provided for the two ion exchange materials to assist in technology selection for SCIX. The engineered, granular form of CST (UOP IE-911) was the baseline ion exchange material used for the initial development and design of the SRS SCIX process (McCabe, 2005). To date, in-tank SCIX has not been implemented for treatment of radioactive waste solutions at SRS. Since initial development and consideration of SCIX for SRS waste treatment an alternative technology has been developed as part of the River Protection Project Waste Treatment Plant (RPP-WTP) Research and Technology program (Thorson, 2006). Spherical RF resin is the baseline media for cesium removal in the RPP-WTP, which was designed for the treatment of radioactive waste supernates and is currently under construction in Hanford, WA

  13. LITERATURE REVIEWS TO SUPPORT ION EXCHANGE TECHNOLOGY SELECTION FOR MODULAR SALT PROCESSING

    International Nuclear Information System (INIS)

    King, W.

    2007-01-01

    This report summarizes the results of literature reviews conducted to support the selection of a cesium removal technology for application in a small column ion exchange (SCIX) unit supported within a high level waste tank. SCIX is being considered as a technology for the treatment of radioactive salt solutions in order to accelerate closure of waste tanks at the Savannah River Site (SRS) as part of the Modular Salt Processing (MSP) technology development program. Two ion exchange materials, spherical Resorcinol-Formaldehyde (RF) and engineered Crystalline Silicotitanate (CST), are being considered for use within the SCIX unit. Both ion exchange materials have been studied extensively and are known to have high affinities for cesium ions in caustic tank waste supernates. RF is an elutable organic resin and CST is a non-elutable inorganic material. Waste treatment processes developed for the two technologies will differ with regard to solutions processed, secondary waste streams generated, optimum column size, and waste throughput. Pertinent references, anticipated processing sequences for utilization in waste treatment, gaps in the available data, and technical comparisons will be provided for the two ion exchange materials to assist in technology selection for SCIX. The engineered, granular form of CST (UOP IE-911) was the baseline ion exchange material used for the initial development and design of the SRS SCIX process (McCabe, 2005). To date, in-tank SCIX has not been implemented for treatment of radioactive waste solutions at SRS. Since initial development and consideration of SCIX for SRS waste treatment an alternative technology has been developed as part of the River Protection Project Waste Treatment Plant (RPP-WTP) Research and Technology program (Thorson, 2006). Spherical RF resin is the baseline media for cesium removal in the RPP-WTP, which was designed for the treatment of radioactive waste supernates and is currently under construction in Hanford, WA

  14. A Voice Processing Technology for Rural Specific Context

    Science.gov (United States)

    He, Zhiyong; Zhang, Zhengguang; Zhao, Chunshen

    Durian the promotion and applications of rural information, different geographical dialect voice interaction is a very complex issue. Through in-depth analysis of TTS core technologies, this paper presents the methods of intelligent segmentation, word segmentation algorithm and intelligent voice thesaurus construction in the different dialects context. And then COM based development methodology for specific context voice processing system implementation and programming method. The method has a certain reference value for the rural dialect and voice processing applications.

  15. Determination of properties of clean coal technology post-process residue

    Directory of Open Access Journals (Sweden)

    Agnieszka Klupa

    2016-01-01

    Full Text Available This article presents the possibilities of using modern measuring devices to determine the properties of process residues (Polish acronym: UPP. UPP was taken from the combustion process from a power plant in Silesia. Determining the properties of UPP is the basis for making decisions about its practical application, for example, as a raw material to obtain useful products such as: pozzolan, cenosphere or zeolite, for which there is demand. The development of advanced technology and science has given rise to modern and precise research tools that contribute to the development of appropriate methods to assess the properties of post-process residue. For this study the following were used: scanning electron microscope with EDS microanalysis and an analyzer for particle size-, shape- and number- analysis. The study conducted confirms the effectiveness of SEM analysis to determine the properties of post-process residue from Clean Coal Technologies (CCT. The results obtained are an introduction to further research on the determination of properties of CCT post-process residue. Research to determine the properties of CCT post-process residue only began relatively recently.

  16. Natural language processing in psychiatry. Artificial intelligence technology and psychopathology.

    Science.gov (United States)

    Garfield, D A; Rapp, C; Evens, M

    1992-04-01

    The potential benefit of artificial intelligence (AI) technology as a tool of psychiatry has not been well defined. In this essay, the technology of natural language processing and its position with regard to the two main schools of AI is clearly outlined. Past experiments utilizing AI techniques in understanding psychopathology are reviewed. Natural language processing can automate the analysis of transcripts and can be used in modeling theories of language comprehension. In these ways, it can serve as a tool in testing psychological theories of psychopathology and can be used as an effective tool in empirical research on verbal behavior in psychopathology.

  17. Methodology and Results of Mathematical Modelling of Complex Technological Processes

    Science.gov (United States)

    Mokrova, Nataliya V.

    2018-03-01

    The methodology of system analysis allows us to draw a mathematical model of the complex technological process. The mathematical description of the plasma-chemical process was proposed. The importance the quenching rate and initial temperature decrease time was confirmed for producing the maximum amount of the target product. The results of numerical integration of the system of differential equations can be used to describe reagent concentrations, plasma jet rate and temperature in order to achieve optimal mode of hardening. Such models are applicable both for solving control problems and predicting future states of sophisticated technological systems.

  18. Wireless Communications for Monitoring Nuclear Material Processes part 1.: Context and Technologies

    International Nuclear Information System (INIS)

    Braina, F.; Goncalves, J.C.M.; Versino, C.; Heppleston, M.; Schoeneman, B.; Tolk, K.

    2007-01-01

    Recent advances in radio frequency communication technologies offer the motivation to consider the use of wireless communication in nuclear safeguards applications. From the Nuclear Safeguards Inspectorate' (NSI) point of view, wireless data transmission, which would be supplemental to wired communication is attractive for the ease of installation and the ability to respond to the changing requirements as the inspection approach evolves, resulting in a reduction of costs. However, for wireless technologies to be considered as a viable complement to cables, a number of concerns have to be addressed. First, nuclear operators need to be guaranteed that RF transmission will not interfere with the facilities safety and physical security systems. On their side, the NSI must be satisfied that Containment and Surveillance equipment and data transmission processes will not be affected by the other existing RF equipment. Second, it is desirable, both for the NSI and the operators, that the data being transmitted is not available for analysis by a third party. In addition, the NSI require data to be authenticated as close to the point of acquisition as possible. This paper was prepared as an account of work performed and approved by the ESARDA Working Group on Containment and Surveillance. It is the first of a suite dedicated to bridging RF technologies with safeguards monitoring applications. The paper focuses on technological issues: it introduces basic concepts underlying wireless communication, including methods for transmission, issues on power consumption, frequency, range, and considerations on interference and noise resilience. It overviews state-of-the-art wireless technologies and presents a projection on wireless capabilities that are likely to be reached in the near future

  19. Organizational restructuring in response to changes in information-processing technology

    OpenAIRE

    Andrzej Baniak; Jacek Cukrowski

    1999-01-01

    This paper examines the effects of changes in information-processing technology on the efficient organizational forms of data-processing in decision-making systems. Data-processing is modelled in the framework of the dynamic parallel processing model of associative computation with an endogenous set-up costs of the processors. In such a model, the conditions for efficient organization of information-processing are defined and the architecture of the efficient structures is considered. It is s...

  20. Modelling the Transport Process in Marine Container Technology

    Directory of Open Access Journals (Sweden)

    Serđo Kos

    2003-01-01

    Full Text Available The paper introduces a mathematical problem that occursin marine container technology when programming the transportof a beforehand established number of ISO containers effectedby a full container ship from several ports of departure toseveral ports of destination at the minimum distance (time innavigation or at minimum transport costs. The application ofthe proposed model may have an effect on cost reduction incontainer transport thereby improving the operation process inmarine transport technology. The model has been tested by usinga numerical example with real data. In particular, it describesthe application of the dual variables in the analysis ofoptimum solution.

  1. Modeling operation of mechanism of holistic management of technological processes at enterprise

    Science.gov (United States)

    Igorevich Shanin, Igor; Aleksandrovna Boris, Olga

    2018-03-01

    Enterprises applying modeling and technological process management approaches represent a sector of a new innovative economic system. First of all, they are innovators using innovative proposals and various resources to solve practical problems. Their work leads to balanced positive technological changes. In other words, they constitute industrial entrepreneurship with innovative goals and vice versa - innovative entrepreneurship with industrial objectives. It should be noted that the mechanism of holistic management of technological processes at the enterprise combines a traditional industrial organization of production, an innovative and technological enterprise. The enterprise borrows industrial targets from the latter one, an innovative component - from innovative activity and entrepreneurial approaches to holistic management - from a commercial firm.

  2. [Genotyping of ABO Blood Group in Partial Population of Yunnan Province by SNaPshot Technology].

    Science.gov (United States)

    Yu, S X; Zeng, F M; Jin, Y Z; Wan, H J; Zhai, D; Xing, Y M; Cheng, B W

    2017-06-01

    To detect the genotype of ABO blood group by SNaPshot technology. DNA were extracted from the peripheral blood samples with known blood groups (obtained by serology) of 107 unrelated individuals in Yunnan. Six SNP loci of the 261th, 297th, 681th, 703th, 802th, and 803th nucleotide positions were detected by SNaPshot Multiplex kit, and relevant genetics parameters were calculated. In 107 blood samples, the allele frequencies of types A, B, O A , and O G were 0.355 1, 0.168 2, 0.230 0 and 0.247 6, respectively, while that of types A G and cis AB were not detected. The genotyping results of ABO blood group were consistent with that of serologic testing. SNaPshot technology can be adapted for genotyping of ABO blood group. Copyright© by the Editorial Department of Journal of Forensic Medicine

  3. THz spectroscopy: An emerging technology for pharmaceutical development and pharmaceutical Process Analytical Technology (PAT) applications

    Science.gov (United States)

    Wu, Huiquan; Khan, Mansoor

    2012-08-01

    As an emerging technology, THz spectroscopy has gained increasing attention in the pharmaceutical area during the last decade. This attention is due to the fact that (1) it provides a promising alternative approach for in-depth understanding of both intermolecular interaction among pharmaceutical molecules and pharmaceutical product quality attributes; (2) it provides a promising alternative approach for enhanced process understanding of certain pharmaceutical manufacturing processes; and (3) the FDA pharmaceutical quality initiatives, most noticeably, the Process Analytical Technology (PAT) initiative. In this work, the current status and progress made so far on using THz spectroscopy for pharmaceutical development and pharmaceutical PAT applications are reviewed. In the spirit of demonstrating the utility of first principles modeling approach for addressing model validation challenge and reducing unnecessary model validation "burden" for facilitating THz pharmaceutical PAT applications, two scientific case studies based on published THz spectroscopy measurement results are created and discussed. Furthermore, other technical challenges and opportunities associated with adapting THz spectroscopy as a pharmaceutical PAT tool are highlighted.

  4. THE MODERN THEORY AND TECHNOLOGY OF PRODUCTION, PROCESSING AND USE OF THE PRODUCTS OF COMPLEX PROCESSING OF WHEAT GERM

    Directory of Open Access Journals (Sweden)

    N. S. Rodionova

    2014-01-01

    Full Text Available Summary. The data and methods for the preparation of deep processing of wheat germ and their impact on the physical and chemical properties of the final products. It was found that for use in food technology is preferable to use a method is-cold-pressed wheat germ, under which the processed products do not present a residual amount of solvents and other non-food components. Given food and biological characteristics of wheat germ and products deep processing, it was found that they contain vitamin E, A, D, vitamin group В, more than 20 macro- and microelements. Methods of extracting oil from different types raw materials. Analyzed the functional role of ω-6 and ω -3 fatty acids for the human body and ways to maintain balance. A review of plant oils, the prospects of its use to create food systems balanced composition of fatty acids. It was found that the ratio of ω-6 and ω -3 fatty acids in wheat germ oil does not meet the recommended therefore to establish the necessary balance it is preferable to mix amaranth oil and pumpkin. Classified the factors affecting the quality parameters of wheat germ stored, evaluated the role of the enzyme complex during storage of wheat germ and their products deep processing. It was found that a significant effect on the damage of wheat germ has a dual action of lipase, lipoxygenase and catalase. Given the storage and stabilization of wheat germ, shows the potential use of stabilizers to increase the shelf life of wheat germ. As stabilizers, preference is given to compositions of organic acids: ascorbic, succinic and fumaric. It is proved that the composition of organic acids suppress the activity of lipase and lipoxygenase catalase by wheatgerm type noncompetitive inhibition. A review of the technologies used wheat germ and products of their complex processing in medical, cosmetic, feed and food industry. Evaluated the potential application of wheat germ and products deep processing industry of functional

  5. Using Group Projects to Teach Process Improvement in a Quality Class

    Science.gov (United States)

    Neidigh, Robert O.

    2016-01-01

    This paper provides a description of a teaching approach that uses experiential learning to teach process improvement. The teaching approach uses student groups to perform and gather process data in a senior-level quality management class that focuses on Lean Six Sigma. A strategy to link the experiential learning in the group projects to the…

  6. Summary of the separations breakout group

    Energy Technology Data Exchange (ETDEWEB)

    Jensen, R. [Los Alamos National Laboratory, NM (United States)

    1995-10-01

    The Separations Breakout Group reviewed the wide spectrum of separations technologies available for application to ADTT systems. Separations processes play a key role in areas associated with preparation of feed materials for introduction into ADTT, removal of fission products and other transmutation byproducts that build into the fuel during operation, and in the preparation of wastes ({open_quotes}polishing{close_quotes}) for discharge from ADTT systems so as to meet appropriate waste disposal criteria. General separations technologies addressed by the group included aqueous, fluoride salt, and chloride salt approaches.

  7. Remote technology in spent fuel management. Proceedings of an advisory group meeting

    International Nuclear Information System (INIS)

    1999-01-01

    Spent fuel management has always been one of the important stages in the nuclear fuel cycle and it is still one of the most vital problems common to all countries with nuclear reactors. It begins with the discharge of spent fuel from a power or research reactor and ends with its ultimate disposition either by direct disposal or by reprocessing of the spent fuel. Continuous attention is being given by the IAEA to the collection, analysis and exchange of information on spent fuel management. Its role in this area is to provide a forum for exchanging information and development activities that are of common interest. Within its spent fuel management programme, the IAEA has monitored the progress, the benefits and the implementation of remote technologies such as remote tools, robotics, etc. An Advisory Group Meeting on Remote Technology in Spent Fuel Management was held in September 1997 in order to bring together specialists working in this field and to collect information on new technical and economic developments. The objective of the Advisory Group meeting was to review remote technologies in use for the complete range of spent fuel handling and spent fuel management covering wet and dry environments, to describe ongoing developments and to prepare a technical report. This document contains contributions presented at the Meeting. Each paper was indexed and provided with an abstract

  8. Plan for advanced microelectronics processing technology application

    Energy Technology Data Exchange (ETDEWEB)

    Goland, A.N.

    1990-10-01

    The ultimate objective of the tasks described in the research agreement was to identify resources primarily, but not exclusively, within New York State that are available for the development of a Center for Advanced Microelectronics Processing (CAMP). Identification of those resources would enable Brookhaven National Laboratory to prepare a program plan for the CAMP. In order to achieve the stated goal, the principal investigators undertook to meet the key personnel in relevant NYS industrial and academic organizations to discuss the potential for economic development that could accompany such a Center and to gauge the extent of participation that could be expected from each interested party. Integrated of these discussions was to be achieved through a workshop convened in the summer of 1990. The culmination of this workshop was to be a report (the final report) outlining a plan for implementing a Center in the state. As events unfolded, it became possible to identify the elements of a major center for x-ray lithography on Lone Island at Brookhaven National Laboratory. The principal investigators were than advised to substitute a working document based upon that concept in place of a report based upon the more general CAMP workshop originally envisioned. Following that suggestion from the New York State Science and Technology Foundation, the principals established a working group consisting of representatives of the Grumman Corporation, Columbia University, the State University of New York at Stony Brook, and Brookhaven National Laboratory. Regular meetings and additional communications between these collaborators have produced a preproposal that constitutes the main body of the final report required by the contract. Other components of this final report include the interim report and a brief description of the activities which followed the establishment of the X-ray Lithography Center working group.

  9. Heterogeneous technologies, strategic groups and environmental efficiency technology gaps for European countries

    International Nuclear Information System (INIS)

    Kounetas, Konstantinos

    2015-01-01

    This paper measures technology (TG) and environmental efficiency technology gaps (EETGs) in 25 European countries over two distinct periods 2002 and 2008 examining the possible effect of adopted environmental regulations and the Kyoto protocol commitments on environmental efficiency technology gaps. However, the introduction of the metafrontier in our analysis puts into our discussion the role of heterogeneous technologies and its effect on the above-mentioned measures. Employing a directional distance function, we investigate whether there is an actual difference, in terms of environmental efficiency and efficiency performance, among European countries considering the technological frontiers under which they operate. The construction of individual frontiers has been realized employing a large number of variables that are highly correlated with countries' learning and absorbing capacity, new technological knowledge and using economic theory and classical frontier discrimination like developed vs. developing, North vs. South and participation in the Eurozone or not. The overall results indicate a crucial role of heterogeneous technologies for technology gaps in both periods. Moreover, a significant decrease for both measures, although in different percent, has been recorded emphasizing the key role of knowledge spillovers. -- Highlights: •We estimate technology gaps (TGs) for 25 EU countries in two distinct periods. •We estimate environmental efficiency technology gaps (EETGs). •We consider countries' technological capabilities with R&D, innovation and eco-innovation. •We test the effect of different frontier constitutions on TGs-EETGs. •We denote the specific role of knowledge spillovers

  10. Comparative study on the processing of armour steels with various unconventional technologies

    Science.gov (United States)

    Herghelegiu, E.; Schnakovszky, C.; Radu, M. C.; Tampu, N. C.; Zichil, V.

    2017-08-01

    The aim of the current paper is to analyse the suitability of three unconventional technologies - abrasive water jet (AWJ), plasma and laser - to process armour steels. In view of this, two materials (Ramor 400 and Ramor 550) were selected to carry out the experimental tests and the quality of cuts was quantified by considering the following characteristics: width of the processed surface at the jet inlet (Li), width of the processed surface at the jet outlet (Lo), inclination angle (a), deviation from perpendicularity (u), surface roughness (Ra) and surface hardness. It was fond that in terms of cut quality and environmental impact, the best results are offered by abrasive water jet technology. However, it has the lowest productivity comparing to the other two technologies.

  11. Designing Robust Process Analytical Technology (PAT) Systems for Crystallization Processes: A Potassium Dichromate Crystallization Case Study

    DEFF Research Database (Denmark)

    Abdul Samad, Noor Asma Fazli Bin; Sin, Gürkan

    2013-01-01

    The objective of this study is to test and validate a Process Analytical Technology (PAT) system design on a potassium dichromate crystallization process in the presence of input uncertainties using uncertainty and sensitivity analysis. To this end a systematic framework for managing uncertaintie...

  12. The research of new type stratified water injection process intelligent measurement technology

    Science.gov (United States)

    Zhao, Xin

    2017-10-01

    To meet the needs of injection and development of Daqing Oilfield, the injection of oil from the early stage of general water injection to the subdivision of water is the purpose of improving the utilization degree and the qualified rate of water injection, improving the performance of water injection column and the matching process. Sets of suitable for high water content of the effective water injection technology supporting technology. New layered water injection technology intelligent measurement technology will be more information testing and flow control combined into a unified whole, long-term automatic monitoring of the work of the various sections, in the custom The process has the characteristics of "multi-layer synchronous measurement, continuous monitoring of process parameters, centralized admission data", which can meet the requirement of subdivision water injection, but also realize the automatic synchronization measurement of each interval, greatly improve the efficiency of tiered injection wells to provide a new means for the remaining oil potential.

  13. Decision Support Model for Selection Technologies in Processing of Palm Oil Industrial Liquid Waste

    Science.gov (United States)

    Ishak, Aulia; Ali, Amir Yazid bin

    2017-12-01

    The palm oil industry continues to grow from year to year. Processing of the palm oil industry into crude palm oil (CPO) and palm kernel oil (PKO). The ratio of the amount of oil produced by both products is 30% of the raw material. This means that 70% is palm oil waste. The amount of palm oil waste will increase in line with the development of the palm oil industry. The amount of waste generated by the palm oil industry if it is not handled properly and effectively will contribute significantly to environmental damage. Industrial activities ranging from raw materials to produce products will disrupt the lives of people around the factory. There are many alternative technologies available to process other industries, but problems that often occur are difficult to implement the most appropriate technology. The purpose of this research is to develop a database of waste processing technology, looking for qualitative and quantitative criteria to select technology and develop Decision Support System (DSS) that can help make decisions. The method used to achieve the objective of this research is to develop a questionnaire to identify waste processing technology and develop the questionnaire to find appropriate database technology. Methods of data analysis performed on the system by using Analytic Hierarchy Process (AHP) and to build the model by using the MySQL Software that can be used as a tool in the evaluation and selection of palm oil mill processing technology.

  14. [A new strategy for Chinese medicine processing technologies: coupled with individuation processed and cybernetics].

    Science.gov (United States)

    Zhang, Ding-kun; Yang, Ming; Han, Xue; Lin, Jun-zhi; Wang, Jia-bo; Xiao, Xiao-he

    2015-08-01

    The stable and controllable quality of decoction pieces is an important factor to ensure the efficacy of clinical medicine. Considering the dilemma that the existing standardization of processing mode cannot effectively eliminate the variability of quality raw ingredients, and ensure the stability between different batches, we first propose a new strategy for Chinese medicine processing technologies that coupled with individuation processed and cybernetics. In order to explain this thinking, an individual study case about different grades aconite is provided. We hope this strategy could better serve for clinical medicine, and promote the inheritance and innovation of Chinese medicine processing skills and theories.

  15. Proposal of Instruction Process for Improvement of Language Activities in Technology Education Course

    OpenAIRE

    山本, 智広; 山本, 利一

    2012-01-01

    This study is a proposal of instruction process for improvement of language activities in the technology education course in the junior high school in Japan. In this study, two efforts were carried out for the technology concerning material and processing. The first effort was the extraction of the learning situations that develop abilities of thinking, judgment and expression through language activities peculiar to the technology education course. The second effort was the verification o...

  16. ADVANCING THE PROCESSING TECHNOLOGIES OF THE DETAILS OF CONSTRUCTION MACHINERY AND EQUIPMENT

    Directory of Open Access Journals (Sweden)

    Burlachenko Oleg Vasil’evich

    2016-03-01

    Full Text Available On the contemporary stage on the development and advancement of processing technologies of machine and equipment details it is necessary to find a complex solution to the problem of their performance characteristics. This solution supposes the development of specific methods providing and advancing some specific index of performance efficiency (often regardless of real coupling state and the creation and implementation of the technologies having complex physical and technical impacts on the contact combination. The novelty of processing methods is determined by all the components of the technological system, each of which has its own novelty or creates the novelty of the system as a whole due to definite non-traditional combination of the known components. The system of improving the existing and creating new methods and technological processes of manufacturing the products is considered. The mechanical, physical and chemical effects on the work surface in case of specific kinematics of tool path and the workpieces during operation, and also the wear of engine cylinders are analyzed. The authors offer a technology of obtaining nonporous hot-stamped powder alloys with higher strength properties of the outer surface and high plastic properties of core. The unity of the processes of power, temperature and other effects on the working surface of details during their manufacture and operation is established.

  17. Patient safety and technology-driven medication

    DEFF Research Database (Denmark)

    Orbæk, Janne; Gaard, Mette; Keinicke Fabricius, Pia

    2015-01-01

    ways of educating nursing students in today's medication administration. AIM: To explore nursing students' experiences and competences with the technology-driven medication administration process. METHODS: 16 pre-graduate nursing students were included in two focus group interviews which were recorded...... for the technology-driven medication process, nursing students face difficulties in identifying and adopting best practices. The impact of using technology on the frequency, type and severity of medication errors; the technologies implications on nursing professionalism and the nurses ability to secure patient...

  18. The Technology Adoption Process Model and Self-Efficacy of Distance Education Students

    Science.gov (United States)

    Olson, Joel D.; Appunn, Frank D.

    2017-01-01

    The technology adoption process model (TAPM) is applied to a new synchronous conference technology with 27 asynchronous courses involving 520 participants and 17 instructors. The TAPM resulted from a qualitative study reviewing webcam conference technology adoption. The TAPM is now tested using self-efficacy as the dependent variable. The…

  19. Pretreatment Technologies of Lignocellulosic Materials in Bioethanol Production Process

    Directory of Open Access Journals (Sweden)

    Mohamad Rusdi Hidayat

    2013-06-01

    Full Text Available Bioethanol is one type of biofuel that developed significantly. The utilization of bioethanol is not only limited for fuel, but also could be used as material for various industries such as pharmaceuticals, cosmetics, and food. With wide utilization and relatively simple production technology has made bioethanol as the most favored biofuel currently. The use of lignocellulosic biomass, microalgae, seaweeds, even GMO (Genetically modified organisms as substrates for bioethanol production has been widely tested. Differences in the materials eventually led to change in the production technology used. Pretreatment technology in the bioethanol production using lignocellulosic currently experiencing rapid development. It is a key process and crucial for the whole next steps. Based on the advantages and disadvantages from all methods, steam explotion and liquid hot water methods are the most promising  pretreatment technology available.

  20. Rapid visual grouping and figure-ground processing using temporally structured displays.

    Science.gov (United States)

    Cheadle, Samuel; Usher, Marius; Müller, Hermann J

    2010-08-23

    We examine the time course of visual grouping and figure-ground processing. Figure (contour) and ground (random-texture) elements were flickered with different phases (i.e., contour and background are alternated), requiring the observer to group information within a pre-specified time window. It was found this grouping has a high temporal resolution: less than 20ms for smooth contours, and less than 50ms for line conjunctions with sharp angles. Furthermore, the grouping process takes place without an explicit knowledge of the phase of the elements, and it requires a cumulative build-up of information. The results are discussed in relation to the neural mechanism for visual grouping and figure-ground segregation. Copyright 2010 Elsevier Ltd. All rights reserved.

  1. ANALYSIS ON TECHNOLOGICAL PROCESSES CLEANING OIL PIPELINES

    Directory of Open Access Journals (Sweden)

    Mariana PǍTRAŞCU

    2015-05-01

    Full Text Available In this paper the researches are presented concerning the technological processes of oil pipelines.We know several technologies and materials used for cleaning the sludge deposits, iron and manganese oxides, dross, stone, etc.de on the inner walls of drinking water pipes or industries.For the oil industry, methods of removal of waste materials and waste pipes and liquid and gas transport networks are operations known long, tedious and expensive. The main methods and associated problems can be summarized as follows: 1 Blowing with compressed air.2 manual or mechanical brushing, sanding with water or dry.3 Wash with water jet of high pressure, solvent or chemical solution to remove the stone and hard deposits.4 The combined methods of cleaning machines that use water jets, cutters, chains, rotary heads cutters, etc.

  2. Process water treatment in Canada's oil sands industry : 2 : a review of emerging technologies

    International Nuclear Information System (INIS)

    Allen, E.W.

    2008-01-01

    This review was conducted to identify candidate treatment technologies for treating oil sands process water. The oil sands industry in Canada uses large volumes of fresh water in order to extract bitumen deposits. The development of process water treatment technologies has become a critical issue for the industry, particularly as oil sand production is expected to triple in the next decade. However, treatment technologies must be adapted to consider the fouling potential of bitumens and fine clays as well as the effect of alkaline process water on treatment performance. The review included developments in chemical modifications to membranes and adsorbents designed to improve pollutant removal and reduce fouling; hybridization technologies designed to enhance the biological treatment of toxic feedwaters; recent advances in photocatalytic oxidation technologies for organic compounds; and new designs for large-scale treatment wetlands for polluted waste waters. It was concluded that major knowledge gaps must be optimized and preliminary studies must be conducted in order to understand how the treatment technologies will be affected by the chemical and physical characteristics of oil sands process water. 188 refs., 8 tabs

  3. Improving Logistics Processes in Industry Using Web Technologies

    Science.gov (United States)

    Jánošík, Ján; Tanuška, Pavol; Václavová, Andrea

    2016-12-01

    The aim of this paper is to propose the concept of a system that takes advantage of web technologies and integrates them into the management process and management of internal stocks which may relate to external applications and creates the conditions to transform a Computerized Control of Warehouse Stock (CCWS) in the company. The importance of implementing CCWS is in the elimination of the claims caused by the human factor, as well as to allow the processing of information for analytical purposes and their subsequent use to improve internal processes. Using CCWS in the company would also facilitate better use of the potential tools Business Intelligence and Data Mining.

  4. Database mirroring in fault-tolerant continuous technological process control

    Directory of Open Access Journals (Sweden)

    R. Danel

    2015-10-01

    Full Text Available This paper describes the implementations of mirroring technology of the selected database systems – Microsoft SQL Server, MySQL and Caché. By simulating critical failures the systems behavior and their resilience against failure were tested. The aim was to determine whether the database mirroring is suitable to use in continuous metallurgical processes for ensuring the fault-tolerant solution at affordable cost. The present day database systems are characterized by high robustness and are resistant to sudden system failure. Database mirroring technologies are reliable and even low-budget projects can be provided with a decent fault-tolerant solution. The database system technologies available for low-budget projects are not suitable for use in real-time systems.

  5. Development and application of a probabilistic evaluation method for advanced process technologies. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Frey, H.C.; Rubin, E.S.

    1991-04-01

    The objective of this work is to develop and apply a method for research planning for advanced process technologies. To satisfy requirements for research planning, it is necessary to: (1) identify robust solutions to process design questions in the face of uncertainty to eliminate inferior design options; (2) identify key problem areas in a technology that should be the focus of further research to reduce the risk of technology failure; (3) compare competing technologies on a consistent basis to determine the risks associated with adopting a new technology; and (4) evaluate the effects that additional research might have on comparisons with conventional technology. An important class of process technologies are electric power plants. In particular, advanced clean coal technologies are expected to play a key role in the energy and environmental future of the US, as well as in other countries. Research planning for advanced clean coal technology development is an important part of energy and environmental policy. Thus, the research planning method developed here is applied to case studies focusing on a specific clean coal technology. The purpose of the case studies is both to demonstrate the research planning method and to obtain technology-specific conclusions regarding research strategies.

  6. Report on the FY 1999 survey on long-term energy technology strategy/basic survey for working out industrial technology strategy. Technology strategy by field - chemical/process field (chemical/process technology field); 1999 nendo choki energy gijutsu senryaku nado ni kansuru chosa hokokusho. Sangyo gijutsu senryaku sakutei kiban chosa (bun'yabetsu gijutsu senryaku (kagaku process bun'ya (kagaku process gijutsu bun'ya)))

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    As to long-term energy technology strategy, the paper described the results of the FY 1999 survey of chemical/process technology. The future chemical technology should be transformed from the material production technology in which priority used to be given to convenience to new chemical technology in which the functions needed are to be created. The shortage of the developmental period and minimization of the waste are also desired by the use of new methods. For it, the industry/government/university should gather wisdom together and fulfil the requests from other industries of the country under the circumstances of the society which is aging, is decreasing in birthrate, and is being highly information-oriented. At the same time, the technology should be contributional to constructing the circulating type society which has environmental harmony. If not, it cannot be the technology which is recognized by the society. Efforts should be made for the fundamental technology development, technology to create the materials needed in the society, and development of new process technology to be recognized in the society. Further, the development of technology to meet the needs/restraints from the society should be promoted as seen in the environmental hormone. At the same time, the intellectual base should be prepared such as arrangement of the technology database for advancing smooth technology development. (NEDO)

  7. Developing technological process of obtaining giality casts

    Directory of Open Access Journals (Sweden)

    A. Issagulov

    2014-10-01

    Full Text Available The article considers the process of manufacturing castings using sand-resin forms and alloying furnace. Were the optimal technological parameters of manufacturing shell molds for the manufacture of castings of heating equipment. Using the same upon receipt of castings by casting in shell molds furnace alloying and deoxidation of the metal will provide consumers with quality products and have a positive impact on the economy in general engineering.

  8. Process technology for the application of d-amino acid oxidases in pharmaceutical intermediate manufacturing

    DEFF Research Database (Denmark)

    Tindal, Stuart; Carr, Reuben; Archer, Ian V. J.

    2011-01-01

    Recent advances in biocatalysis have seen increased interest in the use of D-amino acid oxidase to synthesize optically pure amino acids. However, the creation of a genuine oxidase based platform technology will require suitable process technology as well as an understanding of the challenges...... and opportunities of a wider portfolio of synthetic targets. In this article we address some of the recent progress in process technology to enable the future development of a generic platform technology....

  9. Process technology for the molten-salt reactor 233U--Th cycle

    International Nuclear Information System (INIS)

    Hightower, J.R. Jr.

    1975-01-01

    After a brief description of the design features of the molten-salt breeder reactor, fuel processing for removal of 233 Pa and fission products is examined. Some recent developments in processing technology are discussed

  10. The Influence of Interactive Multimedia Technology to Enhance Achievement Students on Practice Skills in Mechanical Technology

    Science.gov (United States)

    Made Rajendra, I.; Made Sudana, I.

    2018-01-01

    Interactive multimedia technology empowers the educational process by means of increased interaction between teachers and the students. The utilization of technology in the instructional media development has an important role in the increase of the quality of teaching and learning achievements of students. The application of multimedia technology in the instructional media development is able to integrate aspects of knowledge and skills. The success of multimedia technology has revolutionized teaching and learning methods. The design of the study was quasi-experimental with pre and post. The instrument used is the form of questionnaires and tests This study reports research findings indicated that there is a significance difference between the mean performances of students in the experimental group than those students in the control group. The students in the experimental group performed better in mechanical technology practice and in retention test than those in the control group. The study recommended that multimedia instructional tool is an effective tool to enhance achievement students on practice skills in mechanical Technology.

  11. Social Group Dynamics and Patterns of Latin American Integration Processes

    Directory of Open Access Journals (Sweden)

    Sébastien Dubé

    2017-04-01

    Full Text Available This article proposes to incorporate social psychology elements with mainstream political science and international relations theories to help understand the contradictions related to the integration processes in Latin America. Through a theoretical analysis, it contributes to the challenge proposed by Dabène (2009 to explain the “resilience” of the Latin American regional integration process in spite of its “instability and crises.” Our main proposition calls for considering Latin America as a community and its regional organizations as “social groups.” In conclusion, three phenomena from the field of social psychology and particularly social group dynamics shed light on these contradictory patterns: the value of the group and the emotional bond, groupthink, and cognitive dissonance.

  12. Communication as group process media of aircrew performance

    Science.gov (United States)

    Kanki, B. G.; Foushee, H. C.

    1989-01-01

    This study of group process was motivated by a high-fidelity flight simulator project in which aircrew performance was found to be better when the crew had recently flown together. Considering recent operating experience as a group-level input factor, aspects of the communication process between crewmembers (Captain and First Officer), were explored as a possible mediator to performance. Communication patterns were defined by a speech act typology adapted for the flightdeck setting and distinguished crews that had previously flown together (FT) from those that had not flown together (NFT). A more open communication channel with respect to information exchange and validation and greater First Officer participation in task-related topics was shown by FT crews while NFT crews engaged in more non-task discourse, a speech mode less structured by roles and probably serving a more interpersonal function. Relationships between the speech categories themselves, representing linguistic, and role-related interdependencies provide guidelines for interpreting the primary findings.

  13. Processing of palm oil mill wastes based on zero waste technology

    Science.gov (United States)

    Irvan

    2018-02-01

    Indonesia is currently the main producer of palm oil in the world with a total production reached 33.5 million tons per year. In the processing of fresh fruit bunches (FFB) besides producing palm oil and kernel oil, palm oil mills also produce liquid and solid wastes. The increase of palm oil production will be followed by an increase in the production of waste generated. It will give rise to major environmental issues especially the discharge of liquid waste to the rivers, the emission of methane from digestion pond and the incineration of empty fruit bunches (EFB). This paper describes a zero waste technology in processing palm oil mill waste after the milling process. The technology involves fermentation of palm oil mill effluent (POME) to biogas by using continuous stirred tank reactor (CSTR) in the presence of thermophilic microbes, producing activated liquid organic fertilizer (ALOF) from discharge of treated waste effluent from biogas digester, composting EFB by spraying ALOF on the EFB in the composter, and producing pellet or biochar from EFB by pyrolysis process. This concept can be considered as a promising technology for palm oil mills with the main objective of eliminating the effluent from their mills.

  14. On the 'Interim summary of requirements and criteria for nationwide scientific screening by the geological disposal technology working group.'

    International Nuclear Information System (INIS)

    Tochiyama, Osamu

    2016-01-01

    In order to make progress on the permanent geological disposal of high-level radioactive waste, the government of Japan revised, in May 2015, the basic plan to expand the site selection process, in which a set of site screening criteria was issued based on the existing geoscientific knowledge. These criteria were developed by the Geological Disposal Technology Working Group of the Nuclear Energy Subcommittee which was created by the Ministry of Economy, Trade and Industry (METI). (author)

  15. Seven Processes that Enable NASA Software Engineering Technologies

    Science.gov (United States)

    Housch, Helen; Godfrey, Sally

    2011-01-01

    This slide presentation reviews seven processes that NASA uses to ensure that software is developed, acquired and maintained as specified in the NPR 7150.2A requirement. The requirement is to ensure that all software be appraised for the Capability Maturity Model Integration (CMMI). The enumerated processes are: (7) Product Integration, (6) Configuration Management, (5) Verification, (4) Software Assurance, (3) Measurement and Analysis, (2) Requirements Management and (1) Planning & Monitoring. Each of these is described and the group(s) that are responsible is described.

  16. Processing technology for advanced fibre composites with thermoplastic matrices

    Energy Technology Data Exchange (ETDEWEB)

    Lystrup, Aa. [Risoe National Lab., Materials Research Dept., Roskilde (Denmark)

    1997-12-31

    Technologies and semi-raw materials for the manufacture of thermoplastic composites with continuous fibres are discussed. Autoclave consolidation, vacuum consolidation and press consolidation are all processes which are suitable for the manufacture of components with a three dimensional geometry. Autoclave consolidation is primarily for high quality components with high fibre content and complex geometry; using vacuum consolidation, very large components can be produced without the need of an autoclave, and the press consolidation technique is a very fast process suitable for mass production of smaller parts. Filament winding is used primarily for the manufacture of rotationally symmetrical components, and some of the technologies in use are winding with a continuously in-situ consolidation, winding inside an oven and room temperature winding followed by an autoclave consolidation. Semi-raw materials for thermoplastic composites exist as both prepregs and postpregs in many different forms, of which many are still under development. Some of the basic processing properties for the different types of semi-raw materials and most commonly used thermoplastic polymers are given. (au) 37 refs.

  17. Using Technology-Enhanced, Cooperative, Group-Project Learning for Student Comprehension and Academic Performance

    Science.gov (United States)

    Tlhoaele, Malefyane; Suhre, Cor; Hofman, Adriaan

    2016-01-01

    Cooperative learning may improve students' motivation, understanding of course concepts, and academic performance. This study therefore enhanced a cooperative, group-project learning technique with technology resources to determine whether doing so improved students' deep learning and performance. A sample of 118 engineering students, randomly…

  18. Poisson processes on groups and Feynman path integrals

    International Nuclear Information System (INIS)

    Combe, P.; Rodriguez, R.; Sirugue-Collin, M.; Centre National de la Recherche Scientifique, 13 - Marseille; Sirugue, M.

    1979-09-01

    An expression is given for the perturbed evolution of a free evolution by gentle, possibly velocity dependent, potential, in terms of the expectation with respect to a Poisson process on a group. Various applications are given in particular to usual quantum mechanics but also to Fermi and spin systems

  19. The Mixed Waste Management Facility: Technology selection and implementation plan, Part 2, Support processes

    International Nuclear Information System (INIS)

    Streit, R.D.; Couture, S.A.

    1995-03-01

    The purpose of this document is to establish the foundation for the selection and implementation of technologies to be demonstrated in the Mixed Waste Management Facility, and to select the technologies for initial pilot-scale demonstration. Criteria are defined for judging demonstration technologies, and the framework for future technology selection is established. On the basis of these criteria, an initial suite of technologies was chosen, and the demonstration implementation scheme was developed. Part 1, previously released, addresses the selection of the primary processes. Part II addresses process support systems that are considered ''demonstration technologies.'' Other support technologies, e.g., facility off-gas, receiving and shipping, and water treatment, while part of the integrated demonstration, use best available commercial equipment and are not selected against the demonstration technology criteria

  20. ISO standardization in nuclear technology

    Energy Technology Data Exchange (ETDEWEB)

    Brabec, D [Ustav pro Vyzkum, Vyrobu a Vyuziti Radioisotopu, Prague (Czechoslovakia); Cermak, O [Urad pro Normalizaci a Mereni, Prague (Czechoslovakia)

    1984-10-01

    The activity is described of the technical commission ISO/TC 85 which is currently divided into 4 subcommissions (SC) and 24 working groups. SC 1 ''Terminology, definitions, units, abbreviations'' has one working group. The most important document of this SC is ISO 921-1972 (Dictionary of nuclear technology). SC 2 ''Radiation protection'' has 9 working groups and has processed standards in dosimetry. SC 3 ''Technology of power reactors'' has 6 working groups and its work is related to IAEA activities within the NUSS program. SC 4 ''Technology of nuclear fuels'' has 8 working groups. SC 4 has compiled the basic standards for sealed sources and methods of testing their tightness. The results of the work of this group have been reflected into the standardization work of CMEA. A list is given of published international standards within TC 85.

  1. Impurities in alfalfa seed and their impact on processing technology

    OpenAIRE

    Đokić, Dragoslav; Stanisavljević, Rade; Marković, Jordan; Terzić, Dragan; Anđelković, Bojan

    2012-01-01

    The aim of this research was to determine relevant parameters and optimal alfalfa seed processing technology by a comparative analysis of two systems of machinery for processing natural alfalfa seed of different purity (59.0% and 71.0%) and with different content of impurities. The relevant parameters monitored during the test were: pure seed (%), weed seeds and seeds of other crops (%), inert matter (%), amount of processed seed (kg), seed processing time (min), consumption of steel powder (...

  2. Process technology for multi-enzymatic reaction systems

    DEFF Research Database (Denmark)

    Xue, Rui; Woodley, John M.

    2012-01-01

    In recent years, biocatalysis has started to provide an important green tool in synthetic organic chemistry. Currently, the idea of using multi-enzymatic systems for industrial production of chemical compounds becomes increasingly attractive. Recent examples demonstrate the potential of enzymatic...... synthesis and fermentation as an alternative to chemical-catalysis for the production of pharmaceuticals and fine chemicals. In particular, the use of multiple enzymes is of special interest. However, many challenges remain in the scale-up of a multi-enzymatic system. This review summarizes and discusses...... the technology options and strategies that are available for the development of multi-enzymatic processes. Some engineering tools, including kinetic models and operating windows, for developing and evaluating such processes are also introduced....

  3. Lignocellulosic ethanol: Technology design and its impact on process efficiency.

    Science.gov (United States)

    Paulova, Leona; Patakova, Petra; Branska, Barbora; Rychtera, Mojmir; Melzoch, Karel

    2015-11-01

    This review provides current information on the production of ethanol from lignocellulosic biomass, with the main focus on relationships between process design and efficiency, expressed as ethanol concentration, yield and productivity. In spite of unquestionable advantages of lignocellulosic biomass as a feedstock for ethanol production (availability, price, non-competitiveness with food, waste material), many technological bottlenecks hinder its wide industrial application and competitiveness with 1st generation ethanol production. Among the main technological challenges are the recalcitrant structure of the material, and thus the need for extensive pretreatment (usually physico-chemical followed by enzymatic hydrolysis) to yield fermentable sugars, and a relatively low concentration of monosaccharides in the medium that hinder the achievement of ethanol concentrations comparable with those obtained using 1st generation feedstocks (e.g. corn or molasses). The presence of both pentose and hexose sugars in the fermentation broth, the price of cellulolytic enzymes, and the presence of toxic compounds that can inhibit cellulolytic enzymes and microbial producers of ethanol are major issues. In this review, different process configurations of the main technological steps (enzymatic hydrolysis, fermentation of hexose/and or pentose sugars) are discussed and their efficiencies are compared. The main features, benefits and drawbacks of simultaneous saccharification and fermentation (SSF), simultaneous saccharification and fermentation with delayed inoculation (dSSF), consolidated bioprocesses (CBP) combining production of cellulolytic enzymes, hydrolysis of biomass and fermentation into one step, together with an approach combining utilization of both pentose and hexose sugars are discussed and compared with separate hydrolysis and fermentation (SHF) processes. The impact of individual technological steps on final process efficiency is emphasized and the potential for use

  4. Fiscal 2000 achievement report on the important regional technology research and development. Research and development of eco-tailored tribo-material creation process technology (Research and development of nanometer-order controlled material creation process technology); 2000 nendo juyo chiiki gijutsu kenkyu kaihatsu seika hokokusho. Eco tailored tribo material sosei process gijutsu no kenkyu kaihatsu (nanometer order de seigyo sareta material sosei process gijutsu no kenkyu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Efforts are made to develop tribo-material creation process technologies capable of meeting the needs of environmental protection and energy conservation relative to automobile engine parts such as piston rings, cams, shims, and the like. Activities are conducted in the three fields of (1) the research and development of nanostructure material creation technologies, (2) research and development of tribological evaluation technologies, and (3) the verification of the developed technologies. In field (1), a nanostructure control process is studied, and tribo-composite materials are examined. In field (1), in fiscal 2000, the arc ion plating method is selected as a nanostructure control process, and the closed type nonequilibrium magnetron sputtering method as the base for development into a process. As for tribo-composite materials, Ti-Si-N based and Cr-Si-N based coatings find their feasibility in shims, and Cr-Si-N based and Cr-Si-C-N based coatings in piston rings. Compiled in this report are the summary, and the studies of nanostructure control process technologies, joint studies, composite ceramic coating practicalization technologies, and technologies for putting to practical use coatings which comprise layers of different substances. (NEDO)

  5. Understanding the Process by Which New Employees Enter Work Groups

    Science.gov (United States)

    Summers, Donald B.

    1977-01-01

    The Group Integration Process, described in this article, serves as a broad and guiding set of steps (invitation, induction, orientation, training, relationship, and integration) that helps the supervisor better understand what is to be done in managing a new employee's entrance into a work group. (TA)

  6. Introducing Farouk's Process Consultation Group Approach in Irish Primary Schools

    Science.gov (United States)

    Hayes, Marie; Stringer, Phil

    2016-01-01

    Research has shown that teacher consultation groups increase teachers' behaviour management skills through discussion and collaborative problem-solving. Unlike the United Kingdom, at the time of this research consultation groups were not widely used in Irish schools. This research introduced Farouk's process consultation approach in three Irish…

  7. Analysis of cumulative exergy losses in the chains of technological processes

    International Nuclear Information System (INIS)

    Szargut, J.

    1989-01-01

    This paper reports on cumulative exergy consumption (CExC) which characterizes the chain of technological processes leading from natural resources to the final product under consideration. The difference of CExC and exergy of material or energy carrier expresses the cumulative exergy loss (CExL) in the mentioned technological chain. Two apportionment methods of CExL have been proposed. Partial exergy losses appear in particular links of the technological chain and characterize the influence of irreversibility of these links. Constituent exergy losses express the influence of thermodynamic imperfection of constituent technological chains leading to the final link of the total technological chain. Analysis of the partial and constituent exergy losses informs about the possibilities of improvement of the technological chains

  8. Efficient Separations and Processing Crosscutting Program. Technology summary

    International Nuclear Information System (INIS)

    1995-06-01

    The Efficient Separations and Processing (ESP) Crosscutting Program was created in 1991 to identify, develop, and perfect separations technologies and processes to treat wastes and address environmental problems throughout the DOE Complex. The ESP funds several multi-year tasks that address high-priority waste remediation problems involving high-level, low-level, transuranic, hazardous, and mixed (radioactive and hazardous) wastes. The ESP supports applied research and development (R and D) leading to demonstration or use of these separations technologies by other organizations within DOE-EM. Treating essentially all DOE defense wastes requires separation methods that concentrate the contaminants and/or purify waste streams for release to the environment or for downgrading to a waste form less difficult and expensive to dispose of. Initially, ESP R and D efforts focused on treatment of high-level waste (HLW) from underground storage tanks (USTs) because of the potential for large reductions in disposal costs and hazards. As further separations needs emerge and as waste management and environmental restoration priorities change, the program has evolved to encompass the breadth of waste management and environmental remediation problems

  9. Improving Logistics Processes in Industry Using Web Technologies

    Directory of Open Access Journals (Sweden)

    Jánošík Ján

    2016-12-01

    Full Text Available The aim of this paper is to propose the concept of a system that takes advantage of web technologies and integrates them into the management process and management of internal stocks which may relate to external applications and creates the conditions to transform a Computerized Control of Warehouse Stock (CCWS in the company. The importance of implementing CCWS is in the elimination of the claims caused by the human factor, as well as to allow the processing of information for analytical purposes and their subsequent use to improve internal processes. Using CCWS in the company would also facilitate better use of the potential tools Business Intelligence and Data Mining.

  10. METHODOLOGICAL FOUNDATIONS OF FORMING FUTURE TECHNOLOGY TEACHERS’ PROFESSIONAL IMAGE IN THE EDUCATIONAL PROCESS OF HIGHER EDUCATIONAL INSTITUTION

    Directory of Open Access Journals (Sweden)

    Volodymyr Bondarenko

    2017-04-01

    Full Text Available The article singles out modern scientific and methodological approaches to forming future technology teachers’ professional image in the educational process at the university. The basic position and acmeological and axiological approaches are analyzed. They are the basis for the system of the future technology teachers’ professional image forming and they will ensure its effectiveness. The personal “terminal” values of vocational and professional development and cultural identity of a future technology teacher are classified. The internal “terminal” values (the components of the image “I” as a person and professional and external “terminal” values (the components of the image of external characteristics are determined. From axiological position all target reference points of the future technology teachers’ vocational and professional and cultural identity as terminal values are classified into three groups: 1 values – aims of the competence level; 2 values – aims of the basic cultural level (this includes professional image values; 3 values – aims of the acmeological level. The second group is determined as the key values, these are the aims, the core of which is the category of “technology teacher’s basic professional culture”. Acmeological approach allows to identify a set of methodologically educated future technology teacher’s competences, which should be the new components of his image of "I" as a professional at the highest level of his/her development: the ability to self-actualization and self-realization in professional pedagogical and social activities; the ability to realize the highest meaning of the technology teacher’s pedagogical activity with the phenomenological reduction tools; readiness for the continuous humanization of technology lessons; ability to professional pedagogical reflection at the conceptual level; polymodality of pedagogical thinking, the ability to master artistic and

  11. The evolution of waste management processes and technologies in BNFL

    International Nuclear Information System (INIS)

    Asquith, R.W.; Fairhall, G.A.

    1997-01-01

    The treatment of wastes arising from BNFL''s nuclear fuel cycle operations can be traced through a number of phases. The first was the development of vitrification and cementation for fresh arisings. Plants utilising these technologies are now in operation. To handle the mixed, heterogeneous intermediate level wastes, retrieval, segregation and robust treatment processes are at an advanced stage of development, with all plants to be operational from 2002. BNFL is focusing attention on reducing waste management lifetime costs including reducing waste volumes of source. Technologies aimed at significant reductions are now being developed. The final phase, now in progress, recognizes the need for an integrated approach to advanced fuel cycle processes which incorporates BNFL''s holistic concept. (author)

  12. 7th Annual CMMI Technology Conference and User Group. Volume 1. Tuesday Presentations

    Science.gov (United States)

    2007-11-15

    and models • Harmonization of ISO /IEC 12207 (Standard for Information Technology - Software Life Cycle Processes) & ISO /IEC15288 Ø ODUSD(A&T) Systems...15288: SE-System Life Cycle Processes ISO /IEC 12207 : Standard for IT-Software life cycle processes [also Industry Implementation via IEEE/EIA] IEEE...15288 (04) INCOSE SE HB v3.1 (07) ISO /IEC 15288( 07) IEEE/EIA 12207 (97) reaffirmed@ GEIA [03] ISO /IEC 12207 (95) ISO /IEC 12207 07) SE standards

  13. Poisson processes on groups and Feynamn path integrals

    International Nuclear Information System (INIS)

    Combe, P.; Rodriguez, R.; Aix-Marseille-2 Univ., 13 - Marseille; Sirugue, M.; Sirugue-Collin, M.; Centre National de la Recherche Scientifique, 13 - Marseille; Hoegh-Krohn, R.

    1980-01-01

    We give an expression for the perturbed evolution of a free evolution by gentle, possibly velocity dependent, potential, in terms of the expectation with respect to a Poisson process on a group. Various applications are given in particular to usual quantum mechanics but also to Fermi and spin systems. (orig.)

  14. Process of technology management in SMEs of the metal processing industry – the case study investigation

    Directory of Open Access Journals (Sweden)

    Krawczyk-Dembicka Elżbieta

    2017-03-01

    Full Text Available The main purpose of this work is to identify the factors that influence the process of technology management in the sector of small- and medium-sized enterprises of the metal processing industry, considering the shape and course required to achieve modern operation conditions by enterprises in the market.

  15. Center Director Bridges visits Disability Awareness and Action working Group Technology Fair

    Science.gov (United States)

    1999-01-01

    Center Director Roy Bridges stops to pet one of the dogs that serves with Canine Companions for Independence, a vendor displaying its capabilities at the Disability Awareness and Action Working Group (DAAWG) 1999 Technology Fair being held Oct. 20-21 at Kennedy Space Center. Standing at the right is Carol Cavanaugh, with KSC Public Services; behind Bridges is Nancie Strott (left), a multi-media specialist with Dynacs and chairperson of the Fair, and Sterling Walker (right), director of Engineering Development and chairman of DAAWG. The Fair is highlighting vendors demonstrating mobility, hearing, vision and silent disability assistive technology. The purpose is to create an awareness of the types of technology currently available to assist people with various disabilities in the workplace. The theme is that of this year's National Disability Employment Awareness Month, 'Opening Doors to Ability.' Some of the other vendors participating are Goodwill Industries, Accessible Structures, Division of Blind Services, Space Coast Center for Independent Living, KSC Fitness Center and Delaware North Parks Services.

  16. The role of citizen public-interest groups in the decision-making process of a science-intensive culture

    International Nuclear Information System (INIS)

    Sinclair, M.P.

    1991-01-01

    This study explores how concerns about the environment have escalated in the past three decades from being peripheral to that of a mainstream social movement. Most environmental concerns stem from the deployment of technologies where technical expertise is essential to effective participation in the decision-making process. The manner in which the current policy for the disposal of low-level radioactive waste was devised and passed by Congress provides the information base through which the role of citizen groups in the decision-making process in a science-intensive culture is explored, as they seek to overcome the adverse environmental impacts and economic inequities of this Act. The actual process by which citizens have confronted this current flawed policy is described, which includes how technical expertise from various sources made the citizens' case credible and effective. Several existing and theoretical models of citizen participation are described. Recommendations and conclusions are presented briefly, and a recommended model based on the concept of sustainable development is proposed

  17. Framework, process and tool for managing technology-based assets

    CSIR Research Space (South Africa)

    Kfir, R

    2000-10-01

    Full Text Available ) and the intellectual property (IP) of the organisation, The study describes a framework linking the core processes supporting the management of technology-based assets and offerings with other organisational elements such as leadership, strategy, and culture. Specific...

  18. Innovation in Construction: Learning Processes in implementing new Technologies

    DEFF Research Database (Denmark)

    Clausen, Lennie

    1999-01-01

    The article is concerned with the question: How do construction firms implement new technology on construction projects? A model of the implementation process is presented based on a review of the construction innovation literature, innovation theory, and organisational learning theories....

  19. Technological demands of meat processing-An Asian perspective.

    Science.gov (United States)

    Zhang, Wangang; Naveena, B Maheswarappa; Jo, Cheorun; Sakata, Ryoichi; Zhou, Guanghong; Banerjee, Rituparna; Nishiumi, Tadayuki

    2017-10-01

    A rapid increase in the economy, population, industrialization, and urbanization of Asian countries has driven the fast development of their meat industries over recent decades. This consistent increase in meat production and consumption in Asia has been the major cause for the development of the global meat industry. Meat production methods and consumption are very diverse across different regions and countries in Asia, and thus, it is impossible to cover the technological demands of all Asian countries in this review. Here, we have mainly highlighted the differences in meat production methods and consumption in Asia during recent decades and the meat technology demands of three east Asian countries, namely China, Korea, and Japan, and one south Asian country, India. A brief introduction of the meat industry, in particular the production and consumption trend in these countries, is provided in this article. The technology demands for fresh and processed meat products are then reviewed. Copyright © 2017. Published by Elsevier Ltd.

  20. Forecasting and management of technology

    National Research Council Canada - National Science Library

    Roper, A. T

    2011-01-01

    ... what the authors see as the innovations to technology management in the last 17 years: the Internet; the greater focus on group decision-making including process management and mechanism design; and desktop software that has transformed the analytical capabilities of technology managers"--Provided by publisher.

  1. Improving NASA's technology transfer process through increased screening and evaluation in the information dissemination program

    Science.gov (United States)

    Laepple, H.

    1979-01-01

    The current status of NASA's technology transfer system can be improved if the technology transfer process is better understood. This understanding will only be gained if a detailed knowledge about factors generally influencing technology transfer is developed, and particularly those factors affecting technology transfer from government R and D agencies to industry. Secondary utilization of aerospace technology is made more difficult because it depends on a transfer process which crosses established organizational lines of authority and which is outside well understood patterns of technical applications. In the absence of a sound theory about technology transfer and because of the limited capability of government agencies to explore industry's needs, a team approach to screening and evaluation of NASA generated technologies is proposed which calls for NASA, and other organizations of the private and public sectors which influence the transfer of NASA generated technology, to participate in a screening and evaluation process to determine the commercial feasibility of a wide range of technical applications.

  2. Operative and informative nuclear-physical quality monitoring of technological process

    International Nuclear Information System (INIS)

    Sattarov, G.S.; Muzafarov, A.M.; Komilov, J.M.; Kadirov, F.; Kist, A.A.

    2004-01-01

    Full text: In Navoi region more than 40 years extraction of uranium and more than 35 years of gold are conducted. For the element analysis of ores and the technological products containing uranium and gold, various physical and chemical and nuclear-physical methods of the analysis are applied. The last possess a number of advantages, due to their high sensitivity, an opportunity of simultaneous definition elements with automation of process of the analysis and with expressness, necessary at the analysis of elements on short-living radionuclides. In the given work comparative characteristics of the operative control of technological process of extraction of gold, uranium and some rare elements with the help of nuclear-physical methods of the analysis of powder samples, a continuous stream of a pulp and solutions are presented. They are: the control of process of sorption leaching of gold; qualities of ores, gravity and fleet-concentrates methods x-ray spectral, x-ray-energy-disperse, radio display, gamma-absorption and neutron-activation analyses are related to them. Concrete examples of use of the developed techniques for research of geochemical behaviour of the basic and accompanying elements, for the control of technological process of extraction of gold, uranium and some rare and rare-earth elements (V, Sc, Mo, Re) from ores and intermediate products of manufacture are presented; for the analysis of uranium protoxide-oxide, and also for an estimation of a condition of tailing mines of the industrial enterprises

  3. Application of Electro-Technologies in Processing of Flax Fiber

    Directory of Open Access Journals (Sweden)

    G. S. Vijaya Raghavan

    2013-08-01

    Full Text Available Flax fibers used for various applications are obtained from flax stems. Retting followed by drying and mechanical separation leads to the production of fibers. This review article discusses the application of electro-technologies in the production of bast fibers from the flax stem. In these technologies, flax stems harvested from the field are subjected to microwave assisted retting, followed by electro–osmotic dewatering which reduces the water content of the stems. Dewatered stems are transferred to a microwave chamber for further drying, thus retted stems are obtained for further processing.

  4. Processes and Technologies for the Recycling of Spent Fluorescent Lamps

    Directory of Open Access Journals (Sweden)

    Kujawski Wojciech

    2014-09-01

    Full Text Available The growing industrial application of rare earth metals led to great interest in the new technologies for the recycling and recovery of REEs from diverse sources. This work reviews the various methods for the recycling of spent fluorescent lamps. The spent fluorescent lamps are potential source of important rare earth elements (REEs such as: yttrium, terbium, europium, lanthanum and cerium. The characteristics of REEs properties and construction of typical fl uorescent lamps is described. The work compares also current technologies which can be utilized for an efficient recovery of REEs from phosphors powders coming from spent fluorescent lamps. The work is especially focused on the hydrometallurgical and pyrometallurgical processes. It was concluded that hydrometallurgical processes are especially useful for the recovery of REEs from spent fluorescent lamps. Moreover, the methods used for recycling of REEs are identical or very similar to those utilized for the raw ores processing.

  5. Fiscal 1998 research report on micro-particle control process technology; 1998 nendo micro ryushi seigyo process gijutsu no chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    For establishment of process technology realizing control of forms and structures of micro-particles on practical equipment, research was made on related elementary technologies and current technologies. The research was promoted aiming at synthesis of micro-particles from nanometer to micrometer in size and their application to functional materials, establishment of the methodology for correlating the microstructure and function of micro-particle materials with fabrication process, and establishment of a common-base technology system in chemical technology aiming at fabrication of functional materials. As for the common- base technology, to clarify its importance, research was made on the fabrication method and dispersion mechanism of nano- particles, particle arraying method by coating, device fabrication technique by coating, and one-step synthesis and coating of nano-particles. As for the project research, synthesis of monodispersed nano-particles at large production rates, fabrication of thin films and bulk materials by arraying and coating. (NEDO)

  6. Novel approaches in food-processing technology: new technologies for preserving foods and modifying function.

    Science.gov (United States)

    Knorr, D

    1999-10-01

    Recent advances in emerging food-processing technologies, such as high hydrostatic pressure or high-intensity electric field pulses, allow targeted and sophisticated modification and preservation of foods. We are beginning to understand the mechanisms involved in pressure inactivation of bacterial spores and have been collecting considerable amounts of kinetic data regarding inactivation mechanisms of enzymes and vegetative microorganisms. We are also gaining more insight into the permeabilization of plant membranes and related biosynthetic responses, making progress in food structure engineering and food modification for function, and have been initiating process developments for gentle processing of delicate biomaterials based on pressure-assisted phase transitions of water.

  7. Guideline group composition and group processes: article 3 in Integrating and coordinating efforts in COPD guideline development. An official ATS/ERS workshop report.

    Science.gov (United States)

    Kunz, Regina; Fretheim, Atle; Cluzeau, Françoise; Wilt, Timothy J; Qaseem, Amir; Lelgemann, Monika; Kelson, Marcia; Guyatt, Gordon; Schünemann, Holger J

    2012-12-01

    Professional societies, like many other organizations around the world, have recognized the need to use more rigorous processes to ensure that health care recommendations are informed by the best available research evidence. This is the third of a series of 14 articles that were prepared to advise guideline developers in respiratory and other diseases on considerations for group compositions and group processes in guideline development, and how this can be effectively integrated in the context of respiratory disease guidelines on a national and international level. We updated a review of the literature addressing group composition and group process, focusing on the following questions: 1. How to compose a functioning and representative guideline group; Who should be included in a guideline panel?; How to select organizations, groups, and individuals; What expertise is needed?; Consultation with non-included groups. 2. How to assure a functioning group process; How to make the process constructive; Balancing participation and finding agreement; Administrative support; What constitutes sufficient resources? Our conclusions are based on available evidence from published literature, experience from guideline developers, and workshop discussions. Formal studies addressing optimal processes in developing guidelines are limited, and experience from guideline organizations supplement the formal studies. When resources are available, guideline development groups should aim for multidisciplinary groups, including patients. Prerequisites for a multidisciplinary group include: a strong chair experienced in group facilitation with broad acceptance in the group, training the group in guideline methodology, and professional technical support. Formal consensus developing methods have proved effective in reaching agreement on the final recommendations.

  8. Application of Focal Conflict Theory to Psychoeducational Groups: Implications for Process, Content, and Leadership

    Science.gov (United States)

    Champe, Julia; Rubel, Deborah J.

    2012-01-01

    Group psychoeducation is a common group type used for a range of purposes. The literature presents balancing content and process as a challenge for psychoeducational group leaders. While the significance of group psychoeducation is supported, practitioners are given little direction for addressing process in these groups. Focal Conflict Theory…

  9. Fiscal 1999 achievement report. Important regional technology research and development--Research and development of eco-tailored tribo-material creation process technology (Research and development of nanometer-structured material creation process technology); Eco tailored tribo material soisei process gijutsu no kenkyu kaihatsu / nano meter odor de seigyosareta material sosei process gijutsu no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    For a reduction in friction and abrasion of automotive engine piston rings, cams, shims, etc., which grow aggravated due to increasingly severe operating conditions, studies are made to develop a process technology for the creation of tribo-materials through the application of the ion process technology which is capable of controlling nanometer-scale structures. In the study of nano-structure control process, various types of coat forming technologies are employed, which are ion plating, plasma CVD (chemical vapor deposition), and sputtering, for the formation of Ti-Si-N based coatings, Cr-Si-N based coatings, etc. As the result, efforts are now increasingly focused on the arc ion plating technology. In the study of composite tribo-materials, since it is found that high density and high adhesion are achieved in Ti-Si-N based and Cr-Si-N based coatings in particular, their use as coatings for cams, shims, and piston rings is evaluated. In the study of the optimization of matrix layer materials, the sliding characteristics of nitride coatings are investigated, with their formation conditions varied for the control of coating hardness and orientation. (NEDO)

  10. Accelerator technology working group summary

    International Nuclear Information System (INIS)

    Jameson, R.A.

    1985-01-01

    A summary is presented of workshop deliberations on basic scaling, the economic viability of laser drive power for HEP accelerators, the availability of electron beam injectors for near-term experiments, and a few very general remarks on technology issues

  11. Role of BRIT in promoting radiation processing technology in India

    International Nuclear Information System (INIS)

    Bandi, L.N.

    2014-01-01

    Some of the major applications of radiation processing include: the sterilization of products such as medical devices to kill bacteria or in the case of food, hygienize the product; the treatment of export bulk commodities such as tropical fruits to extend shelf life by slowing the ripening process and inhibiting sprouting and to kill quarantine pests such as fruit flies. Radiation processing is a value addition process. Taking note of these benefits, Department of Atomic Energy, Government of India constituted Board of Radiation and Isotope Technology (BRIT) in March 1989 by carving it out from Bhabha Atomic Research Centre, Mumbai. The mandate given to BRIT was to extend commercial applications of radioisotopes and radiation in the areas of Health, Agriculture, Industry and Research without losing sight of societal obligations. So far Department of Atomic Energy has set up three demonstration plants, namely, Isomed, RPP, Vashi and Krushak for high, medium and low dose applications of radiation respectively. The safe and business like operation of these facilities amply demonstrated the embedded safety and commercial viability of this technology

  12. Group-buying inventory policy with demand under Poisson process

    Directory of Open Access Journals (Sweden)

    Tammarat Kleebmek

    2016-02-01

    Full Text Available The group-buying is the modern business of selling in the uncertain market. With an objective to minimize costs for sellers arising from ordering and reordering, we present in this paper the group buying inventory model, with the demand governed by a Poisson process and the product sale distributed as Binomial distribution. The inventory level is under continuous review, while the lead time is fixed. A numerical example is illustrated.

  13. United States Department Of Energy Office Of Environmental Management Waste Processing Annual Technology Development Report 2008

    International Nuclear Information System (INIS)

    Bush, S.

    2009-01-01

    The Office of Waste Processing identifies and reduces engineering and technical risks and uncertainties of the waste processing programs and projects of the Department of Energy's Environmental Management (EM) mission through the timely development of solutions to technical issues. The risks, and actions taken to mitigate those risks, are determined through technology readiness assessments, program reviews, technology information exchanges, external technical reviews, technical assistance, and targeted technology development and deployment. The Office of Waste Processing works with other DOE Headquarters offices and project and field organizations to proactively evaluate technical needs, identify multi-site solutions, and improve the technology and engineering associated with project and contract management. Participants in this program are empowered with the authority, resources, and training to implement their defined priorities, roles, and responsibilities. The Office of Waste Processing Multi-Year Program Plan (MYPP) supports the goals and objectives of the U.S. Department of Energy (DOE) - Office of Environmental Management Engineering and Technology Roadmap by providing direction for technology enhancement, development, and demonstration that will lead to a reduction of technical risks and uncertainties in EM waste processing activities. The MYPP summarizes the program areas and the scope of activities within each program area proposed for the next five years to improve safety and reduce costs and environmental impacts associated with waste processing; authorized budget levels will impact how much of the scope of activities can be executed, on a year-to-year basis. Waste Processing Program activities within the Roadmap and the MYPP are described in these seven program areas: (1) Improved Waste Storage Technology; (2) Reliable and Efficient Waste Retrieval Technologies; (3) Enhanced Tank Closure Processes; (4) Next-Generation Pretreatment Solutions; (5

  14. Case study: technology initiative led to advanced lead optimization screening processes at Bristol-Myers Squibb, 2004-2009.

    Science.gov (United States)

    Zhang, Litao; Cvijic, Mary Ellen; Lippy, Jonathan; Myslik, James; Brenner, Stephen L; Binnie, Alastair; Houston, John G

    2012-07-01

    In this paper, we review the key solutions that enabled evolution of the lead optimization screening support process at Bristol-Myers Squibb (BMS) between 2004 and 2009. During this time, technology infrastructure investment and scientific expertise integration laid the foundations to build and tailor lead optimization screening support models across all therapeutic groups at BMS. Together, harnessing advanced screening technology platforms and expanding panel screening strategy led to a paradigm shift at BMS in supporting lead optimization screening capability. Parallel SAR and structure liability relationship (SLR) screening approaches were first and broadly introduced to empower more-rapid and -informed decisions about chemical synthesis strategy and to broaden options for identifying high-quality drug candidates during lead optimization. Copyright © 2012 Elsevier Ltd. All rights reserved.

  15. How inverse solver technologies can support die face development and process planning in the automotive industry

    Science.gov (United States)

    Huhn, Stefan; Peeling, Derek; Burkart, Maximilian

    2017-10-01

    With the availability of die face design tools and incremental solver technologies to provide detailed forming feasibility results in a timely fashion, the use of inverse solver technologies and resulting process improvements during the product development process of stamped parts often is underestimated. This paper presents some applications of inverse technologies that are currently used in the automotive industry to streamline the product development process and greatly increase the quality of a developed process and the resulting product. The first focus is on the so-called target strain technology. Application examples will show how inverse forming analysis can be applied to support the process engineer during the development of a die face geometry for Class `A' panels. The drawing process is greatly affected by the die face design and the process designer has to ensure that the resulting drawn panel will meet specific requirements regarding surface quality and a minimum strain distribution to ensure dent resistance. The target strain technology provides almost immediate feedback to the process engineer during the die face design process if a specific change of the die face design will help to achieve these specific requirements or will be counterproductive. The paper will further show how an optimization of the material flow can be achieved through the use of a newly developed technology called Sculptured Die Face (SDF). The die face generation in SDF is more suited to be used in optimization loops than any other conventional die face design technology based on cross section design. A second focus in this paper is on the use of inverse solver technologies for secondary forming operations. The paper will show how the application of inverse technology can be used to accurately and quickly develop trim lines on simple as well as on complex support geometries.

  16. Implementation of Bluetooth technology in processing aspheric mirrors

    Science.gov (United States)

    Chen, Dong-yun; Li, Xiao-jin

    2010-10-01

    This paper adopts the Bluetooth wireless transmission to replace the conducting rings currently using in the active lap process to overcome the cost and abrasion problems brought by the conducting rings, which has great significance for reducing the costs of processing large aspheric mirrors. Based on the actual application requirements, Article proposes the overall program of using Bluetooth technology as data transmission, including the active lap-side and machine tool-side: In the machine tool-side, the MCU separately connects with Bluetooth module and the sensor via UART0 and UART1 serial port, and when the MCU receives the signals sending from the sensor, the MCU packs and then sends them through the Bluetooth module; while in the active lap side, the CCAL reads-out the position signals of sensor detecting in dual-port memory via one-side ports, and the other side ports connect with the MCU's high ports P4-P7, so the MCU can unpacks and stores the position signals receiving via Bluetooth module. This paper designs and implements the system's hardware circuit, and mainly introduces the ways of serial and parallel. Based upon the realized system, design the test program for the Bluetooth wireless transmission and the experiment results, in the condition of the active lap processing large aspheric mirrors, showed that Bluetooth technology can meet the requirements of practical applications.

  17. UNITED STATES DEPARTMENT OF ENERGY WASTE PROCESSING ANNUAL TECHNOLOGY DEVELOPMENT REPORT 2007

    Energy Technology Data Exchange (ETDEWEB)

    Bush, S

    2008-08-12

    The Office of Environmental Management's (EM) Roadmap, U.S. Department of Energy--Office of Environmental Management Engineering & Technology Roadmap (Roadmap), defines the Department's intent to reduce the technical risk and uncertainty in its cleanup programs. The unique nature of many of the remaining facilities will require a strong and responsive engineering and technology program to improve worker and public safety, and reduce costs and environmental impacts while completing the cleanup program. The technical risks and uncertainties associated with cleanup program were identified through: (1) project risk assessments, (2) programmatic external technical reviews and technology readiness assessments, and (3) direct site input. In order to address these needs, the technical risks and uncertainties were compiled and divided into the program areas of: Waste Processing, Groundwater and Soil Remediation, and Deactivation and Decommissioning (D&D). Strategic initiatives were then developed within each program area to address the technical risks and uncertainties in that program area. These strategic initiatives were subsequently incorporated into the Roadmap, where they form the strategic framework of the EM Engineering & Technology Program. The EM-21 Multi-Year Program Plan (MYPP) supports the goals and objectives of the Roadmap by providing direction for technology enhancement, development, and demonstrations that will lead to a reduction of technical uncertainties in EM waste processing activities. The current MYPP summarizes the strategic initiatives and the scope of the activities within each initiative that are proposed for the next five years (FY2008-2012) to improve safety and reduce costs and environmental impacts associated with waste processing; authorized budget levels will impact how much of the scope of activities can be executed, on a year-to-year basis. As a result of the importance of reducing technical risk and uncertainty in the EM Waste

  18. Best available technology equivalent controls for B Plant Process Condensate: Tank farm process technology section

    International Nuclear Information System (INIS)

    1988-11-01

    This report evaluates whether proposed controls for the B Plant Process Condensate effluent stream are equivalent to Best Available Technology (BAT) economically achievable. Methods to determine whether the proposed controls for the BCP were equivalent to BAT originated from the Guidance Document for the Hanford Site. The BAT performance standards, which originate from the Clean Water Act, are to be applied to all contaminated liquid effluent discharges currently going to the soil column at the Hanford Site. The B Plant BAT evaluation addressed pollutants that had not been regulated traditionally under Federal or State water-pollution control programs. As a result, only comparisons could be made to existing radiation and water quality standards, and a BAT determination based on the effluent guidelines method (as outlined in the BAT Guidance Document) was not possible. Due to the variability and inconsistency of factors with comparable streams, such as the effluent stream at the Savannah River Plant, a BAT determination by the technology transfer method and treatability studies method was not possible. By using the generic treatment systems method, a one-or two-stage treatment system for dissolved solids removal was concluded to be equivalent to BAT. By performing two economic achievability tests, a one-stage treatment system consisting of mixed bed ion exchange columns was considered to be cost effective and equivalent to BAT. 13 refs., 4 figs., 5 tabs

  19. Technical advantages of disk laser technology in short and ultrashort pulse processes

    Science.gov (United States)

    Graham, P.; Stollhof, J.; Weiler, S.; Massa, S.; Faisst, B.; Denney, P.; Gounaris, E.

    2011-03-01

    This paper demonstrates that disk-laser technology introduces advantages that increase efficiency and allows for high productivity in micro-processing in both the nanosecond (ns) and picosecond (ps) regimes. Some technical advantages of disk technology include not requiring good pump beam quality or special wavelengths for pumping of the disk, high optical efficiencies, no thermal lensing effects and a possible scaling of output power without an increase of pump beam quality. With cavity-dumping, the pulse duration of the disk laser can be specified between 30 and hundreds of nanoseconds, but is independent of frequency, thus maintaining process stability. TRUMPF uses this technology in the 750 watts average power laser TruMicro 7050. High intensity, along with fluency, is important for high ablation rates in thinfilm removal. Thus, these ns lasers show high removal rates, above 60 cm2/s, in thin-film solar cell production. In addition, recent results in paint-stripping of aerospace material prove the green credentials and high processing rates inherent with this technology as it can potentially replace toxic chemical processes. The ps disk technology meanwhile is used in, for example, scribing of solar cells, wafer dicing and drilling injector nozzles, as the pulse duration is short enough to minimize heat input in the laser-matter interaction. In the TruMicro Series 5000, the multi-pass regenerative amplifier stage combines high optical-optical efficiencies together with excellent output beam quality for pulse durations of only 6 ps and high pulse energies of up to 0.25 mJ.

  20. The M/V Selendang Ayu commercial fisheries work group process

    International Nuclear Information System (INIS)

    DeCola, E.; Robertson, T.; Pearson, L.; Dietrick, L.; Folley, G.

    2006-01-01

    The process used to form and operate the Selendang Ayu Fisheries Work Group was discussed. The M/V Selendang Ayu ran aground and broke apart near Unalaska Island, Alaska in December 2004, causing immediate concern to nearby commercial fisheries and seafood processors. The work group, which was formed less than 2 weeks after the initial spill, was modeled after other Alaska work groups and consisted of experts in environmental health, fisheries biology and oceanography. Its task was to facilitate communication between fishery managers and spill responders to identify risk-reducing measures and to minimize impacts to fisheries in Alaska. In support of Alaska's zero tolerance policy toward any contamination of food processed in Alaska, the working group conducted water quality sampling in and around Unalaska Island from December 2004 though March 2005. Information was gathered regarding fishery opening and closing dates, geographic extent of fisheries, gear and vessel types, seawater circulation systems, fish processing operations, vessel transit routes and other considerations related to the potential exposure of vessels, gear or seafood to oil. This paper described the different pathways that commercial fish species may be exposed to spilled oil, along with the major fish species at risk. One small fishery closure was enacted. The working group was successful in ensuring that major commercial fisheries for snow crab, pollock, halibut and other species were successfully conducted during the spill, with all loads undergoing mandatory seafood inspections. Seafood products were not contaminated and market prices remained stable. 15 refs., 6 tabs., 4 figs

  1. Clarification technologies for monoclonal antibody manufacturing processes: Current state and future perspectives.

    Science.gov (United States)

    Singh, Nripen; Arunkumar, Abhiram; Chollangi, Srinivas; Tan, Zhijun George; Borys, Michael; Li, Zheng Jian

    2016-04-01

    Considerable progress has been made increasing productivity of cell cultures to meet the rapidly growing demand for antibody biopharmaceuticals through increased cell densities and longer culture times. This in turn has dramatically increased the burden of process and product related impurities on the purification processes. In addition, current trends in the biopharmaceutical industry point toward both increased productivity and targeting smaller patient populations for new indications. Taken together, these developments are driving the industry to explore alternative separation technologies as a future manufacturing strategy. Clarification technologies well established in other industries, such as flocculation and precipitation are increasingly considered as a viable solution to address this bottleneck in antibody processes. However, several technical issues need to be fully addressed including suitability as a platform application, robustness, process cost, toxicity, and clearance. This review will focus on recent efforts to incorporate new generation clarification technologies for mammalian cell cultures producing monoclonal antibodies as well as challenges to their implementation supported by a case study. © 2015 Wiley Periodicals, Inc.

  2. The Utilization of Urine Processing for the Advancement of Life Support Technologies

    Science.gov (United States)

    Grossi-Soyster, Elysse; Hogan, John; Flynn, Michael

    2014-01-01

    The success of long-duration missions will depend on resource recovery and the self-sustainability of life support technologies. Current technologies used on the International Space Station (ISS) utilize chemical and mechanical processes, such as filtration, to recover potable water from urine produced by crewmembers. Such technologies have significantly reduced the need for water resupply through closed-loop resource recovery and recycling. Harvesting the important components of urine requires selectivity, whether through the use of membranes or other physical barriers, or by chemical or biological processes. Given the chemical composition of urine, the downstream benefits of urine processing for resource recovery will be critical for many aspects of life support, such as food production and the synthesis of biofuels. This paper discusses the beneficial components of urine and their potential applications, and the challenges associated with using urine for nutrient recycling for space application.

  3. Technology Transfer: Marketing Tomorrow's Technology

    Science.gov (United States)

    Tcheng, Erene

    1995-01-01

    The globalization of the economy and the end of the Cold War have triggered many changes in the traditional practices of U.S. industry. To effectively apply the resources available to the United States, the federal government has firmly advocated a policy of technology transfer between private industry and government labs, in this case the National Aeronautics and Space Administration (NASA). NASA Administrator Daniel Goldin is a strong proponent of this policy and has organized technology transfer or commercialization programs at each of the NASA field centers. Here at Langley Research Center, the Technology Applications Group (TAG) is responsible for facilitating the transfer of Langley developed research and technology to U.S. industry. Entering the program, I had many objectives for my summer research with TAG. Certainly, I wanted to gain a more thorough understanding of the concept of technology transfer and Langley's implementation of a system to promote it to both the Langley community and the community at large. Also, I hoped to become more familiar with Langley's research capabilities and technology inventory available to the public. More specifically, I wanted to learn about the technology transfer process at Langley. Because my mentor is a member of Materials and Manufacturing marketing sector of the Technology Transfer Team, another overriding objective for my research was to take advantage of his work and experience in materials research to learn about the Advanced Materials Research agency wide and help market these developments to private industry. Through the various projects I have been assigned to work on in TAG, I have successfully satisfied the majority of these objectives. Work on the Problem Statement Process for TAG as well as the development of the Advanced Materials Research Brochure have provided me with the opportunity to learn about the technology transfer process from the outside looking in and the inside looking out. Because TAG covers

  4. Incorporating DSA in multipatterning semiconductor manufacturing technologies

    Science.gov (United States)

    Badr, Yasmine; Torres, J. A.; Ma, Yuansheng; Mitra, Joydeep; Gupta, Puneet

    2015-03-01

    Multi-patterning (MP) is the process of record for many sub-10nm process technologies. The drive to higher densities has required the use of double and triple patterning for several layers; but this increases the cost of the new processes especially for low volume products in which the mask set is a large percentage of the total cost. For that reason there has been a strong incentive to develop technologies like Directed Self Assembly (DSA), EUV or E-beam direct write to reduce the total number of masks needed in a new technology node. Because of the nature of the technology, DSA cylinder graphoepitaxy only allows single-size holes in a single patterning approach. However, by integrating DSA and MP into a hybrid DSA-MP process, it is possible to come up with decomposition approaches that increase the design flexibility, allowing different size holes or bar structures by independently changing the process for every patterning step. A simple approach to integrate multi-patterning with DSA is to perform DSA grouping and MP decomposition in sequence whether it is: grouping-then-decomposition or decomposition-then-grouping; and each of the two sequences has its pros and cons. However, this paper describes why these intuitive approaches do not produce results of acceptable quality from the point of view of design compliance and we highlight the need for custom DSA-aware MP algorithms.

  5. The Evolution of a Children's Domestic Violence Counseling Group: Stages and Processes

    Science.gov (United States)

    Thompson, E. Heather

    2011-01-01

    The purpose of this qualitative case study is to illuminate the lived experiences of 4 young children between 6 and 7 years old who witnessed domestic violence while revealing the complex relationship between group process and stage development in their 18-week counseling group. Data revealed that processes occurring between and among group…

  6. The development of control technologies applied to waste processing operations

    International Nuclear Information System (INIS)

    Grasz, E.; Baker, S.; Couture, S.; Dennison, D.; Holliday, M.; Hurd, R.; Kettering, B.; Merrill, R.; Wilhelmson, K.

    1993-02-01

    Typical waste and residue processes involve some level of human interaction. The risk of exposure to unknown hazardous materials and the potential for radiation contamination provide the impetus for physically separating or removing operators from such processing steps. Technologies that facilitate separation of the operator from potential contamination include glove box robotics; modular systems for remote and automated servicing; and interactive controls that minimize human intervention. Lawrence Livermore National Laboratory (LLNL) is developing an automated system which by design will supplant the operator for glove box tasks, thus affording protection from the risk of radiation exposure and minimizing operator associated waste.This paper describes recent accomplishments in technology development and integration, and outlines the future goals at LLNL for achieving this integrated, interactive control capability

  7. Measuring process performance within healthcare logistics - a decision tool for selecting measuring technologies

    DEFF Research Database (Denmark)

    Feibert, Diana Cordes; Jacobsen, Peter

    2015-01-01

    Performance measurement can support the organization in improving the efficiency and effectiveness of logistical healthcare processes. Selecting the most suitable technologies is important to ensure data validity. A case study of the hospital cleaning process at a public Danish hospital...... was conducted. Monitoring tasks and ascertaining quality of work is difficult in such a process. Based on principal-agent theory, a set of decision indicator has been developed, and a decision framework for assessing technologies to enable performance measurement has been proposed....

  8. Group processes in medical education: learning from social identity theory.

    Science.gov (United States)

    Burford, Bryan

    2012-02-01

    The clinical workplace in which doctors learn involves many social groups, including representatives of different professions, clinical specialties and workplace teams. This paper suggests that medical education research does not currently take full account of the effects of group membership, and describes a theoretical approach from social psychology, the social identity approach, which allows those effects to be explored. The social identity approach has a long history in social psychology and provides an integrated account of group processes, from the adoption of group identity through a process of self-categorisation, to the biases and conflicts between groups. This paper outlines key elements of this theoretical approach and illustrates their relevance to medical education. The relevance of the social identity approach is illustrated with reference to a number of areas of medical education. The paper shows how research questions in medical education may be usefully reframed in terms of social identity in ways that allow a deeper exploration of the psychological processes involved. Professional identity and professionalism may be viewed in terms of self-categorisation rather than simply attainment; the salience of different identities may be considered as influences on teamwork and interprofessional learning, and issues in communication and assessment may be considered in terms of intergroup biases. Social identity theory provides a powerful framework with which to consider many areas of medical education. It allows disparate influences on, and consequences of, group membership to be considered as part of an integrated system, and allows assumptions, such as about the nature of professional identity and interprofessional tensions, to be made explicit in the design of research studies. This power to question assumptions and develop deeper and more meaningful research questions may be increasingly relevant as the nature and role of the medical profession change

  9. A prospective randomized trial of content expertise versus process expertise in small group teaching.

    Science.gov (United States)

    Peets, Adam D; Cooke, Lara; Wright, Bruce; Coderre, Sylvain; McLaughlin, Kevin

    2010-10-14

    Effective teaching requires an understanding of both what (content knowledge) and how (process knowledge) to teach. While previous studies involving medical students have compared preceptors with greater or lesser content knowledge, it is unclear whether process expertise can compensate for deficient content expertise. Therefore, the objective of our study was to compare the effect of preceptors with process expertise to those with content expertise on medical students' learning outcomes in a structured small group environment. One hundred and fifty-one first year medical students were randomized to 11 groups for the small group component of the Cardiovascular-Respiratory course at the University of Calgary. Each group was then block randomized to one of three streams for the entire course: tutoring exclusively by physicians with content expertise (n = 5), tutoring exclusively by physicians with process expertise (n = 3), and tutoring by content experts for 11 sessions and process experts for 10 sessions (n = 3). After each of the 21 small group sessions, students evaluated their preceptors' teaching with a standardized instrument. Students' knowledge acquisition was assessed by an end-of-course multiple choice (EOC-MCQ) examination. Students rated the process experts significantly higher on each of the instrument's 15 items, including the overall rating. Students' mean score (±SD) on the EOC-MCQ exam was 76.1% (8.1) for groups taught by content experts, 78.2% (7.8) for the combination group and 79.5% (9.2) for process expert groups (p = 0.11). By linear regression student performance was higher if they had been taught by process experts (regression coefficient 2.7 [0.1, 5.4], p teach first year medical students within a structured small group environment; preceptors with process expertise result in at least equivalent, if not superior, student outcomes in this setting.

  10. The Process and Experience of Online Group Counseling for Masters-Level Counseling Students

    Science.gov (United States)

    Lopresti, Jason Michael

    2010-01-01

    The present study explored the process and experience of online group counseling using a text-based synchronous program, particularly addressing how the process compares to face-to-face group counseling. Six students in a masters-level group counseling class voluntarily chose to participate for eight sixty minute online sessions on a weekly basis,…

  11. Neural sensitivity to social deviance predicts attentive processing of peer-group judgment.

    Science.gov (United States)

    Schnuerch, Robert; Trautmann-Lengsfeld, Sina Alexa; Bertram, Mario; Gibbons, Henning

    2014-01-01

    The detection of one's deviance from social norms is an essential mechanism of individual adjustment to group behavior and, thus, for the perpetuation of norms within groups. It has been suggested that error signals in mediofrontal cortex provide the neural basis of such deviance detection, which contributes to later adjustment to the norm. In the present study, we used event-related potentials (ERPs) to demonstrate that, across participants, the strength of mediofrontal brain correlates of the detection of deviance from a peer group's norms was negatively related to attentive processing of the same group's judgments in a later task. We propose that an individual's perception of social deviance might bias basic cognitive processing during further interaction with the group. Strongly perceiving disagreement with a group could cause an individual to avoid or inhibit this group's judgments.

  12. A thematic analysis of the strengths and weaknesses of manufacturers' submissions to the NICE Single Technology Assessment (STA) process.

    Science.gov (United States)

    Carroll, Christopher; Kaltenthaler, Eva; FitzGerald, Patrick; Boland, Angela; Dickson, Rumona

    2011-10-01

    The NICE Single Technology Appraisal (STA) process in the UK has been underway for five years. Evidence Review Groups (ERGs) critically appraise submissions from manufacturers on the clinical and cost effectiveness of new technologies. This study analysed the ERGs' assessment of the strengths and weaknesses of 30 manufacturers' submissions to the STA process. Thematic analysis was performed on the textual descriptions of the strengths and weakness of manufacturer submissions, as outlined by the ERGs in their reports. Various themes emerged from the data. These themes related to the processes applied in the submissions; the content of the submission (e.g. the amount and quality of evidence); the reporting of the submissions' review and analysis processes; the reliability and validity of the submissions' findings; and how far the submission had satisfied the STA process objectives. STA submissions could be improved if attention were paid to transparency in the reporting, conduct and justification of review and modelling processes and analyses, as well as greater robustness in the choice of data and closer adherence to the scope or decision problem. Where this adherence is not possible, more detailed justification of the choice of evidence or data is required. Copyright © 2011 Elsevier Ireland Ltd. All rights reserved.

  13. Scapegoating: Another Step towards Understanding the Processes Generating Bullying in Groups?

    Science.gov (United States)

    Dixon, Roz

    2007-01-01

    Within the group therapy literature scapegoating is understood as an unconscious process that plays an important function in preventing groups from being split asunder as a result of unexpressed frustration towards the leader. When a group successfully challenges its leader to share power, the need for a scapegoat passes. In the search for theory…

  14. 4 years of successful knowledge transfer - the nuclear technology training center of the TUeV Nord Group

    International Nuclear Information System (INIS)

    Willenbockel, I.; Tietze, U.

    2007-01-01

    In connection with the 2002 amendment to the German Atomic Energy Act, the topics of generational change and maintenance of competence grew in importance and necessitated new solution approaches. To this end, various activities were launched, with the aim of conducting conceptual analyses of these topics. Examples include the 'National Competence Network for Nuclear Technology' (Nationaler Kompetenzverbund fuer Kerntechnik), various networks established by colleges and universities, the 'Knowledge Management for the Maintenance and Transfer of Competence in Reactor Safety' (Wissensmanagement zum Kompetenzerhalt und -transfer in der Reaktorsicherheit) workshop held in 2001 in Garching near Munich (Germany) and the 'Ad-hoc Workgroup on the Maintenance of Competence' (Ad-hoc-Arbeitskreis Kompetenzerhalt) of the VdTUeV. The nuclear technology departments of the TUeV Nord Group were aware of te challenges associated with the generational change early on. By establishing the 'Nuclear Technology Training Center' (Ausbildungszentrum fuer Kerntechnik, AfK), the TUeV Nord Group intended to ensure the required knowledge transfer during the generational change as well as maintain the renowned high qualification as regards the subject of nuclear technology and thus continue to provide - in the sense of social responsibility - crucial contribution to the long-term safety of nuclear plants. Four years have passed since the training center held the first courses in the fall of 2002. Up to now, more than 350 participants have been trained in the courses conducted by the AfK. In the opinion of the TUeV Nord Group, the activities of the AfK have laid the foundation for a successful change of generations within the group's nuclear technology organizations. (orig.)

  15. Evaluation of Brine Processing Technologies for Spacecraft Wastewater

    Science.gov (United States)

    Shaw, Hali L.; Flynn, Michael; Wisniewski, Richard; Lee, Jeffery; Jones, Harry; Delzeit, Lance; Shull, Sarah; Sargusingh, Miriam; Beeler, David; Howard, Jeanie; hide

    2015-01-01

    Brine drying systems may be used in spaceflight. There are several advantages to using brine processing technologies for long-duration human missions including a reduction in resupply requirements and achieving high water recovery ratios. The objective of this project was to evaluate four technologies for the drying of spacecraft water recycling system brine byproducts. The technologies tested were NASA's Forward Osmosis Brine Drying (FOBD), Paragon's Ionomer Water Processor (IWP), NASA's Brine Evaporation Bag (BEB) System, and UMPQUA's Ultrasonic Brine Dewatering System (UBDS). The purpose of this work was to evaluate the hardware using feed streams composed of brines similar to those generated on board the International Space Station (ISS) and future exploration missions. The brine formulations used for testing were the ISS Alternate Pretreatment and Solution 2 (Alt Pretreat). The brines were generated using the Wiped-film Rotating-disk (WFRD) evaporator, which is a vapor compression distillation system that is used to simulate the function of the ISS Urine Processor Assembly (UPA). Each system was evaluated based on the results from testing and Equivalent System Mass (ESM) calculations. A Quality Function Deployment (QFD) matrix was also developed as a method to compare the different technologies based on customer and engineering requirements.

  16. Organizing for Networked Information Technologies - Cases in Process Integration and Transformation

    DEFF Research Database (Denmark)

    The book provides seven practical examples of how Danish companies implemented new information technology in order to transform their internal and external business processes. The purpose is to share some of the companies' concerns and hopes during this implementation process, with each case repo...

  17. Contribution of the analytic hierarchy process (AHP method for supporting the decision to outsource or internalize activities in the context of technology-based company

    Directory of Open Access Journals (Sweden)

    Luciana Paula Reis

    2013-11-01

    Full Text Available The definition of business model requires, among other decisions, the structure of the value chain of an emerging new organization. This structure depends on the decision to outsource or internalize sets of processes and their activities. This decision fundamentally strategic, demand a correct definition of the activities that actually add value to the business, and therefore desirable a methodology able to assist the manager in the process of decision making. This paper aims to propose a process to aid decision to outsource or internalize activities in the context of a technology-based company, using the strategy of action research methods associated with the Analytic Hierarchy Process (AHP and focus group technique. The study has resulted in the structuring of the decision process and criteria relevant to the identification of activities to be internalized by the technology-based company.

  18. Inferring Group Processes from Computer-Mediated Affective Text Analysis

    Energy Technology Data Exchange (ETDEWEB)

    Schryver, Jack C [ORNL; Begoli, Edmon [ORNL; Jose, Ajith [Missouri University of Science and Technology; Griffin, Christopher [Pennsylvania State University

    2011-02-01

    Political communications in the form of unstructured text convey rich connotative meaning that can reveal underlying group social processes. Previous research has focused on sentiment analysis at the document level, but we extend this analysis to sub-document levels through a detailed analysis of affective relationships between entities extracted from a document. Instead of pure sentiment analysis, which is just positive or negative, we explore nuances of affective meaning in 22 affect categories. Our affect propagation algorithm automatically calculates and displays extracted affective relationships among entities in graphical form in our prototype (TEAMSTER), starting with seed lists of affect terms. Several useful metrics are defined to infer underlying group processes by aggregating affective relationships discovered in a text. Our approach has been validated with annotated documents from the MPQA corpus, achieving a performance gain of 74% over comparable random guessers.

  19. FY 2000 report on the results of the research and development project for new industry creation type industrial science technologies. Cluster ion beam process technology; 2000 nendo shinki sangyo soshutsugata sangyo kagaku gijutsu kenkyu kaihatsu seido seika hokokusho. Cluster ion beam process technology

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Described herein are the FY 2000 results of development of cluster ion beams. This technology generates the strong ion beams of atom and molecule clusters, and irradiate them onto the solid surfaces, to create new materials or treat materials. It allows the nano-level treatment. The program for high-current cluster ion beam generation/irradiation technology for industrial purposes attains the target high-current beam of 500{mu}m. It is necessary to establish the optimum cluster size, irradiated energy and ion species for the highly functional surface treatment, for which applicable technologies, e.g., those related to time of flight and molecular dynamics, are developed. Studies on high-current, large-area irradiation technologies are started. The program for material processing technologies involves evaluation of crystalline defects formed during the beam implantation by photoluminescence spectroscopy, and studies on semiconductor surface processing technologies. The surface smoothening technology is investigated to reduce crystalline defects and stress-induced strains for difficult-to-process materials, e.g., SiC and diamond, and the good results are produced. The program for development of superflat/superhard thin film formation technology involves irradiation of the Ar ion beams during the deposition of C{sub 60}(fullerene), to produce the superhard thin film. (NEDO)

  20. Upside to downsizing : Acceleware's graphic processor technology propels seismic data processing revolution

    Energy Technology Data Exchange (ETDEWEB)

    Smith, M.

    2009-11-15

    Accelware has developed a graphic processor technology (GPU) that is transforming the petroleum industry. The benefits of the technology are its small-footprint, low-wattage, and high speed. The software brings supercomputing speed to the desktop by leveraging the massive parallel processing capacity to the very latest in GPU technology. This article discussed the GPU technology and its emergence as a powerful supercomputing tool. Accelware's partnering with California-based NVIDIA was also outlined. The advantages of the technology were also discussed including its smaller footprint. Accelware's hardware takes up a fraction of the space and uses up to 70 per cent less power than a traditional central processing unit. By combining Accelware's core knowledge in making complex algorithms run in parallel with an in-house team of seismic industry experts, the company provides software solutions for seismic data processors that access the massively parallel processing capabilities of GPUs. 1 fig.